KR102557180B1 - 극자외선 포토마스크 상에서 검출되는 결함의 처리 - Google Patents

극자외선 포토마스크 상에서 검출되는 결함의 처리 Download PDF

Info

Publication number
KR102557180B1
KR102557180B1 KR1020217010781A KR20217010781A KR102557180B1 KR 102557180 B1 KR102557180 B1 KR 102557180B1 KR 1020217010781 A KR1020217010781 A KR 1020217010781A KR 20217010781 A KR20217010781 A KR 20217010781A KR 102557180 B1 KR102557180 B1 KR 102557180B1
Authority
KR
South Korea
Prior art keywords
photomask
defects
detected
charged particle
subsystem
Prior art date
Application number
KR1020217010781A
Other languages
English (en)
Other versions
KR20210047356A (ko
Inventor
비크람 토라니
마사키 사타케
웨스턴 소사
Original Assignee
케이엘에이 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이 코포레이션 filed Critical 케이엘에이 코포레이션
Publication of KR20210047356A publication Critical patent/KR20210047356A/ko
Application granted granted Critical
Publication of KR102557180B1 publication Critical patent/KR102557180B1/ko

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • G03F1/86Inspecting by charged particle beam [CPB]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/33Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2255Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident ion beams, e.g. proton beams
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • G03F1/74Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/33Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
    • G01N2021/335Vacuum UV
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks

Abstract

포토마스크 결함 처리를 위한 방법 및 시스템이 제공된다. 한 가지 방법은 에너지를 포토마스크로 지향시키는 것 및 포토마스크로부터 에너지를 검출하는 것을 포함한다. 포토마스크는 하나 이상의 극자외선 파장의 광에서의 사용을 위해 구성된다. 방법은 또한 검출된 에너지에 기초하여 포토마스크 상의 결함을 검출하는 것을 포함한다. 또한, 방법은 검출된 결함의 위치에서 포토마스크의 하전 입자 빔 이미지를 생성하는 것을 포함한다. 방법은 검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 기초하여 검출된 결함을 처리하는 것을 더 포함한다.

Description

극자외선 포토마스크 상에서 검출되는 결함의 처리
본 발명은 일반적으로 포토마스크 검사 및 결함 처리(dispositioning)의 분야에 관한 것이다. 더 구체적으로, 본 발명은 극자외선 파장(들)에서의 사용을 위해 설계되는 포토마스크의 검사 및 재검토(review)를 위한 방법 및 시스템에 관한 것이다.
다음의 설명 및 예는, 이 섹션에서의 그들의 포함 덕분에 종래 기술인 것으로 인정되지 않는다.
로직 및 메모리 디바이스와 같은 반도체 디바이스를 제조하는 것은, 통상적으로, 다양한 피쳐 및 다수의 레벨의 반도체 디바이스를 형성하기 위한 아주 많은 수의 반도체 제조 프로세스를 사용하여 반도체 웨이퍼와 같은 기판을 프로세싱하는 것을 포함한다. 예를 들면, 리소그래피는, 포토마스크로부터의 패턴을 반도체 웨이퍼 상에 배열되는 레지스트로 전사하는 것을 수반하는 반도체 제조 프로세스이다. 반도체 제조 프로세스의 추가적인 예는, 화학적 기계적 연마(chemical-mechanical polishing; CMP), 에칭, 퇴적(deposition), 및 이온 주입을 포함하지만, 그러나 이들로 제한되지는 않는다. 다수의 반도체 디바이스는 단일의 반도체 웨이퍼 상에서 한 배열(arrangement)에서 제조될 수도 있고, 그 다음 개개의 반도체 디바이스로 분리될 수도 있다.
193 nm 침지 리소그래피의 성능이 그 한계에 도달하는 것 뿐만 아니라 다중 패턴화 리소그래피 프로세스와 관련되는 상당히 높은 비용 및 수율 문제로 인해, 극자외선(extreme ultraviolet; EUV) 리소그래피가 광범위하게 개발되고 있다. 그것은, 컴퓨터 칩을 더 작고, 더 빠르며, 더 효율적으로 유도하는, 무어의 법칙을 확장시키기 위한 차세대 리소그래피(NGL) 기술의 선도적인 후보이다.
웨이퍼 상에 인쇄되는 패턴을 정의하는 EUV 포토마스크의 결함 제어는, 프로세스 수율 관리 관점에서 중요한 역할을 한다. 그러나, 그것은, 필요한 해상도에서 포토마스크를 검사할 수 있는 고 스루풋 하전 입자 빔 검사 도구 또는 화학선(actinic) EUV 포토마스크의 결여에 기인하여 EUV 리소그래피 개발의 고위험 영역 중 하나로서 간주되었다. 현재, 그리고 가까운 미래에, 패턴화된 EUV 포토마스크의 검사는 심자외선(deep ultraviolet; DUV) 파장 범위(예를 들면, 193 nm 내지 257 nm) 내에서 동작하는 이용 가능한 검사 도구에만 전적으로 의존해야 할 수도 있다.
포토마스크 사용과 포토마스크 검사 사이의 파장에서의 그러한 극적인 차이는, EUV 포토마스크와 관련한 DUV 검사 도구의 성능에 상당한 영향을 끼친다. 대조적으로, DUV 마스크에 대한 오래된 검사 방법은, 별개의 재검토가 실제로 필요하지 않았던 193 nm 검사 파장에서 충분한 결함 및 패턴 해상도를 가졌다. 현재, DUV 검사 도구를 사용하여 수행되는 EUV 포토마스크 검사는, 결함의 후속하는 재검토가 주사 전자 현미경 검사(scanning electron microscopy; SEM) 도구 또는 각각의 결함을 수동으로 재검토하는 오퍼레이터 중 어느 하나에게 번거롭지 않도록, 수백 번 정도의 검출에서 이들 결함을 생성하는 것을 목표로 한다. DUV 검사 도구는 또한 EUV 패턴화를 타겟으로 하는 마스크 치수에 대한 해상도를 제한하였다. 예를 들면, DUV 마스크 검사 도구에 대한 이론적 해상도 한계는 4X 마스크에서 약 60 nm 하프 피치(half-pitch; HP)인데, 이것은 EUV 마스크 상의 주요 피쳐 사이즈가 DUV 검사 도구의 실제 해상도를 훨씬 넘어설 것이다는 것을 의미한다.
그럼에도 불구하고, 결함 감도 및/또는 메인 패턴 변조를 최대화하기 위해 다양한 조명 조건을 갖는 DUV 검사 도구가 초기 EUV 결함 검출을 위해 연구되고 있다. EUV 마스크의 DUV 검사 이미징에서의 일반적으로 낮은 신호 대 노이즈에 기인하여, 이들 검사는 종종 수백 또는 수천 개의 결함이 검출되는 것으로 귀결된다. 그리고 검출 성능을 더욱더 확장하고 싶다면, 그러면, 각각의 DUV 검사에서 수십만 개의 검출이 있을 수도 있다. 그 다음, 이들 검출의 각각의 검출은 정확하게 재검토되고 처리될 필요가 있다. 각각의 결함을 시각적으로 재검토하는 것은 불량한 DUV 해상도에 기인하여 어려우며, 수동 결함 오분류의 위험이 결함 카운트와 함께 증가한다. 또한, 신뢰 가능한 에어리얼 이미지 처리 시스템(aerial image dispositioning system)의 결여는, 인쇄 가능성(printability)을 위해 EUV 마스크 결함을 처리하는 것을 더욱더 어렵게 만든다.
따라서, 상기에서 설명되는 단점 중 하나 이상을 갖지 않는 EUV 포토마스크 결함 처리를 위한 방법 및/또는 시스템을 개발하는 것이 유익할 것이다.
다양한 실시형태의 하기의 설명은, 첨부된 청구범위의 주제를 어떤 식으로든 제한하는 것으로 해석되지 않아야 한다.
하나의 실시형태는, 포토마스크로 에너지를 지향시키고 포토마스크로부터 에너지를 검출하도록 구성되는 검사 서브시스템을 포함하는 포토마스크 검사 시스템에 관한 것이다. 포토마스크는 하나 이상의 극자외선(EUV) 파장의 광에서의 사용을 위해 구성된다. 시스템은 또한 검출된 에너지에 기초하여 포토마스크 상의 결함을 검출하도록 구성되는 하나 이상의 컴퓨터 서브시스템을 포함한다. 또한, 시스템은 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 검출된 결함의 위치에서 포토마스크의 하전 입자 빔 이미지를 생성하도록 구성되는 하전 입자 빔 서브시스템을 포함한다. 하나 이상의 컴퓨터 서브시스템은 검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 기초하여 검출된 결함을 처리하도록 구성된다. 포토마스크 검사 시스템은 본원에서 설명되는 바와 같이 추가로 구성될 수도 있다.
다른 실시형태는 포토마스크를 검사하기 위한 방법에 관한 것이다. 방법은 에너지를 포토마스크로 지향시키는 것 및 포토마스크로부터 에너지를 검출하는 것을 포함한다. 포토마스크는 하나 이상의 EUV 파장의 광에서의 사용을 위해 구성된다. 방법은 또한 검출된 에너지에 기초하여 포토마스크 상의 결함을 검출하는 것을 포함한다. 또한, 방법은 검출된 결함의 위치에서 포토마스크의 하전 입자 빔 이미지를 생성하는 것을 포함한다. 방법은 검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 기초하여 검출된 결함을 처리하는 것을 더 포함한다.
상기에서 설명되는 방법은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 또한, 상기에서 설명되는 방법은 본원에서 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수도 있다. 더구나, 상기에서 설명되는 방법은 본원에서 설명되는 시스템 중 임의의 것에 의해 수행될 수도 있다.
다른 실시형태는, 포토마스크를 검사하기 위한 컴퓨터 구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체에 관한 것이다. 컴퓨터 구현 방법은 상기에서 설명되는 방법의 단계를 포함한다. 컴퓨터 판독 가능 매체는 또한 본원에서 설명되는 바와 같이 구성될 수도 있다. 컴퓨터 구현 방법의 단계는 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 게다가, 프로그램 명령어가 실행 가능한 컴퓨터 구현 방법은 본원에서 설명되는 임의의 다른 방법(들)의 임의의 다른 단계(들)를 포함할 수도 있다.
본 발명의 다른 목적 및 이점은, 하기의 상세한 설명을 판독하면 그리고 첨부의 도면을 참조하면 명확해질 것인데, 첨부의 도면에서:
도 1은 포토마스크 검사 및 결함 처리 시스템의 하나의 실시형태의 측면도를 예시하는 개략도이다;
도 2는 포토마스크 검사 시스템의 실시형태에 포함될 수도 있는 광학 서브시스템의 하나의 실시형태의 측면도를 예시하는 개략도이다;
도 3은 극자외선(EUV) 포토마스크의 일부의 한 예의 측면도를 예시하는 개략도이다;
도 4는 어떠한 결함도 없는 EUV 포토마스크 패턴의 일부의 한 예의 평면도를 예시하는 개략도이다;
도 5는 내부에서 검출될 수도 있는 결함의 다양한 예를 갖는 도 4의 EUV 포토마스크 패턴의 일부의 평면도를 예시하는 개략도이다;
도 6은 하전 입자 빔 서브시스템을 사용하여 수행되는 EUV 포토마스크 상에서 검출되는 결함을 처리하기 위한 본원에서 설명되는 실시형태에 의해 수행될 수도 있는 단계의 하나의 실시형태를 예시하는 플로우차트이다;
도 7은 상부에 형성되는 매립된 결함의 상이한 예를 갖는 EUV 포토마스크의 일부의 한 예의 측면도를 예시하는 개략도이다;
도 8은 원자력 현미경 검사 서브시스템을 사용하여 수행되는 EUV 포토마스크 상에서 검출되는 결함을 처리하기 위한 본원에서 설명되는 실시형태에 의해 수행될 수도 있는 단계의 하나의 실시형태를 예시하는 플로우차트이다; 그리고
도 9는, 본원에서 설명되는 컴퓨터 구현 방법 중 하나 이상을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체의 하나의 실시형태를 예시하는 블록도이다.
본 발명이 다양한 수정예 및 대안적 형태를 허용하지만, 그 특정한 실시형태가 예로서 도면에서 도시되며 본원에서 상세히 설명될 것이다. 그러나, 도면 및 도면에 대한 상세한 설명은 본 발명을 개시되는 특정한 형태로 제한하도록 의도되는 것은 아니며, 오히려, 본 발명은 첨부된 청구범위에 의해 정의되는 바와 같은 본 발명의 취지와 범위 내에 속하는 모든 수정예, 등가예 및 대안예를 포괄할 것이다는 것이 이해되어야 한다.
본원에서 사용되는 용어 "설계" 및 "설계 데이터"는, 설계 프로세스에서 반도체 디바이스 설계자에 의해 생성되며, 따라서, 임의의 물리적 기판에 대한 설계의 인쇄에 앞서 본원에서 설명되는 실시형태에서의 사용에 또한 이용 가능한 정보 및 데이터를 가리킨다. 따라서, 본원에서 사용되는 바와 같은 용어 "설계" 및 "설계 데이터"는, 일반적으로, IC의 물리적 설계(레이아웃) 및 복잡한 시뮬레이션 또는 간단한 기하학적 연산 및 불린 연산(Boolean operation)을 통해 물리적 설계로부터 유도되는 데이터를 가리킨다. 물리적 설계는 데이터 구조 예컨대 그래픽 데이터 스트림(graphical data stream; GDS) 파일, 임의의 다른 표준 머신 판독 가능 파일, 기술 분야에서 공지되어 있는 임의의 다른 적절한 파일, 및 설계 데이터베이스에 저장될 수도 있다. GDSII 파일은, 설계 레이아웃 데이터의 표현을 위해 사용되는 한 클래스의 파일 중 하나이다. 이러한 파일의 다른 예는, 미국 캘리포니아 밀피타스(Milpitas) 소재의 KLA 독점의 RDF 데이터와 같은 독점적인 파일 포맷 및 GL1 및 OASIS 파일을 포함한다. 설계는, 공동 소유의 2009년 8월 4일자로 Zafar 등등에게 발행된 미국 특허 제7,570,796호, 및 2010년 3월 9일자로 Kulkarni 등등에게 발행된 미국 특허 제7,676,077호에서 설명되는 임의의 다른 설계 데이터 또는 설계 데이터 프록시를 포함할 수도 있는데, 이들 특허 둘 모두는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다. 또한, 설계 데이터는, 표준 셀 라이브러리 데이터, 통합 레이아웃 데이터, 하나 이상의 레이어에 대한 설계 데이터, 설계 데이터의 파생물, 및 전체적인 또는 부분적인 칩 설계 데이터일 수 있다.
"설계" 또는 "물리적 설계"는 또한, 그것이 포토마스크 상에서 이상적으로 형성될 때의 설계일 수도 있다. 이러한 방식에서, 본원에서 설명되는 바와 같은 설계는, 그들 자체가 실제로 인쇄되지 않으면서 웨이퍼 상에서의 피쳐의 인쇄를 향상시키기 위해 설계에 추가되는 임의의 광학 근접 교정(optical proximity correction; OPC) 피쳐를 포함하는 포토마스크 상에 인쇄될 설계의 피쳐 모두를 포함할 수도 있다.
이제, 도면을 참조하면, 도면은 일정한 비율로 묘사되지 않는다는 것을 유의한다. 특히, 도면의 엘리먼트 중 몇몇의 스케일은 그 엘리먼트의 특성을 강조하기 위해 크게 과장된다. 도면은 동일한 비율로 묘사되지 않는다는 것을 또한 유의한다. 유사하게 구성될 수도 있는 하나보다 더 많은 도면에서 도시되는 엘리먼트는, 동일한 참조 부호를 사용하여 나타내어진다. 본원에서 그렇지 않다고 언급되지 않는 한, 설명되고 도시되는 엘리먼트 중 임의의 것은 임의의 적절한 상업적으로 이용 가능한 엘리먼트를 포함할 수도 있다.
본원에서 설명되는 실시형태는 일반적으로 핫 검사(예를 들면, 심자외선(DUV), 예를 들면, 193 nm, 광학 검사)를 사용한 극자외선(EUV) 포토마스크 결함 검사 및 후속되는 이차 하전 입자 빔 이미지를 사용한 실질적으로 빠른 결함 처리에 관한 것이다. EUV 리소그래피는 차세대 리소그래피(NGL)에 대한 선도적인 기술인데, 그 실행 가능성은 중요한 수율에 영향을 끼치는 결함 모두를 캡쳐할 수 있는 올바르게 기능하는 EUV 포토마스크 검사 도구의 이용 가능성에 의존한다. 현존하는 포토마스크 검사 도구의 사용은, 검사에서 검출될 상당히 많은 수의 결함에도 불구하고, 필요한 결함 감도를 달성하기 위해, 그들을 "핫"하게 실행하는 것, 및 그 다음, 하전 입자 빔 이미징(예컨대 주사 전자 현미경 검사(SEM)), 및 어쩌면 원자력 현미경 검사(atomic force microscopy; AFM) 기반의 분류 및 인쇄 가능성 모델링을 사용하여 각각의 검출된 결함(또는 검출된 결함의 적어도 상당한 부분)을 처리하는 것에 의해 EUV 포토마스크 검사로 확장될 수 있다. 본원에서 추가로 설명되는 바와 같이, 실시형태는 검출된 결함을 처리하기 위해 하전 입자 빔 이미지 및 옵션 사항으로(optionally) AFM 이미지를 사용하도록 구성될 수도 있다. 또한, 본원에서 설명되는 몇몇 실시형태는 하전 입자 빔 이미징/분석의 자동화된 알고리즘 및 워크플로우 및 옵션 사항으로 결함 분리, 분류, 및 인쇄 가능성 분석을 포함하는 AFM 분석을 위해 구성된다.
하나의 실시형태는 포토마스크 검사 시스템에 관한 것이다. 그러한 시스템의 하나의 실시형태는 도 1에서 도시된다. 포토마스크 검사 시스템은 에너지를 포토마스크로 지향시키도록 그리고 포토마스크로부터 에너지를 검출하도록 구성되는 검사 서브시스템을 포함한다. 하나의 실시형태에서, 검사 서브시스템에 의해 포토마스크로 지향되는 에너지는 하나 이상의 DUV 파장의 광을 포함한다. 포토마스크는 하나 이상의 EUV 파장의 광에서의 사용을 위해 구성된다. 다시 말하면, 포토마스크는, (예를 들면, 포토마스크에서 웨이퍼로 EUV 광을 반사시키는 것에 의해) 패턴을 포토마스크로부터 웨이퍼로 전송하기 위해 하나 이상의 EUV 파장의 광을 사용하는 리소그래피 프로세스에서 사용되도록 구성된다. 이러한 방식에서, 포토마스크의 화학선 파장(즉, 포토마스크로부터 웨이퍼로 패턴을 전사하고, 그에 의해, 웨이퍼 상의 하나 이상의 재료, 예를 들면, 포토레지스트에서 광화학 반응을 야기하기 위해 사용되는 광의 파장)은 본원에서 설명되는 실시형태에 의해 포토마스크의 검사를 위해 사용되는 광의 파장(들)과 는 본원에서 설명되는 실시형태에서 상이할 수도 있다.
하나의 실시형태에서, 검사 서브시스템에 의해 포토마스크로 지향되는 에너지는 193 nm의 파장을 갖는 광을 포함한다. 다른 실시형태에서, 검사 서브시스템에 의해 포토마스크로 지향되는 에너지는 193 nm로부터 257 nm까지의 범위에 이르는 하나 이상의 파장을 갖는 광을 포함한다. 추가적인 실시형태에서, 검사 서브시스템에 의해 포토마스크로 지향되는 에너지는 13.5 nm의 파장을 갖는 광(또는 다른 EUV 파장의 광)을 포함한다.
도 1에서 도시되는 바와 같이, 포토마스크 검사 시스템은 검사 서브시스템(100)을 포함한다. 포토마스크 검사 시스템이 하나의 검사 서브시스템을 포함하는 것으로 도 1에서 도시되어 있지만, 포토마스크 검사 시스템은 단지 하나의 검사 서브시스템 또는 도 1에서 도시되는 검사 서브시스템(100) 및 도 2에서 도시되며 본원에서 추가로 설명되는 검사 서브시스템(200)과 같은 하나보다 더 많은 검사 서브시스템을 포함할 수도 있다는 것이 이해되어야 한다.
도 1에서 추가로 도시되는 바와 같이, 검사 서브시스템(100)은 광원(102)을 포함한다. 광원(102)은 또한, 레이저와 같은 기술 분야에서 공지되어 있는 임의의 적절한 광원을 포함할 수도 있다. 광원(102)은 광을 빔 스플리터(104)로 지향시키도록 구성되는데, 빔 스플리터(104)는 광원(102)으로부터의 광을 굴절성 광학 엘리먼트(106)로 반사하도록 구성된다. 굴절성 광학 엘리먼트(106)는 빔 스플리터(104)로부터의 광을 포토마스크(108)로 집속시키도록 구성된다. 빔 스플리터(104)는 50/50 빔 스플리터와 같은 임의의 적절한 빔 스플리터를 포함할 수도 있다. 굴절성 광학 엘리먼트(106)는 임의의 적절한 굴절성 광학 엘리먼트를 포함할 수도 있고, 굴절성 광학 엘리먼트(106)가 도 1에서 단일의 굴절성 광학 엘리먼트로서 도시되지만, 그것은 하나 이상의 굴절성 광학 엘리먼트 및/또는 하나 이상의 반사성 광학 엘리먼트로 대체될 수도 있다.
따라서, 광원(102), 빔 스플리터(104), 및 굴절성 광학 엘리먼트(106)는 검사 서브시스템에 대한 조명 채널을 형성할 수도 있다. 조명 채널은 (도 1에서 도시되지 않는) 임의의 다른 적절한 엘리먼트 예컨대 하나 이상의 편광 컴포넌트, 회절 광학 엘리먼트(diffractive optical element; DOE), 및 하나 이상의 필터 예컨대 스펙트럼 필터를 포함할 수도 있다. 도 1에서 도시되는 바와 같이, 광원, 빔 스플리터, 및 굴절성 광학 엘리먼트는, 수직의 또는 실질적으로 수직의 입사각에서 광이 포토마스크로 지향되도록 구성된다. 검사 서브시스템은, 임의의 적절한 방식으로 포토마스크 위에서 광을 스캔하도록 구성될 수도 있다.
조명에 기인하여 포토마스크(108)로부터 반사되는 광은 굴절성 광학 엘리먼트(106)에 의해 수집되고 빔 스플리터(104)를 통해 검출기(110)로 지향될 수도 있다. 따라서, 굴절성 광학 엘리먼트, 빔 스플리터, 및 검출기는 검사 서브시스템의 검출 채널을 형성할 수도 있다. 검출기는 전하 결합 소자(charge coupled device; CCD) 또는 시간 지연 적분기(time-delayed integrator; TDI)와 같은 기술 분야에서 공지되어 있는 임의의 적절한 이미징 검출기를 포함할 수도 있다. 이 검출 채널은 또한, (도 1에서 도시되지 않는) 하나 이상의 추가적인 컴포넌트 예컨대 하나 이상의 편광 컴포넌트, 하나 이상의 공간적 필터, 하나 이상의 스펙트럼 필터, 및 등등을 포함할 수도 있다. 검출기(110)는 검출기에 의해 검출되는 반사된 광에 응답하는 출력을 생성하도록 구성된다. 출력은 신호, 신호 데이터, 이미지, 이미지 데이터, 및 임의의 다른 적절한 출력을 포함할 수도 있다.
검사 서브시스템은 임의의 적절한 방식으로 하나보다 더 많은 모드를 가지도록 구성될 수도 있다. 몇몇 예에서, 검사 서브시스템은 (예를 들면, 포토마스크의 스캔 사이의 개구수(numerical aperture; NA)와 같은 검사 서브시스템의 이미징 렌즈의 하나 이상의 파라미터를 변경하는 것에 의해) 순차적으로 하나보다 더 많은 모드를 가질 수 있다. 더구나, 검사 서브시스템은 몇몇 모드에서 포토마스크를 동시에 스캔할 수 있고 다른 모드에서 순차적으로 스캔할 수도 있다. 포토마스크 검사 시스템은 임의의 적절한 방식으로 임의의 포토마스크의 임의의 스캔을 위해 사용되는 광학 모드(들)를 제어하도록 구성될 수도 있다.
포토마스크 검사 시스템은 도 1에 도시되지 않는 다수의 다른 컴포넌트를 포함할 수도 있다. 예를 들면, 시스템은 로드 모듈, 정렬 모듈, 로봇 이송 암과 같은 핸들러, 및 환경 제어 모듈을 포함할 수도 있고 기술 분야에서 공지되어 있는 임의의 그러한 컴포넌트를 포함할 수도 있다.
포토마스크 검사 시스템은 또한 검출된 에너지에 기초하여 포토마스크 상의 결함을 검출하도록 구성되는 하나 이상의 컴퓨터 서브시스템을 포함한다. 컴퓨터 서브시스템(들)은 하나 이상의 상이한 방식으로 결함을 검출하도록 구성될 수도 있다. 예를 들면, 컴퓨터 서브시스템(들)은, 검출된 에너지(예를 들면, 이미지, 이미지 데이터, 등등)에 응답하는 검사 서브시스템의 검출기에 의해 생성되는 출력을, 포토마스크에 대한 대응하는 설계 정보(예를 들면, GDS 또는 설계 데이터베이스에 저장될 수도 있는 다른 설계 데이터)에 비교하도록 구성될 수도 있다. 따라서, 그러한 결함 검출은 다이 대 데이터베이스 타입 검사(die-to-database type inspection)로 일반적으로 지칭된다. 비교의 결과는 하나 이상의 임계치에 비교될 수도 있다. 임계치(들)를 초과하는 출력은 결함 또는 잠재적 결함에 대응하는 것으로서 식별될 수도 있고, 임계치(들)를 초과하지 않는 출력은 결함 또는 잠재적 결함에 대응하는 것으로서 식별되지 않을 수도 있다. 다른 예에서, 검출된 에너지에 응답하는 그리고 포토마스크 상의 상이한 다이 내의 동일한 위치에서 생성되는 검사 서브시스템의 검출기에 의해 생성되는 출력은 컴퓨터 서브시스템(들)에 의해 서로 비교될 수도 있다. 따라서, 그러한 결함 검출은 다이 대 다이 타입 검사(die-to-die type inspection)로 일반적으로 지칭된다. 그러한 비교의 결과는, 포토마스크 상의 결함을 식별하기 위해 상기에서 설명되는 바와 같이 사용될 수도 있다.
하나의 실시형태에서, 컴퓨터 서브시스템(들)은 핫 임계치(hot threshold)를 사용하여 결함을 검출하도록 구성된다. 예를 들면, 상기 설명된 결함 검출에서 사용되는 임계치(들)는 "핫" 임계치(들)일 수도 있다. "핫" 임계치는, 포토마스크에 대한 검사 서브시스템에 의해 생성되는 출력의 노이즈 플로어(noise floor)에 있는, 그 내에 있는, 또는 실질적으로 그 근처에 있는 임계치로서 일반적으로 정의된다. 이러한 방식에서, 결함 검출은, 튜닝된 검사 레시피에 대해 일반적으로 수행될 것보다 상당히 더 공격적일(더 뜨거울) 수 있고, 그 결과, 튜닝된 검사에서 소망되는 것보다, 결함 및 방해물(nuisance) 이벤트를 비롯한, 더 많은 이벤트가 검출된다. 이러한 방식에서, 그러한 검사는 실질적으로 높은 방해물 결함 검출에 기인하여 생산 모니터링에 대해서는 일반적으로 유용하지 않다. 그러한 임계치를 가지고 수행되는 검사는 "핫" 검사로 일반적으로 지칭되며, 그러한 검사 동안 수행되는 포토마스크의 스캐닝은 "핫" 스캔으로 일반적으로 지칭될 수도 있다.
하나의 실시형태에서, 도 1에서 도시되는 바와 같이, 포토마스크 검사 시스템은 검사 서브시스템(100)에 커플링되는 컴퓨터 서브시스템(116)을 포함한다. 예를 들면, 컴퓨터 서브시스템은 (예를 들면, 도 1에서 파선(dashed line)에 의해 도시되는 하나 이상의 송신 매체 - 이것은 기술 분야에서 공지되어 있는 임의의 적절한 송신 매체를 포함할 수도 있음 - 에 의해) 검사 서브시스템의 검출기, 예를 들면, 검출기(110)에 커플링될 수도 있다. 컴퓨터 서브시스템은, 검사 서브시스템에 의해 생성되는 포토마스크에 대한 출력(예를 들면, 이미지) 및 임의의 다른 정보가 컴퓨터 서브시스템으로 전송될 수 있도록, 그리고, 옵션 사항으로, 컴퓨터 서브시스템이 검사 서브시스템으로 명령어를 전송하여 하나 이상의 단계를 수행할 수 있도록, 임의의 적절한 방식으로 검출기에 커플링될 수도 있다.
이 컴퓨터 서브시스템(뿐만 아니라 본원에서 설명되는 다른 컴퓨터 서브시스템)은 또한, 본원에서 컴퓨터 시스템(들)으로 칭해질 수도 있다. 본원에서 설명되는 컴퓨터 서브시스템(들) 또는 시스템(들)의 각각은, 퍼스널 컴퓨터 시스템, 이미지 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 어플라이언스(appliance), 인터넷 어플라이언스, 또는 다른 디바이스를 비롯한 다양한 형태를 취할 수도 있다. 일반적으로, 용어 "컴퓨터 시스템"은, 메모리 매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 구비하는 임의의 디바이스를 포괄하도록 광의적으로 정의될 수도 있다. 컴퓨터 서브시스템(들) 또는 시스템(들)은, 병렬 프로세서와 같은 기술 분야에서 공지되어 있는 임의의 적절한 프로세서를 또한 포함할 수도 있다. 게다가, 컴퓨터 서브시스템(들) 또는 시스템(들)은, 고속 프로세싱 및 소프트웨어를, 독립형의 또는 네트워크화된 도구로서 갖는 컴퓨터 플랫폼을 포함할 수도 있다.
[FUZ] 시스템이 하나보다 더 많은 컴퓨터 서브시스템을 포함하면, 상이한 컴퓨터 서브시스템은, 이미지, 데이터, 정보, 명령어, 등등이, 본원에서 추가로 설명되는 바와 같이, 컴퓨터 서브시스템 사이에서 전송될 수도 있도록, 서로 커플링될 수도 있다. 예를 들면, 컴퓨터 서브시스템(110)은, 임의의 적절한 송신 매체에 의해(도 1에서 점선에 의해 도시되는 바와 같이) 컴퓨터 서브시스템(118)에 커플링될 수도 있는데, 임의의 적절한 송신 매체는 기술 분야에서 공지되어 있는 임의의 적절한 유선 및/또는 무선 송신 매체를 포함할 수도 있다. 그러한 컴퓨터 서브시스템 중 두 개 이상은 또한, 공유된 컴퓨터 판독 가능 저장 매체(도시되지 않음)에 의해 효과적으로 커플링될 수도 있다.
포토마스크 검사 시스템은 또한 하나 이상의 컴퓨터 서브시스템에 의해 결정되는 검출된 결함의 위치에서 포토마스크의 하전 입자 빔 이미지를 생성하도록 구성되는 하전 입자 빔 서브시스템을 포함한다. 하나의 실시형태에서, 하전 입자 빔 서브시스템은 전자 빔 서브시스템으로서 구성된다. 예를 들면, 도 1에서 도시되는 바와 같이, 전자 빔 서브시스템은 컴퓨터 서브시스템(134)에 커플링되는 전자 칼럼(122)을 포함할 수도 있다.
전자 칼럼은, 하나 이상의 엘리먼트(126)에 의해 포토마스크(128)로 집속되는 전자를 생성하도록 구성되는 전자 빔 소스(124)를 포함한다. 전자 빔 소스는, 예를 들면, 캐소드 소스 또는 이미터 팁을 포함할 수도 있고, 하나 이상의 엘리먼트(126)는, 예를 들면, 건 렌즈(gun lens), 애노드, 빔 제한용 어퍼쳐(beam limiting aperture), 게이트 밸브, 빔 전류 선택 어퍼쳐, 대물 렌즈, 및 스캐닝 서브시스템을 포함할 수도 있는데, 이들 모두는 기술 분야에서 공지되어 있는 임의의 이런 적절한 엘리먼트를 포함할 수도 있다.
포토마스크로부터 되돌아오는 전자(예를 들면, 이차 전자)는 하나 이상의 엘리먼트(130)에 의해 검출기(132)로 집속될 수도 있다. 하나 이상의 엘리먼트(130)는, 예를 들면, 스캐닝 서브시스템을 포함할 수도 있는데, 스캐닝 서브시스템은 엘리먼트(들)(126)에 포함되는 동일한 스캐닝 서브시스템일 수도 있다.
전자 칼럼은 기술 분야에서 공지되어 있는 임의의 다른 적절한 엘리먼트를 포함할 수도 있다. 게다가, 전자 칼럼은 또한, 2014년 4월 4일자로 Jiang 등등에게 발행된 미국 특허 제8,664,594호, 2014년 4월 8일자로 Kojima 등등에게 발행된 미국 특허 제8,692,204호, 2014년 4월 15일자로 Gubbens 등등에게 발행된 미국 특허 제8,698,093호, 및 2014년 5월 6일자로 MacDonald 등등에게 발행된 미국 특허 제8,716,662호에서 설명되는 바와 같이 구성될 수도 있는데, 이들 특허는 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합된다.
전자가 비스듬한 입사각에서 포토마스크로 지향되고 다른 비스듬한 각도에서 포토마스크로부터 산란되도록 구성되는 것으로 전자 칼럼이 도 1에서 도시되지만, 전자 빔은 임의의 적절한 각도에서 포토마스크로 지향될 수도 있고 시료로부터 산란될 수도 있다는 것이 이해되어야 한다. 또한, 전자 빔 서브시스템은, (예를 들면, 상이한 조명 각도, 수집 각도, 등등을 사용하여) 포토마스크의 이미지를 생성하기 위해 다수의 모드를 사용하도록 구성될 수도 있다. 전자 빔 서브시스템의 다수의 모드는 서브시스템의 임의의 이미지 생성 파라미터(들)에서 상이할 수도 있다.
다른 실시형태에서, 하전 입자 빔 서브시스템은 이온 빔 서브시스템으로서 구성된다. 예를 들면, 도 1에서 도시되는 전자 칼럼에서, 전자 빔 소스는 기술 분야에서 공지되어 있는 임의의 적절한 이온 빔 소스를 포함할 수도 있는 이온 빔 소스와 같은 다른 하전 입자 빔 소스로 대체될 수도 있다. 또한, 하전 입자 빔 서브시스템은 상업적으로 이용 가능한 집속 이온 빔(focused ion beam; FIB) 시스템, 헬륨 이온 현미경 검사(helium ion microscopy; HIM) 시스템, 및 이차 이온 질량 분광법(secondary ion mass spectroscopy; SIMS) 시스템에 포함되는 것들과 같은 임의의 다른 적절한 이온 빔 도구 구성을 가질 수도 있다.
컴퓨터 서브시스템(134)은 상기에서 설명되는 바와 같이 검출기(132)에 커플링될 수도 있다. 검출기는 포토마스크의 표면으로부터 되돌아오는 하전 입자를 검출하고 그에 의해 포토마스크의 하전 입자 빔 이미지를 형성할 수도 있다. 하전 입자 빔 이미지는 임의의 적절한 하전 입자 빔 이미지를 포함할 수도 있다. 컴퓨터 서브시스템(134)은 하전 입자 빔 이미지 및/또는 검출기의 출력을 사용하여 본원에서 설명되는 기능 중 임의의 것을 수행하도록 구성될 수도 있다. 컴퓨터 서브시스템(134)은 본원에서 설명되는 임의의 추가적인 단계(들)를 수행하도록 구성될 수도 있다. 컴퓨터 서브시스템(134)은 또한 본원에서 설명되는 바와 같이 추가로 구성될 수도 있다.
본원에서 제공되는 몇몇 실시형태의 설명에서, 하전 입자 빔 서브시스템이 주사 전자 현미경(SEM)으로 지칭될 수도 있고 하전 입자 빔 이미지가 "SEM 이미지"로 지칭될 수도 있지만, 본원에서 설명되는 실시형태 중 어느 것도 SEM 또는 SEM 이미지로 제한되지는 않는다. 예를 들면, 하전 입자 빔 서브시스템은 SEM 및 다른 타입의 전자 빔 도구, 예를 들면, 투과 전자 현미경(transmission electron microscope; TEM)을 포함하는 하전 입자 빔 이미지를 생성하기 위한 임의의 적절한 구성을 가질 수도 있다. 또한, 시스템에 포함되는 하전 입자 빔 서브시스템은, 미국 캘리포니아주 산호세(San Jose) 소재의 Advantest America, Inc.로부터 상업적으로 이용 가능한 Mask DR-SEM E5600 시리즈 및 Mask MVM-SEM® E3600 시리즈의 도구와 같은 상업적으로 이용 가능한 전자 빔 도구를 포함할 수도 있다.
시스템에 포함되는 컴퓨터 서브시스템(들)은 또한, 검사 또는 하전 입자 빔 서브시스템에 커플링되지 않는 컴퓨터 서브시스템(118)과 같은 컴퓨터 서브시스템을 포함할 수도 있다. 이러한 방식에서, 컴퓨터 서브시스템 중 하나는 독립 타입의 컴퓨터 서브시스템일 수도 있는데, 이것은 도 1에서 도시되는 다른 컴퓨터 서브시스템에 커플링될 수도 있다. 예를 들면, 독립 타입의 컴퓨터 서브시스템은 본원에서 설명되는 이미지를 획득하도록 그리고 본원에서 설명되는 다른 단계를 수행하도록 구성될 수도 있다. 하나의 그러한 예에서, 컴퓨터 서브시스템(116 및 134)은 그들 각각의 커플링된 검사 및 하전 입자 빔 서브시스템으로부터 수신되는 이미지를 저장하도록 그리고 본원에서 설명되는 바와 같이 추가로 구성될 수도 있는 저장 매체(120)에 이미지를 저장하도록 구성될 수도 있다. 그 다음, 독립 타입의 컴퓨터 서브시스템은 저장 매체로부터 이미지를 획득하고 이미지의 몇몇 조합을 사용하여 본원에서 설명되는 하나 이상의 단계를 수행할 수도 있다.
상기에서 언급되는 바와 같이, 포토마스크 검사 시스템은 하나보다 더 많은 검사 서브시스템을 포함할 수도 있다. 도 2에서 도시되는 검사 서브시스템은 또한 본원에서 설명되는 실시형태에서 도 1에서 도시되는 검사 서브시스템과 조합하여 또는 그 대신 사용될 수도 있다.
도 2에서 도시되는 바와 같이, 검사 서브시스템(200)은 본원에서 더욱 상세하게 설명되는 바와 같이 조명 서브시스템 및 수집 서브시스템을 포함한다. 조명 서브시스템은 광원(202)을 포함한다. 광원(202)은 레이저와 같은 가간섭성(coherent) 광원일 수도 있다. 광원은 248 nm, 193 nm의 파장, 및/또는 본원에서 설명되는 다른 DUV 또는 EUV 파장을 갖는 단색 광을 방출하도록 구성될 수도 있다. 대안적으로, 광원은 일정 범위의 파장을 갖는 광을 방출하도록 구성될 수도 있고 스펙트럼 필터(도시되지 않음)에 커플링될 수도 있다. 광대역 광원의 한 예는 DUV 파장 체제(regime)에서 광을 생성하는 He-Xe 아크 램프를 포함하지만, 그러나 이들로 제한되지는 않는다. 이 방식에서, 광원 및 필터는 상기에서 설명되는 바와 같은 파장을 갖는 단색광을 방출할 수도 있다. 광원은 연속적으로 또는 다양한 시간 간격에서 펄스로 광을 방출하도록 구성될 수도 있다.
조명 서브시스템은 또한 광원에 커플링되는 다수의 광학 컴포넌트를 포함할 수도 있다. 예를 들면, 광원(202)으로부터의 광은 먼저 균질화기(homogenizer; 204)를 통과할 수도 있다. 균질화기(204)는 광원으로부터의 광의 스펙클(speckle)을 감소시키도록 구성될 수도 있다. 조명 서브시스템은 또한 어퍼쳐(206)를 포함할 수도 있다. 어퍼쳐(206)는 조정 가능한 NA를 가질 수도 있다. 예를 들면, 어퍼쳐는, 시스템 상에서 실행되고 있는 프로그램 레시피로부터 수신되는 프로그램 명령어로부터 또는 유저로부터 수신되는 제어 신호에 따라 어퍼쳐를 기계적으로 변경하도록 구성될 수도 있는 제어 메커니즘에 커플링될 수도 있다. 이러한 방식에서, 광은 다양한 부분 가간섭성 인자인 σ를 가질 수도 있다. 예를 들면, 어퍼쳐(206)는 집광 렌즈(condenser lens; 208)의 동공(pupil)을 조정하기 위해 변경될 수도 있다. 집광 렌즈의 동공은 시스템의 NA를 제어한다. 집광 장치(condenser)의 동공이 감소됨에 따라, 조명의 가간섭성이 증가하고, 그에 의해, σ의 값을 감소시킨다. σ의 값은 집광 렌즈의 NA 대 대물 렌즈의 NA의 비율로서 표현될 수도 있다. 노출 시스템은 약 0.3 내지 약 0.9 사이의 범위 내의 σ 값을 가질 수도 있다. 따라서, 어퍼쳐(206)는 검사 서브시스템이 약 0.3과 약 0.9 사이의 σ 값을 가지도록 변경될 수도 있다. σ의 값은 포토마스크 상의 피쳐에 따라 변경될 수도 있다. 예를 들면, 포토마스크가 콘택 홀을 포함하는 경우보다 포토마스크가 라인 및 공간을 포함하는 경우 σ에 대해 더 높은 값이 사용될 수도 있다. 제어 메커니즘은 또한 환형 또는 축외 조명을 제공하기 위해 어퍼쳐를 변경하도록 구성될 수도 있다. 어퍼쳐는 또한 4 극 또는 쌍극 조명과 같은 다른 타입의 조명을 제공하도록 구성될 수도 있다. 어퍼쳐는 광의 빔의 형상을 변경하도록 추가로 구성될 수도 있다. 예를 들면, 어퍼쳐는 회절 광학 엘리먼트 또는 아포다이제이션 어퍼쳐일 수도 있다.
조명 서브시스템은 또한 다수의 추가적인 광학 컴포넌트(도시되지 않음)를 포함할 수도 있다. 예를 들면, 조명 서브시스템은 또한 광의 빔 직경을 변경하도록 구성되는 망원경을 포함할 수도 있다. 또한, 조명 서브시스템은 하나 이상의 릴레이 렌즈(relay lens), 필드 렌즈와 같은 추가적인 렌즈, 폴딩 미러, 추가적인 어퍼쳐, 및 빔 스플리터를 포함할 수도 있다.
조명 서브시스템은 또한 집광 렌즈(208)를 포함할 수도 있다. 집광 렌즈(208)는 오브젝트(포토마스크) 평면에서의 광의 직경을 대략 서브시스템의 시야로, 또는 그 보다 더 크게 변경하도록 구성될 수도 있다. 집광 렌즈를 빠져나가는 광은 집광 렌즈로부터의 광을 포토마스크(210)로 지향시키고, 그에 의해, 스테이지(212) 상에서 지지되는 포토마스크(210)를 조명하는 빔 스플리터(209)로 지향될 수도 있다. 빔 스플리터(209)는 기술 분야에서 공지되어 있는 임의의 적절한 빔 스플리터를 포함할 수도 있다. 스테이지는 포토마스크의 외측 에지에 근접한 포토마스크와 접촉하는 것에 의해 포토마스크를 지지하도록 구성된다. 스테이지(212)는, 포토마스크의 정렬이 변경될 수도 있도록 그리고 광이 포토마스크에 걸쳐 스캔할 수도 있도록 포토마스크를 이동시키도록 구성될 수도 있다. 대안적으로, 조명 시스템은, 광이 포토마스크에 걸쳐 스캔되는 동안 포토마스크가 실질적으로 고정된 상태로 유지될 수도 있도록 음향 광학 편향기 또는 기계적 스캐닝 어셈블리와 같은 스캐닝 엘리먼트(도시되지 않음)를 포함할 수도 있다. 스테이지(212)는 또한 초점을 통해 포토마스크를 이동시키도록, 그에 의해, 검사 서브시스템의 초점 설정을 변경하도록 구성될 수도 있다. 스테이지는 또한 스테이지의 위치를 변경하도록, 그에 의해, 포토마스크의 위치를 변경하여 검사 동안 검사 서브시스템의 초점 설정을 유지하도록 구성되는 자동 초점 조절 디바이스(autofocusing device)(도시되지 않음)에 커플링될 수도 있다. 대안적으로, 자동 초점 조절 디바이스는 대물 렌즈의 위치를 변경하여 검사 동안 초점 설정을 유지하기 위해 대물 렌즈에 커플링될 수도 있다.
검사 서브시스템은 또한 수집 서브시스템을 형성하도록 배열되는 다수의 광학 컴포넌트를 포함할 수도 있다. 예를 들면, 수집 서브시스템은 대물 렌즈(214)를 포함한다. 포토마스크에서 반사되는 광은 대물 렌즈(214)에 의해 수집된다. 수집 서브시스템은 또한 조정 가능한 NA를 갖는 어퍼쳐(216)를 포함한다. 어퍼쳐(216)의 NA는 또한 어퍼쳐를 빠져나가는 광이 선택된 배율을 가지도록 선택될 수도 있다. 어퍼쳐(216)는 대물 렌즈(214)와 렌즈(218) 사이에서 위치되는데, 이들 렌즈는 튜브 렌즈로서 구성될 수도 있다. 렌즈(218)로부터의 광은 빔 스플리터(220)로 지향될 수도 있다. 빔 스플리터(220)는 광을 세 개의 검출기(222, 224, 226)로 지향시키도록 구성될 수도 있다. 수집 서브시스템은 또한 배율 렌즈(magnification lens)와 같은 다수의 추가적인 광학 컴포넌트(도시되지 않음)를 포함할 수도 있다. 배율 렌즈는 렌즈(218)와 빔 스플리터(220) 사이에 위치될 수도 있다.
검출기(222, 224, 및 226)는 포토마스크의 조명된 부분에 의해 반사되는 광의 이미지를 형성하도록 구성될 수도 있다. 그러한 이미지는 "에어리얼 이미지(aerial image)"로 지칭될 수도 있다. 검출기는, 예를 들면, CCD 또는 TDI 카메라를 포함할 수도 있다. 검출기는 또한 픽셀의 일차원 또는 이차원 어레이를 가질 수도 있다. 세 개의 검출기의 각각은 상이한 초점 설정을 가질 수도 있다. 이러한 방식에서, 세 개의 검출기는 실질적으로 동시에 세 개의 상이한 초점 설정에서 포토마스크의 이미지를 형성할 수도 있다. 예를 들면, 하나의 검출기는 실질적으로 초점이 맞을 수도 있고, 다른 두 개의 검출기는 초점이 맞은 상태와 관련하여 반대 방향에서 초점이 맞지 않을 수도 있다. 또한, 검사 서브시스템은 검사 서브시스템의 기계적 또는 물리적 제약에 따라 임의의 수의 그러한 검출기를 포함할 수도 있다.
대안적으로, 검사 서브시스템은 포토마스크의 이미지를 형성하도록 구성되는 하나의 검출기만을 포함할 수도 있다. 검출기는 노출 시스템의 초점 설정과 대략 동일한 초점 설정을 가질 수도 있다. 상이한 초점 설정에서의 포토마스크의 이미지는 각각의 이미지가 형성된 이후 검출기의 초점 설정을 변경하는 것에 의해 형성될 수도 있다. 그러한 실시형태에서, 빔 스플리터(220)는 광을 다수의 검출기로 분할하는 데 필요하지 않을 것이다.
컴퓨터 서브시스템(228)은 검사 서브시스템(200)에 커플링될 수도 있다. 예를 들면, 컴퓨터 서브시스템은, (예를 들면, 기술 분야에서 공지되어 있는 임의의 적절한 송신 매체를 포함할 수도 있는, 도 2에서 파선에 의해 도시되는 하나 이상의 송신 매체에 의해) 검사 서브시스템의 검출기, 예를 들면, 검출기(222, 224, 및 226)에 커플링될 수도 있다. 컴퓨터 서브시스템은 검출기에 임의의 적절한 방식으로 커플링될 수도 있다. 컴퓨터 서브시스템은, 검사 서브시스템에 의해 생성되는 포토마스크에 대한 이미지(들) 및 임의의 다른 정보가 컴퓨터 서브시스템으로 전송될 수 있도록, 그리고, 옵션 사항으로, 컴퓨터 서브시스템이 검사 서브시스템으로 명령어를 전송하여 본원에서 설명되는 하나 이상의 단계를 수행할 수 있도록, 임의의 다른 적절한 방식으로 검사 서브시스템에 커플링될 수도 있다.
도 1 및 도 2는, 본원에서 설명되는 실시형태에서 포함될 수도 있는 검사 및 하전 입자 빔 서브시스템의 몇몇 구성을 일반적으로 예시하기 위해 본원에서 제공된다는 것을 유의한다. 분명히, 본원에서 설명되는 검사 및 하전 입자 빔 서브시스템의 구성은, 상업적 검사 시스템을 설계할 때 일반적으로 수행되는 시스템의 성능을 최적화하기 위해 변경될 수도 있다. 또한, 본원에서 설명되는 포토마스크 검사 시스템은 KLA로부터 상업적으로 이용 가능한 포토마스크 검사 도구와 같은 현존하는 검사 및 하전 입자 빔 서브시스템을 사용하여(예를 들면, 현존하는 검사 및/또는 하전 입자 빔 검사 시스템에 본원에서 설명되는 기능성(functionality)을 추가하는 것에 의해) 구현될 수도 있다. 몇몇 이러한 시스템의 경우, 본원에서 설명되는 실시형태는 시스템의 옵션 사항의 기능성으로서 (예를 들면, 시스템의 다른 기능성에 추가하여) 제공될 수도 있다. 대안적으로, 본원에서 설명되는 포토마스크 검사 시스템은 완전히 새로운 시스템을 제공하기 위해 "처음부터(from scratch)" 설계될 수도 있다.
상기에서 설명되는 바와 같이, 검사 서브시스템은 하나 이상의 파장을 갖는 광을 사용하여 포토마스크를 스캔하도록 구성되는 광학 검사 서브시스템으로서 구성될 수도 있다. 그러나, 검사 서브시스템은 상이한 타입의 검사 서브시스템일 수 있다. 예를 들면, 하나의 실시형태에서, 검사 서브시스템에 의해 포토마스크로 지향되는 에너지는 전자를 포함한다. 다른 실시형태에서, 검사 서브시스템에 의해 포토마스크로 지향되는 에너지는 이온을 포함한다. 그러한 실시형태에서, 검사 서브시스템은 도 1의 전자 칼럼(122)에 의해 도시되는 것과 유사한 방식으로 구성될 수도 있다(어쩌면 이온 빔 소스에 의해 대체되는 전자 소스를 가짐). 이러한 방식에서, 도 1에서 도시되는 광학 검사 서브시스템은 전자 빔 또는 이온 빔 검사 서브시스템으로 대체될 수도 있고, 시스템은 두 개의 하전 입자 빔 서브시스템(하나는 검사를 위한 것이고 다른 하나는 검출된 결함에 대한 하전 입자 빔 이미지를 생성하기 위한 것임)을 포함할 수도 있다.
두 개의 하전 입자 빔 서브시스템은, 서브시스템 중 하나가 특히 검사에 대해 적합하고 한편 다른 하나가 하전 입자 빔 이미지를 생성하는 데 특히 적합하도록, 하나 이상의 파라미터에서 상이할 수도 있다. 예를 들면, 두 개의 서브시스템은 (검사를 위해 사용되는 서브시스템이 하전 입자 빔 이미징을 위해 사용되는 것보다 더 낮은 해상도 성능을 가지도록) 해상도 성능에서 상이할 수도 있다. 다른 대안예에서, 시스템은 검사 및 하전 입자 빔 이미징 둘 모두에 대해 사용되는 하나의 하전 입자 빔 서브시스템을 포함할 수도 있고, 서브시스템의 하나 이상의 파라미터는, 서브시스템이 작업 둘 모두에 대해 사용될 수 있도록, 검사와 이미징 사이에서 변경될 수 있다. 예를 들면, 도 1에서 도시되는 하전 입자 빔 서브시스템은, 검사에 대해 사용되기 보다는 이미징에 대해 사용되는 경우, 더 높은 해상도를 가지도록 구성될 수도 있다. 다시 말하면, 도 1에서 도시되는 하전 입자 빔 서브시스템의 실시형태는, 상이한 애플리케이션에 대해 더 많이 또는 더 적게 적절한 상이한 이미징 성능을 갖는 서브시스템을 생성하기 위해 기술 분야에서 숙련된 자에게 명백할 다수의 방식으로 재단될 수 있는 하전 입자 빔 서브시스템에 대한 몇몇 일반적이고 다양한 구성을 설명한다. 포토마스크의 전자 빔 검사는 또한, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는 ["Capability of Model EBEYE M for EUV Mask Production" by Naka et al., November 8, 2012, SPIE Photomask Technology 2012, Proceedings Volume 8522, 14 pages]에서 설명되는 바와 같이 수행될 수도 있다. 본원에서 설명되는 실시형태는 또한, 이 참고 문헌에서 설명되는 바와 같이 구성될 수도 있다.
통상적인 EUV 포토마스크 스택이 도 3에서 예시되어 있다. 마스크 기판(도시되지 않음)은, 도 3에서 집합적으로 박막(300)으로 도시되는, 상대적으로 얇은 층의 루테늄(Ru)으로 캐핑되는 40 쌍의 몰리브덴(Mo)/실리콘(Si) 다층(ML) 박막으로 피복된다. 탄탈룸 붕소 질화물(tantalum boron nitride; TaBN)(302) 및 탄탈룸 붕소 산화물(tantalum boron oxide; TaBO)(304)의 이중층 필름이 흡수체(absorber)로서 역할을 하는데, 이것은 선택적으로 에칭되어 마스크 패턴을 형성한다. EUV 마스크 검사는 결함 부위(defect site)를 캡쳐하도록 DUV 검사 도구에 의해 행해질 수 있다. EUV 마스크 결함이 DUV 검사 도구에서 생성하는 상대적으로 낮은 신호 대 노이즈 비율(S/N 또는 SNR)에 기인하여, DUV 검사 도구가 상대적으로 높은 감도 모드에서 사용되는 경우 결함 부위의 수는 수천 및 수십만이 될 수 있다.
컴퓨터 서브시스템(들)은 검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 기초하여 검출된 결함을 처리하도록 구성된다. "처리하는(dispositioning)"은, 그 용어가 본원에서 사용될 때, 검출된 결함을 적절하게 다루는 방법에 대한 최종 결정을 행하기 위해 사용될 수 있는 검출된 결함에 대한 추가적인 정보, 예를 들면, 검출된 결함이 수리되어야 한다는 것, 검출된 결함이 방해물이며 무시될 수 있다는 것, 검출된 결함이 수리될 필요는 없지만 그러나 포토마스크를 사용하여 형성되는 웨이퍼 패턴에 대한 검출된 결함의 영향에 대해 포토마스크를 사용하여 인쇄되는 웨이퍼가 모니터링되어야 한다는 것, 등등을 결정하는 것으로 정의된다. 예를 들면, 본원에서 설명되는 마스크의 이차 하전 입자 빔(e 빔 또는 이온) 이미징은 상대적으로 높은 가속 전압을 갖는 검사보다 더 높은 해상도를 제공하여 마스크 상의 검출된 결함의 훨씬 더 나은 재검토를 허용한다. 따라서, 하전 입자 빔 이미지는, 검출된 결함에 대한 정보를 검출된 결함의 검사 이미지보다 더 정확하게(더 높은 해상도를 가짐) 결정하기 위해 사용될 수 있고, 그에 의해, 검출된 결함에 대한 결정(처리)을 더욱 효과적으로 하기 위해 사용될 수 있는 추가적인 정보가 하전 입자 빔 이미지로부터 결정되는 것을 가능하게 할 수 있다. 다중 빔 및 다중 열 전자 빔 이미징에서의 최근의 발전은 대규모 SEM 데이터 수집을 또한 허용한다. 이러한 방식에서, 검사가 완료된 이후, 테스트 SEM 이미지는, 검사 출력의 이전 단계로부터 결정되는 각각의 검출된 결함 위치(또는 검출된 결함 위치의 선택된 서브세트)에서, 마스크 재검토, CD-SEM, 또는 전자 빔 검사 도구 상에서 캡쳐될 수 있다(또는 이온 빔 이미지는 본원에서 설명되는 이온 빔 도구를 사용하여 캡쳐될 수 있음). 그 다음, 각각의 테스트 하전 입자 빔 이미지는 본원에서 추가로 설명되는 다음의 양태 - 결함 분리, 분류, 및 인쇄 가능성 - 중 하나 이상으로부터 처리될 수도 있다.
하나의 실시형태에서, 하나 이상의 컴퓨터 서브시스템은, 본원에서 추가로 설명되는 바와 같이 수행될 수도 있는 핫 임계치를 사용하여 결함을 검출하도록 구성된다. 이러한 방식에서, 본원에서 설명되는 실시형태는 핫 검사 실행을 사용하여 실질적인 고감도 EUV 포토마스크 결함 검사를 제공할 수 있고, 이차 하전 입자 빔 이미지를 사용하여 실질적으로 많은 수의 검출된 결함의 후속하는 분류 및 웨이퍼 인쇄 가능성 시뮬레이션이 후속될 수 있다. 하전 입자 빔 이미지가 검사보다 검출된 결함에 대해 더 높은 해상도를 제공하기 때문에, 하전 입자 빔 이미지는 검출된 결함에 대해, 검사 이미지 또는 신호보다 더 정확한 정보(예를 들면, 더 정확한 위치, 더 정확한 치수 및 다른 특성 예컨대 텍스쳐, 형상, 등등)를 제공한다.
다른 실시형태에서, 검출된 결함을 처리하는 것은, 검출된 결함이 실제 결함인지 또는 거짓 결함인지를 결정하는 것을 포함한다. 예를 들면, 검출된 결함이 실제인지를 평가하기 위해 각각의 하전 입자 빔 이미지는 재검토될 수도 있다. 검출된 결함이 실제인지를 결정하는 것은, 검출된 결함의 하나 이상의 특성을 결정하는 것 및 실제 결함을 거짓 결함으로부터 분리하는 미리 결정된 기준에 하나 이상의 특성을 비교하는 것을 포함할 수도 있다. 예를 들면, 검출된 결함의 하전 입자 빔 이미지는 검출된 결함의 치수를 결정하기 위해 컴퓨터 서브시스템(들)에 의해 프로세싱될 수도 있다. 그 다음, 결정된 치수는 사이즈에 기초하여 실제 결함을 거짓 결함으로부터 분리하는 임계치에 컴퓨터 서브시스템(들)에 의해 비교될 수도 있다. 하전 입자 빔 이미지로부터 결정되는 검출된 결함의 다른 특성(들)은 실제 결함을 거짓 결함으로부터 분리하기 위해 유사한 방식으로 사용될 수 있다.
컴퓨터 서브시스템(들)이 검사 서브시스템의 출력에 핫 임계치를 적용하는 것에 의해 포토마스크 상의 결함을 검출하도록 구성될 수도 있기 때문에, 검출된 결함의 대부분은 "거짓" 또는 "방해물" 결함을 포함할 수도 있다. "거짓 결함"은, 그 용어가 본원에서 사용될 때, 포토마스크 상에서 그 자체로 검출되지만 그러나 포토마스크 상의 실제 결함이 아닌 결함으로서 일반적으로 정의된다. 대신, "거짓 결함"은, 포토마스크 상의 비결함 노이즈 소스(예를 들면, 라인 에지 조도(line edge roughness; LER), 패턴화된 피쳐에서의 상대적으로 작은 임계 치수(critical dimension; CD) 변동, 두께 변동, 등등)에 기인하여 및/또는 검사 서브시스템 그 자체 또는 검사를 위해 사용되는 그것의 구성에서의 여유도(marginality)에 기인하여 검출될 수도 있다.
따라서, 일반적으로, 마스크 검사의 목표는 포토마스크 상에서 거짓 결함을 검출하는 것이 아니다. 그러나, 본원에서 설명되는 실시형태에서, 컴퓨터 서브시스템(들)은, 모든 실제 결함(심지어 상대적으로 낮은 SNR을 갖는 결함)이 검사에 의해 캡쳐되는 것을 보장하기 위해, 핫 스캔(즉, 에너지가 포토마스크에 걸쳐 스캔되고 핫 임계치가 출력에 적용되어 결함을 검출하고, 그에 의해 그것을 "핫 스캔"으로 만들 때 포토마스크에 대해 출력이 생성되는 스캔)을 실행할 수도 있다. 다시 말하면, 그것이 핫 스캔이기 때문에, 상당한 수의 거짓 결함이 검출될 것이고 적어도 몇몇 실제 결함 또는 주목하는 결함(defect of interest; DOI)도 또한 검출될 것이다(그들이 핫 스캔에 의해 또한 검출될 것이기 때문이다). 검사에 의해 검출되는 실제 및 거짓 결함의 수에 관계없이, 실제 결함은 하전 입자 빔 이미지를 사용하여 거짓 결함으로부터 분리될 수 있다. 그 다음, 거짓 결함과는 달리, "실제" 결함은, 그 용어가 본원에서 사용될 때, 검사에 의해 검출되고 하전 입자 빔 이미지 프로세싱에 의해 실제 결함 및/또는 DOI로서 확인되는 결함으로서 일반적으로 정의될 수 있다. 따라서, 실제 결함 또는 거짓 결함으로서 궁극적으로 자격을 부여하는 것은, 포토마스크 유저에 의해 설정되는 품질 명세에 의해 제어될 수도 있다.
몇몇 실시형태에서, 검출된 결함을 처리하는 것은, 검출된 결함이 실제 결함인지 또는 거짓 결함인지를 결정하는 것 - 이것은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있음 - , 및 웨이퍼가 리소그래피 프로세스에서 포토마스크를 사용하여 인쇄되는 경우 웨이퍼 상의 실제 결함의 인쇄 가능성을 결정하는 것을 포함한다. 다시 말하면, 인쇄 가능성을 결정하는 것은, 포토마스크를 사용하여 수행될 웨이퍼 인쇄(리소그래피) 프로세스를 시뮬레이팅하고, 그에 의해, 실제 결함이 웨이퍼 상에 인쇄되는 패턴에 어떻게 영향을 끼칠지를 예측한다. 예를 들면, 검출된 결함에 대해 생성되는 각각의 하전 입자 빔 이미지는 검출된 결함이 실제인지, 그리고 만약 실제이면, 그것이 크리티컬하고 웨이퍼 인쇄에서 상대적으로 큰 에러를 야기할지 또는 웨이퍼 인쇄에서 방해물 및 거의 관측 가능하지 않은 에러를 야기할지의 여부를 평가하기 위해 재검토될 수도 있다. 이것을 수동으로 수행하고 사람의 판단을 사용하는 대신, EUV 리소그래피 프로세스를 모델링하는 자동화되고 실질적으로 정확한 방식이 본원에서 설명되는 실시형태에 의해 제공되며, 그에 의해, 검출된 결함 하전 입자 빔 이미지로 시작하여 마스크 흡수체 결함의 인쇄 가능성의 실질적으로 정확한 예측을 가능하게 한다.
하나의 그러한 실시형태에서, 인쇄 가능성을 결정하는 것은, 실제 결함에 대해 생성되는 하전 입자 빔 이미지를 리소그래피 프로세스의 모델에 입력하는 것, 그에 의해, 실제 결함이 리소그래피 프로세스에서 웨이퍼 상에 인쇄되는 하나 이상의 패턴에 어떻게 영향을 끼치는지를 예시하는 시뮬레이팅된 웨이퍼 이미지를 생성하는 것을 포함한다. 인쇄 가능성을 결정하는 것은 웨이퍼 노출 조건 시뮬레이션에 의한 결함 인쇄 영향을 예측한다. 이 시뮬레이션은 마스크 니어 필드 시뮬레이션(mask near-field simulation)을 포함할 수도 있는데, 이것은, Kirchoff(키르히호프) 근사, 엄격한 유한 차분 시간 도메인(finite difference time domain; FDTD) 솔버, 정밀한 결합파 해석(rigorous coupled wave analysis; RCWA), 또는 컴팩트 근사 모델(compact approximation model) 예컨대 결함 인쇄 가능성 시뮬레이터(Defect Printability Simulator; DPS) 마스크 모델(그 예는, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는, ["Compensation methods using a new model for buried defects in extreme ultraviolet lithography masks", by Clifford et al., Photomask Technology 2010, Proceedings of SPIE Vol. 7823, 78230V, September 24, 2010, 10 pages]에서 설명됨), 광학기기, 및 레지스트 노출 및 현상 모델 - 이것은 기술 분야에서 공지되어 있는 임의의 적절한 그러한 모델을 포함할 수도 있음 - 중 어느 하나를 사용할 수 있다. 웨이퍼 프로세스가 아직 고정되지 않았거나 또는 마스크 니어 필드 모델(mask near field model)이 잘 결정되지 않은 경우, 인쇄 가능성은, 대략적인 추정을 위한 웨이퍼 노출 조건 시뮬레이션 없이, 마스크 바이너리 레이아웃에 대해 직접적으로 체크될 수 있다. 본원에서 설명되는 실시형태는 상기 언급된 논문에서 설명되는 바와 같이 구성될 수도 있다. 인쇄 가능성을 결정하는 것은, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는, 2015년 4월 7일자로 Marella 등등에게 발행된 미국 특허 번호 제9,002,497호, 및 2016년 10월 25일자로 Sezginer 등등에게 발행된 미국 특허 번호 제9,478,019호에서 설명되는 바와 같이 또한 수행될 수도 있다.
다른 실시형태에서, 검출된 결함을 처리하는 것은, 웨이퍼가 리소그래피 프로세스에서 포토마스크를 사용하여 인쇄되는 경우 웨이퍼 상의 검출된 결함의 인쇄 가능성을 결정하는 것을 포함하고, 인쇄 가능성을 결정하는 것은, 포토마스크에 대한 설계 정보를 하전 입자 빔 서브시스템의 모델에 입력하고, 그에 의해, 포토마스크의 결함이 없는 버전의 시뮬레이팅된 하전 입자 빔 기준 이미지를 생성하는 것, 검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 대한 그리고 시뮬레이팅된 하전 입자 빔 기준 이미지에 대한 에어리얼 이미지를 시뮬레이팅하는 것, 및 시뮬레이팅된 에어리얼 이미지에 기초하여 리소그래피 프로세스에서 웨이퍼 상에 인쇄되는 하나 이상의 패턴에 대해 검출된 결함이 얼마나 영향을 끼치는지를 결정하는 것을 포함한다.
상기의 실시형태에서, 결함이 없는 마스크는 다이 대 데이터베이스 타입 접근법에서 검출된 결함의 위치에 대응하는 포스트 OPC(post-OPC) 설계 데이터베이스 클립으로부터 렌더링될 수도 있다. 그 다음, 하전 입자 빔 기반의 처리 워크플로우는 도 6에서 도시되는 바와 같이 세 가지 주요 국면(phase)을 수반한다.
제1 국면에서, 하전 입자 빔 도구로부터의 원래의 이미지(하전 입자 빔 이미징 동안 생성되는 포토마스크의 이미지)는, 이미지의 품질을 향상시키기 위해, 먼저 노이즈가 제거되고(de-noised) 개선될 수도 있다. 도 6에서 도시되는 예에서, 원래의 하전 입자 빔 이미지(600)는 노이즈가 제거된 테스트 이미지(602)를 생성하기 위해 노이즈가 제거되고 개선될 수도 있다. 원래의 하전 입자 빔 이미지의 노이즈 제거 및 개선은 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 수행될 수도 있다. 그 다음, 검출된 결함과 동일한 위치에 있는 포스트 OPC 설계 데이터베이스는 클리핑되고(즉, 추출되고), 결함이 없는 기준 하전 입자 빔 이미지를 렌더링하기 위해, 하전 입자 빔 모델이 적용된다. 하전 입자 빔 모델은 기술 분야에서 공지되어 있는 임의의 적절한 하전 입자 빔 모델을 포함할 수도 있다. 도 6에서 도시되는 예에서, 설계 데이터 클립(604)(예를 들면, GDS 데이터 부분)은 설계 데이터에서 룩업 함수를 사용하여 생성되고(룩업은 테스트 하전 입자 빔 이미지를 사용하여 수행될 수도 있음), 결함이 없는 기준 하전 입자 빔 이미지(606)를 생성하기 위해, 하전 입자 빔 모델이 설계 데이터 클립에 적용된다. 렌더링은 실제 결함이 없는 하전 입자 빔 이미지 및 그들의 대응하는 설계 데이터 클립을 사용하여 교정될 수도 있다. 렌더링된 결함이 없는 하전 입자 빔 이미지는 그레이 스케일 이미지일 수도 있다. 노이즈 제거 테스트 및 렌더링된 기준 하전 입자 빔 이미지는 정렬되고, 그 다음, 감산되어, 그레이스케일 차이 이미지를 생성하는데, 이것은, 그 다음, 로컬 그레이 레벨 변동에 의해 결함 부위를 분리하기 위해 사용한다. 정렬 및 감산은 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 수행될 수도 있다. 도 6에서 도시되는 바와 같이, 기준 이미지(606)는 차이 그레이 스케일 이미지(608)를 생성하기 위해 노이즈가 제거된 테스트 이미지(602)로부터 감산될 수도 있다. 결함 분리는 결함 위치 이미지(610)를 생성하기 위해 차이 이미지(608)를 사용하여 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다.
제2 국면에서, 이진 윤곽(binary contour)은, 검출된 결함을 포함하는 테스트 이진 이미지를 생성하기 위해 노이즈가 제거된 하전 입자 빔 테스트 이미지로부터 그리고 또한, 기준 이진 이미지를 생성하기 위해 렌더링된 하전 입자 빔 이미지로부터 추출될 수도 있다. 윤곽 추출은 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 테스트 및 렌더링된 하전 입자 빔 이미지 둘 모두에 적용될 수도 있다. 도 6에서 도시되는 바와 같이, 이진 윤곽이 노이즈가 제거된 테스트 이미지(602)로부터 추출될 수도 있고, 그에 의해, 테스트 이진 이미지(612)를 생성할 수도 있으며, 이진 윤곽은 결함이 없는 기준 하전 입자 빔 이미지(606)로부터 추출될 수도 있고, 그에 의해, 기준 이진 이미지(614)를 생성할 수도 있다. 그 다음, 테스트 이진 이미지로부터 기준 이진 이미지를 감산하는 것에 의해 이진 차이 이미지가 생성될 수도 있다. 예를 들면, 도 6에서 도시되는 바와 같이, 기준 이진 이미지(614)는 테스트 이진 이미지(612)로부터 감산될 수도 있고, 그에 의해, 이진 차이 이미지(616)를 생성할 수도 있다.
이렇게 생성되는 그레이 및 이진 차이 이미지는, 그 다음, 제1 국면에서 분리되는 결함으로부터 결함 메트릭(defect metric)을 계산하기 위해 그리고 결함의 타입, 예를 들면, 라인 에지 조도(LER), PinHole, PinDot, Intrusion(침입), Extrusion(압출), 등등을 효과적으로 결정하기 위해 사용될 수도 있다. 예를 들면, 도 6에서 도시되는 바와 같이, 차이 이미지(608) 및 이진 차이 이미지(616)는 결함 메트릭(618)을 계산하기 위해 사용될 수도 있다. 결함 메트릭은 결함 정보 테이블과 같은 데이터 구조로 편제될 수도 있고 이진 차이 이미지에서의 결함 영역, 이진 차이 이미지에서의 x 및 y 방향에서의 사이즈, 그레이 스케일 차이 이미지에서의 결함 영역, 및 그레이 스케일 차이 이미지에서의 x 및 y 방향에서의 사이즈와 같은 정보를 포함할 수도 있다. 결함 메트릭은, 결함 분류(622)를 생성하기 위해 상이한 결함 타입을 정의하는 가이드라인(620)과 함께 사용될 수도 있거나 또는 그 가이드라인(620)에 입력될 수도 있다. 결함 메트릭은, 그렇지 않으면, 본 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 그레이 스케일 차이 이미지 및 이진 차이 이미지로부터 결정될 수도 있다. 결함 분류는 또한 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다.
제3 국면에서, 테스트 및 기준 EUV 에어리얼 이미지를 생성하기 위해 관련된 스캐너 노출 조건과 함께 테스트 및 기준 이진 이미지 둘 모두에 적절한 마스크 모델이 적용될 수도 있다. 마스크 모델은 본원에서 추가로 설명되는 바와 같이 이미지에 적용될 수도 있다. 이러한 방식에서, 컴퓨터 서브시스템(들)은 스캐너 광학 조건을 사용하여 인쇄 가능성 시뮬레이션을 실행할 수도 있다. 예를 들면, 도 6에서 도시되는 바와 같이, 테스트 이진 이미지(612)는 테스트 에어리얼 이미지(624)를 생성하기 위해 EUV 리소그래피 시뮬레이션에 입력될 수도 있고, 기준 이진 이미지(614)는 기준 에어리얼 이미지(626)를 생성하기 위해 EUV 리소그래피 시뮬레이션에 입력될 수도 있다. 그 다음, 에어리얼 이미지 분석기(Arial Image Analyzer; AIA)가 실행되어 결함이 위치되는 하전 입자 빔 서브시스템의 시야(field of view; FOV) 내 모든 피쳐의 인쇄 가능성을 계산할 수도 있다. 예를 들면, 도 6에서 도시되는 바와 같이, 테스트 에어리얼 이미지(624) 및 기준 에어리얼 이미지(626)는, 리소그래피 프로세스 동안 웨이퍼 상에 투사되는 에어리얼 이미지에서 마스크의 피쳐에 결함이 어떻게 영향을 끼칠지를 보여주는 시뮬레이팅된 이미지(628)를 생성할 수도 있는 AIA에 입력될 수도 있다. 본원에서 설명되는 실시형태에서 사용될 수도 있는 AIA의 예는, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는, ["Printability and actinic AIMS review of programmed mask blank defects", by Verduijn et al., SPIE Proceedings Vol. 10143, Extreme Ultraviolet (EUV) Lithography VIII, 101430K, March 24, 2017, 13 pages]에서 설명된다. 본원에서 설명되는 실시형태는 또한, 이 출판물에서 설명되는 바와 같이 추가로 구성될 수도 있다.
몇몇 실시형태에서, 하전 입자 빔 서브시스템은 검출된 결함의 위치 모두에서 하전 입자 빔 이미지의 자동화된 생성을 위해 구성되며, 검출된 결함을 처리하는 것은 검출된 결함이 실제 결함인지 또는 거짓 결함인지를 결정하는 것을 포함하고, 하나 이상의 컴퓨터 서브시스템은 실제 결함인 것으로 결정되는 검출된 결함 모두의 자동화된 처리를 위해 구성된다. 예를 들면, 하전 입자 빔 서브시스템은 검사에 의해 보고되는 검출된 결함의 각각에 대해 하전 입자 빔 이미지를 자동적으로 생성하도록 구성될 수도 있다. 특히, 하전 입자 빔 이미지를 생성하기 위해 사용되는 레시피(즉, 명령어의 세트)는, 컴퓨터 서브시스템(들)에 의해 결정되는 검출된 결함의 위치의 각각에서 하전 입자 빔 이미지를 생성할 것을 하전 입자 빔 서브시스템에게 지시할 수도 있고, 그에 의해, 검출된 결함 모두에 대한 하전 입자 빔 이미지의 자동 생성을 가능하게 할 수도 있다. 또한, 컴퓨터 서브시스템(들)은 검출된 결함에 대해 생성되는 하전 입자 빔 이미지의 각각을 자동적으로 프로세싱하여, 어쩌면 본원에서 설명되는 임의의 다른 처리와 조합하여, 검출된 결함을 자동적으로 처리하도록 구성될 수도 있는데, 이것은 검출된 결함이 실제 결함인지 또는 거짓 결함인지를 결정하는 것을 포함할 수도 있다. 일단 검출된 결함 중 어떤 것이 진짜인지 또는 거짓인지를 컴퓨터 서브시스템(들)이 결정하면, 임의의 추가적인 처리는 실제 결함에 대해서만 수행될 수도 있고, 그에 의해, 처리를 더욱 효율적으로 만들 수도 있다.
또 다른 실시형태에서, 검출된 결함을 처리하는 것은 검출된 결함의 위치에 중심을 두는(또는 검출된 결함의 위치가 배치되는) 하전 입자 빔 서브시스템의 FOV에서 패턴화된 피쳐와 관련하여 검출된 결함의 분리를 결정하는 것을 포함한다. 결함 분리는 하전 입자 빔 이미지의 FOV 내에서 검출된 결함 위치를 식별한다. 예를 들면, 검출된 결함 위치는 로컬 그레이 레벨 변동 및 결함 위치에서 획득되는 하전 입자 빔 이미지로부터 생성되는 차이 이미지를 사용하여 결정될 수도 있다. 하나의 그러한 예에서, 로컬 그레이 레벨 변동은 차이 이미지 내의 위치의 함수로서 결정될 수도 있고, 로컬 그레이 레벨 변동의 최대 값은 결함 위치로서 결정될 수도 있다. 그러나, 하전 입자 빔 이미지의 FOV 내에서 결함의 위치를 결정하는 것은 기술 분야에서 공지되어 있는 임의의 다른 적절한 방식으로 수행될 수도 있다.
결함 분리를 결정하는 것은 또한, 하전 입자 빔 이미지의 FOV에서 포토마스크의 패턴화된 피쳐 중 어떤 것에 검출된 결함이 가장 가까운지 그리고 검출된 결함이 그들 패턴화된 피쳐에 얼마나 가까운지를 결정하는 것을 또한 포함할 수도 있다. 예를 들면, 일단 하전 입자 빔 이미지의 FOV 내에서의 검출된 결함의 위치가 상기에서 설명되는 바와 같이 결정되면, 그 결함 위치 정보는 검출된 결함에 대한 테스트 이미지를 사용하여 포토마스크 상의 패턴화된 피쳐와 관련하여 검출된 결함에 대한 공간 정보를 식별하기 위해 사용될 수 있다. 결함 분리를 결정하는 것은, 검출된 결함이 패턴화된 피쳐 중 어떤 것과 중첩하는지 - 결함이 하나 이상의 패턴화된 피쳐 내에 위치되거나 또는 하나 이상의 패턴화된 피쳐와 적어도 부분적으로 중첩하는 경우일 수도 있음 - , 또는 패턴화된 피쳐 중 어떤 것이 결함이 있는지를 식별하는 것을 포함할 수도 있다. 결함 분리는 (검출된 결함이 패턴화된 피쳐 그 자체와 중첩하는지 또는 패턴화된 피쳐 그 자체 내에 있는지 또는 그렇지 않은지의 여부에 관계없이) FOV에서의 패턴화된 피쳐와 관련하여 검출된 결함의 위치를 결정하는 것을 또한 포함할 수도 있다. 예를 들면, 검출된 결함이 패턴화된 피쳐와 (적어도 부분적으로) 공간적으로 일치하는 경우, 결함 분리를 결정하는 것은 패턴화된 피쳐의 둘레 또는 외부 경계와 관련하여 검출된 결함의 위치를 결정하는 것을 포함할 수도 있다. 검출된 결함이 하전 입자 빔 서브시스템의 FOV에서 패턴화된 피쳐와 공간적으로 일치하지 않는 경우, 결함 분리를 결정하는 것은 결함이 가장 가까운 패턴화된 피쳐를 식별하는 것 및 그 다음, 결함이 패턴화된 피쳐에 얼마나 가까운지를 결정하는 것을 포함할 수도 있다. 결함 분리는 검출된 결함이 패턴화된 피쳐의 특정한 부분(예를 들면, 코너, 측면, 단부, 등등)에 (어떤 길이 치수에서) 얼마나 가까운지를 결정하는 것을 또한 포함할 수도 있다. 검출된 결함 및 그것이 가장 가까운 패턴화된 피쳐 사이의 공간은 단일의 값, 값의 범위, 평균, 함수로서, 또는 임의의 다른 적절한 방식으로 표현될 수도 있다. 또한, 유저는, 검출된 결함이, 포토마스크의 다른 패턴화된 피쳐보다 포토마스크의 패턴화된 피쳐의 서브세트에 얼마나 가까운지에 대해 특히 관심을 가질 수도 있다. 예를 들면, 결함 분리 단계의 하나 이상의 파라미터는, 자신의 가장 가까운 패턴화된 피쳐에 대한 검출된 결함 위치가 결정되도록 및/또는 임의의 하나의 타입의 패턴화된 피쳐에 대한 검출된 결함 위치가, 그 하나의 타입의 패턴화된 피쳐가 하전 입자 빔 서브시스템의 FOV 내에 있는 경우, 결정되도록 설정될 수도 있다. 결함에 가장 가깝지 않을 수도 있는 패턴화된 피쳐에 대한 검출된 결함의 상대적 위치는 본원에서 설명되는 바와 같이 달리 결정될 수도 있다.
하나의 그러한 실시형태에서, 컴퓨터 서브시스템(들)은 검출된 결함의 결정된 분리를 포토마스크 수리 도구로 전송하도록 구성되고, 포토마스크 수리 도구는 포토마스크에 대해 수행되는 수리 프로세스에서 검출된 결함의 결정된 분리를 사용한다. 이러한 방식으로, 마스크가 수정될 필요가 있을 때 결함 분리 정보는 수리 도구에서 사용될 수 있다. 하나의 그러한 예에서, 도 1에서 도시되는 바와 같이, 컴퓨터 서브시스템(118)(또는 시스템의 임의의 다른 컴퓨터 서브시스템)은 검출된 결함의 결정된 분리를, 시스템의 일부일 수도 있거나 또는 일부가 아닐 수도 있는 포토마스크 수리 도구(140)로 전송하도록 구성될 수도 있다. 포토마스크 수리 도구는 기술 분야에서 공지되어 있는 임의의 적절한 구성을 가질 수도 있다. 또한, 포토마스크 수리 도구는, 미국 뉴욕주 손우드(Thornwood) 소재의 Carl Zeiss(칼 자이스) SBE, LLC로부터 상업적으로 이용 가능한 MeRiT neXT 시스템과 같은 상업적으로 이용 가능한 포토마스크 수리 도구일 수도 있다. 포토마스크 수리 도구는, 검출된 결함에 근접한 임의의 정확하게 형성된 피쳐를 변경하지 않고도 검출된 결함을 수리할 목적으로 수리 위치 및 영역과 같은 수리 프로세스의 하나 이상의 파라미터를 결정하기 위해 결정된 분리를 사용할 수도 있다.
다른 실시형태에서, 검출된 결함을 처리하는 것은, 검출된 결함의 타입을 식별하는 것에 의해 검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 기초하여 검출된 결함을 분류하는 것을 포함한다. 분류는 결함의 타입을 정의한다. 도 4는 결함이 없는 마스크 패턴(400)의 하나의 예를 도시하는데, 여기서 컬러 영역은 흡수체를 나타내고 비컬러 영역은 ML을 나타낸다. 도 5는 상이한 타입의 결함에 대한 몇몇 예를 도시한다. 중대한 결함이 없고 로컬 라인 에지 조도(LER)만이 검출된 검사 신호 또는 이미지에 기여하는 경우, 검출된 결함은 결함 예(500)에 의해 도시되는 바와 같이 LER로 칭해진다. 돌기 또는 침입과 같은 결함이 흡수체에 연결되는 경우, 검출된 결함을 결함 예(502)에 의해 도시되는 바와 같이 하드 결함(hard-defect)으로 칭해진다. 흡수체가 핀 홀을 가지고 저부 ML이 핀 홀에 의해 노출되는 경우, 검출된 결함은 결함 예(504)에 의해 도시되는 바와 같은 핀 홀 결함이다. 입자의 일부 또는 전체 입자가 ML 상에 위치되는 경우, 입자 또는 입자 일부는 웨이퍼 노출에 대한 인쇄 가능성 영향을 끼치고 결함 예(506)에 의해 도시되는 바와 같이 ML 상의 입자(particle-on-ML)로서 분류된다. 입자가 완전히 흡수체 상에 있는 경우, 입자는 웨이퍼 노출에 대한 어떠한 인쇄 가능성 영향도 끼치지 않으며 결함 예(508)에 의해 도시되는 바와 같이 흡수체 상의 입자인 상이한 빈(bin)으로 이동한다.
검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 기초하여 검출된 결함을 분류하는 것은 임의의 적절한 방식으로 수행될 수도 있다. 예를 들면, 컴퓨터 서브시스템(들)은 하전 입자 빔 이미지에 기초하여 검출된 결함의 하나 이상의 특성을 결정할 수도 있다. 그들 특성(들)은, 예를 들면, 사이즈, 형상, 방위, 위치, 임의의 인근의 패턴화된 피쳐에 대한 위치, 텍스쳐, 및 등등을 포함할 수도 있다. 임의의 결정된 특성(들) 및 어쩌면 하전 입자 빔 이미지는 컴퓨터 서브시스템(들)에 의해 결함 분류기에 입력될 수도 있다. 결함 분류기는 검출된 결함의 클래스(또는 타입, 빈, 등등)를, 그들의 결정된 특성(들) 및/또는 하전 입자 빔 이미지에 기초하여, 결정하도록 구성될 수도 있다. 결함 분류기는 기술 분야에서 공지되어 있는 임의의 적절한 결함 분류 방법 또는 알고리즘일 수도 있다. 그러한 결함 분류기의 하나의 예는, 상이한 타입의 결함을 서로로부터 분리하는 결함 특성(들)에 상이한 커트라인을 적용하는 것에 의해 상이한 타입의 결함이 분리되는 상대적으로 간단한 의사 결정 트리(decision tree)이다. 적절한 결함 분류기의 다른 예는 머신 러닝 타입 결함 분류기인데, 그 몇몇 예는, 마치 본원에서 완전히 기술되는 것처럼 참조에 의해 통합되는, Zhang 등등에 의한 2018년 4월 19일자로 공개된 미국 특허 출원 공개 번호 제2018/0107928호 및 He 등등에 의한 2019년 3월 7일자로 공개된 제2019/0073568호에 설명되어 있다. 본원에서 설명되는 실시형태는 또한, 이들 공개 공보에서 설명되는 바와 같이 구성될 수도 있다.
또 다른 실시형태에서, 시스템은 검출된 결함의 위치에서 포토마스크를 스캐닝하도록, 그에 의해, 검출된 결함의 위치에 대한 높이 정보를 생성하도록 구성되는 원자력 현미경 검사(AFM) 서브시스템을 포함한다. 예를 들면, 흡수체 관련 결함에 더하여, 본원에서 설명되는 실시형태는 ML에서의 매립된 결함에 대해 사용될 수 있는데, 여기서 결함은 ML 스택의 저부 상에 대부분 위치되지만 그러나, 예를 들면, 도 7에서 도시되는 바와 같이, 그 형태(morphology)가 저부로부터 표면으로 전달된다. 도 7은 EUV 포토마스크 상의 통상적인 ML 매립 결함(ML buried defect)의 예를 도시한다. 다이어그램(700)은 범프 타입 결함을 도시하고, 다이어그램(702)은 피트 타입 결함(pit type defect)을 도시한다. 다이어그램(700 및 702)에서 도시되는 바와 같이, EUV 포토마스크는 ML 스택(704) 및 패턴화된 흡수체 스택(706)을 포함한다. 몇몇 경우에, ML 스택의 저부 상의 ML 범프 결함(708)은 표면 상의 ML 범프 결함(710)으로서 ML 스택의 최상부 표면으로 전달될 수도 있다. 또한, ML 스택의 저부 상의 ML 피트 결함(712)은 표면 상의 ML 피트 결함(714)으로서 ML 스택의 최상부 표면으로 전달될 수도 있다. 결함의 본질은 ML의 저부로부터 비롯되며, 그들은 ML의 최상부 표면까지 형태를 전달할 수 있다. 따라서, 그러한 결함은, 웨이퍼 상으로 투사되는 광에서 그들이 야기할 수 있는 위상 차이에 기인하여 웨이퍼 상에서 인쇄 가능한 결함을 야기할 수도 있다. 따라서, EUV 포토마스크의 표면을 스캔하여, 결함을 처리하기 위해 사용될 수 있는, 이미지로서의 높이 정보(즉, EUV 포토마스크에 걸친 x 및 y 위치의 함수로서의 높이 정보)를 획득하기 위해 AFM 도구가 사용될 수 있다.
도 1에서 도시되는 바와 같이, 시스템은, 컴퓨터 서브시스템(138)에 커플링되는 AFM 서브시스템(136)을 포함할 수도 있다. 컴퓨터 서브시스템(138)은, 정보, 데이터, 등등이 컴퓨터 서브시스템(들) 사이에서 송신될 수도 있도록, 본원에서 추가로 설명되는 바와 같이 시스템의 다른 컴퓨터 서브시스템(들)에 커플링될 수도 있다. AFM 서브시스템은 기술 분야에서 공지되어 있는 임의의 적절한 구성을 가질 수도 있다. 예를 들면, 본원에서 설명되는 AFM 서브시스템으로서 사용될 수 있는 적절한 AFM 도구는 미국 매사추세츠주 빌레리카(Billerica) 소재의 Bruker Corp.(예컨대, InSight 제품군)로부터 그리고 Zeiss(자이스)로부터 상업적으로 이용 가능한 MeRiT neXT 시스템에서의 래피드 프로브 마이크로스코프(rapid probe microscope; RPM)와 같은 포토마스크 수리 도구에서 상업적으로 이용 가능하다. AFM 서브시스템은 또한 시스템의 일부가 아닐 수도 있지만, 그러나 어떤 방식으로(예를 들면, 그들의 컴퓨터 서브시스템(들)에 의해) 시스템에 커플링될 수도 있다.
몇몇 그러한 실시형태에서, 컴퓨터 서브시스템(들)은 결함 검출의 결과를 AFM 서브시스템으로 (예를 들면, 검사 결과 파일로서) 전송하도록 구성될 수도 있고, AFM 서브시스템은 검출된 결함의 모두에 대한 높이 정보를 자동적으로 생성할 수도 있다. 다른 실시형태에서, 컴퓨터 서브시스템(들)은 본원에서 추가로 설명되는 바와 같이 검출된 결함 중 하나 이상을 식별할 수도 있고 AFM 서브시스템에 의한 스캐닝을 위해 식별된 하나 이상의 검출된 결함을 선택할 수도 있다. AFM 스캐닝을 위해 모두보다 더 적은 검출된 결함이 선택되는 경우, 선택된 결함에 대한 결함 검출 결과만이 AFM 서브시스템 또는 그에 커플링되는 컴퓨터 서브시스템으로 전송될 수도 있다. 얼마나 많은 검출된 결함이 선택되는지 또는 AFM 스캐닝을 위해 전송되는지에 관계없이, AFM 서브시스템은 검출된 결함의 자동 스캐닝을 위해 구성될 수도 있고, 스캐닝은 본원에서 추가로 설명되는 바와 같이 자동화될 수도 있다. 검출된 결함에 대해 사용되는 AFM 스캐닝의 파라미터는 모두 동일할 수도 있거나(몇몇 미리 결정된 가장 널리 공지된 AFM 파라미터) 또는 AFM 스캐닝 이전에 또는 그 동안 검출된 결함에 대해 생성되는 임의의 정보에 기초하여 동적으로 선택될 수도 있다.
하나의 그러한 실시형태에서, 컴퓨터 서브시스템(들)은 검출된 결함 중 하나 이상의 위치에서 생성되는 하전 입자 빔 이미지에서 나타나지 않는 검출된 결함 중 하나 이상을 식별하도록 구성되고, AFM 서브시스템은 검출된 결함 중 식별된 하나 이상의 위치에서만 포토마스크의 자동화된 스캐닝을 위해 구성된다. 예를 들면, 포토마스크 검사에 의해 검출되는 중대한 결함 신호가 있지만, 그러나 하전 입자 빔 이미지에서 어떠한 결함도 관찰되지 않는 경우(이것은, 특히, 포토마스크의 비 흡수체 피복 부분 상에서 결함이 검출되고 따라서 흡수체 관련 결함이 아닌 경우일 수도 있음), AFM 서브시스템은, 검출된 결함을 처리하기 위해 사용될 수 있는 이미지로서 높이 정보를 획득하기 위해 재검출되지 않은 결함의 위치에서 표면을 스캔하기 위해 사용될 수 있다. 특히, 검사에 의해 보고되는 결함 위치가 본원에서 설명되는 바와 같이 하전 입자 빔 서브시스템에 의해 스캔되고 그 결함 위치(이것은 하전 입자 빔 이미지(들)에 대해 임의의 적절한 결함 위치 방법을 수행하는 것에 의해 결정될 수 있음)에 대해 생성되는 하전 입자 빔 이미지(들)에서 어떠한 결함도 발견될 수 없는 경우, 그러면, 그 결함 위치는 AFM 서브시스템 스캐닝에 대해 선택될 수도 있다. 하전 입자 빔 이미지를 사용하여 수행되는 결함 재검출은 검사와 관련하여 본원에서 추가로 설명되는 바와 같이 또는 본 기술 분야에서 공지되어 있는 임의의 다른 적절한 방식으로 수행될 수도 있다. AFM 서브시스템이 검출된 결함에 대한 높이 정보를 생성할 수 있기 때문에, AFM 서브시스템에 의해 생성되는 정보는 이용 가능한 검사 이미지(또는 그로부터 결정되는 정보) 및 어떠한 결함도 재검출되지 않은 이용 가능한 하전 입자 빔 이미지보다 결함 처리에 더욱 적합할 수도 있다.
다른 그러한 실시형태에서, 컴퓨터 서브시스템(들)은 블랭크 기판(blank substrate)을 사용한 포토마스크의 제조 이전에 블랭크 기판 상에서 검출되는 추가적인 결함에 대한 정보를 획득하도록 구성되고, AFM 서브시스템은 추가적인 결함의 위치에서 포토마스크를 스캐닝하도록, 그에 의해, 추가적인 결함의 위치에 대한 높이 정보를 생성하도록 구성된다. 예를 들면, 포토마스크 패턴을 형성하기 이전에, 블랭크 기판(예를 들면, 패턴화된 흡수체가 아닌 도 3에서 도시되는 ML 스택으로 구성되는 기판)이 검사될 수도 있다. 블랭크 기판이 검사를 통과하는 경우(예를 들면, 충분히 결함이 없는 것으로 결정되는 경우), 패턴화된 흡수체 층이 블랭크 기판 상에서 형성될 수도 있고, 그에 의해, 포토마스크를 형성할 수도 있다. 그 다음, 그 포토마스크는 본원에서 설명되는 바와 같이 검사될 수 있다. 포토마스크의 화학선 블랭크 검사가 흡수체 패턴화 이전에 ML 결함의 위치를 인지한 경우, 표면을 스캔하여 높이 정보를 획득하기 위해 AFM 도구가 사용될 수 있는데, 그 높이 정보는, 그 다음, 본원에서 설명되는 바와 같이 수행되는 검출된 결함을 처리하기 위한 이미지로 사용된다.
컴퓨터 서브시스템(들)은 (예를 들면, 블랭크 기판 검사를 수행한 검사 시스템(도시되지 않음)으로부터 또는 블랭크 기판 검사 결과가 저장되는 저장 매체, 예를 들면, 도 1에서 도시되는 저장 매체(120)로부터) 임의의 적절한 방식으로 블랭크 기판 검사에 의해 검출되는 추가적인 결함에 대한 정보를 획득할 수 있다. 추가적인 결함에 대한 정보는 블랭크 기판 검사에 의해 보고되는 추가적인 결함에 대한 정보 중 임의의 것 및/또는 모두를 포함할 수도 있는데, 이들은 그들 블랭크 기판 결함에 대한 높이 정보를 생성하기 위해 AFM 스캐닝이 수행될 포토마스크 상의 위치를 결정하기 위해 본원에서 설명되는 컴퓨터 서브시스템(들)에 의해 사용될 수 있는 블랭크 기판 결함에 대한 정보를 포함할 것이다. AFM 서브시스템은 본원에서 추가로 설명되는 바와 같이 추가적인 결함의 위치에서 포토마스크를 스캔할 수도 있다.
몇몇 그러한 실시형태에서, 컴퓨터 서브시스템(들)은 검출된 결함에 대해 생성되는 높이 정보에 기초하여 검출된 결함을 처리하도록 구성된다. 높이 정보에 기초하여 검출된 결함을 처리하는 것은 임의의 적절한 방식으로 수행될 수도 있다. 예를 들면, 높이 정보는 본원에서 추가로 설명되는 바와 같이 결함 분류기에 입력될 수도 있고, 결함 분류기는 높이 정보에 기초하여 결함 타입을 결정할 수도 있다. 하나의 그러한 예에서, 검출된 결함이 포토마스크의 ML 스택의 상부 표면(upper surface) 위로 돌출한다는 것을 높이 정보가 나타내는 경우, 결함 분류기는, 결함이 도 7에서 도시되는 것과 같은 ML 범프 결함이다는 것을 결정할 수도 있다. 반대로, 검출된 결함이 포토마스크의 ML 스택의 표면 안으로 침입한다는 것을 높이 정보가 나타내는 경우, 결함 처리는, 결함이 도 7에서 도시되는 것과 같은 ML 피트 결함이다는 것을 결정할 수도 있다. 높이 정보에 기초하여 검출된 결함을 처리하는 것은, 또한 또는 대안적으로, 하전 입자 빔 이미지를 사용하여 수행되는 바와 같은 본원에서 설명되는 다른 처리 중 임의의 것을 포함할 수도 있다.
하나의 그러한 실시형태에서, 높이 정보에 기초하여 검출된 결함을 처리하는 것은, 웨이퍼가 리소그래피 프로세스에서 포토마스크를 사용하여 인쇄되는 경우 웨이퍼 상의 검출된 결함의 인쇄 가능성을 결정하는 것을 포함하고, 인쇄 가능성을 결정하는 것은 포토마스크에 대한 설계 정보를 AFM 서브시스템의 모델에 입력하고, 그에 의해, 포토마스크의 결함이 없는 버전에 대한 시뮬레이팅된 기준 높이 정보를 생성하는 것, 검출된 결함에 대해 생성되는 높이 정보에 대한 그리고 시뮬레이팅된 기준 높이 정보에 대한 에어리얼 이미지를 시뮬레이팅하는 것, 및 시뮬레이팅된 에어리얼 이미지에 기초하여 리소그래피 프로세스에서 웨이퍼 상에 인쇄되는 하나 이상의 패턴에 대해 검출된 결함이 얼마나 영향을 끼치는지를 결정하는 것을 포함한다.
이 실시형태에서, 결함이 없는 마스크는 다이 대 데이터베이스 타입 접근법에서 대응하는 포스트 OPC 설계 데이터베이스 클립으로부터 렌더링될 수도 있다. 그 다음, AFM 기반의 워크플로우는, 도 8에서 도시되는 바와 같이, 세 가지 주요 국면을 수반한다. 제1 국면에서, AFM 도구로부터의 원래의 이미지는 먼저 노이즈가 제거될 수도 있고 이미지의 품질을 향상시키도록 개선될 수도 있다. 예를 들면, 도 8에서 도시되는 바와 같이, 테스트 AFM 이미지(800)는 노이즈가 제거될 수도 있고, 기울기에 대해 보정될 수도 있고, 개선된 테스트 이미지(802)를 생성하도록 개선될 수도 있다. 원래의 AFM 이미지의 노이즈 제거 및 개선은 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 수행될 수도 있다. 또한, 결함과 동일한 위치에 있는 포스트 OPC 설계 데이터베이스의 일부가 클리핑되고(추출되고), 결함이 없는 기준 AFM 이미지를 렌더링하기 위해 AFM 모델이 적용된다. 예를 들면, 개선된 테스트 이미지는 설계 클립(804)으로서 도 8에서 도시되는 대응하는 설계 클립을 찾기 위해 포토마스크에 대한 설계 데이터베이스를 검색하기 위한 룩업 기능과 함께 사용될 수도 있다. 그 다음, 기준 AFM 이미지(806)로서 도 8에서 도시되는 결함이 없는 기준 AFM 이미지를 생성하기 위해 설계 클립에 AFM 모델이 적용될 수도 있다. AFM 모델은 공지된 결함이 없는 AFM 테스트 이미지 및 그들의 대응하는 설계 클립을 사용하여 교정될 수도 있다. AFM 모델은 기술 분야에서 공지되어 있는 임의의 적절한 AFM 모델을 포함할 수도 있다. 노이즈가 제거된 테스트 및 렌더링된 기준 AFM 이미지는 정렬될 수도 있고, 기울기에 대해 보정될 수도 있고, 그레이스케일 차이 이미지를 생성하도록 감산될 수도 있는데, 그레이 스케일 차이 이미지는, 그 다음, 로컬 높이 정보에 의해 결함 부위를 분리하기 위해 사용된다. 테스트 및 기준 AFM 이미지의 정렬, 기울기 보정 및 감산은 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 수행될 수도 있다. 결함 부위는 본 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 AFM 차이 이미지에서의 로컬 높이 정보에 의해 분리될 수도 있다.
제2 국면에서, 이진 윤곽 및 ML 형태는, 검출된 결함을 포함하는 테스트 이진 이미지 및 테스트 ML 형태 둘 모두를 생성하기 위해 노이즈가 제거된 AFM 테스트 이미지로부터 그리고 또한 기준 이진 마스크를 생성하고 ML 형태를 편평하게 하기 위해 렌더링된 AFM 이미지로부터 추출될 수도 있다. 예를 들면, 도 8에서 도시되는 바와 같이, 노이즈가 제거된 AFM 테스트 이미지(802)는 흡수체 윤곽을 추출하도록, 그에 의해, 이진 윤곽 이미지(808)를 생성하도록 프로세싱될 수도 있다. 노이즈가 제거된 AFM 테스트 이미지는 또한, ML 형태의 추출을 위해, 그에 의해, 테스트 ML 형태 이미지(810)를 생성하도록 프로세싱될 수도 있다. 이진 윤곽 및 ML 형태는 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 추출될 수도 있다. 테스트 ML 형태 이미지는 제1 단계에서 분리되는 결함으로부터 결함 메트릭을 계산하기 위해 사용될 수도 있고, 결함 메트릭은, 예를 들면, 결함 영역, 사이즈, 높이, ML 상에 놓이는 결함의 백분율, 등등을 포함할 수도 있다. 예를 들면, 도 8에서 도시되는 바와 같이, 테스트 ML 형태 이미지(810)는 결함 메트릭(812)을 결정하기 위해 사용될 수도 있는데, 결함 메트릭(812)은 결함 정보 테이블 또는 임의의 다른 적절한 데이터 구조에 저장될 수도 있다. 결정되어 저장되는 결함 정보는, 추출된 ML 형태 이미지로부터 결정될 수 있는 ML 높이와 같은 임의의 적절한 정보를 포함할 수도 있다. 결함 정보는 기술 분야에서 공지되어 있는 임의의 적절한 방식으로 테스트 ML 형태 이미지로부터 추출될 수도 있다. 그 다음, 이 정보는, 결함의 정확한 타입, 예를 들면, 피트, 범프, 등등을 결정하기 위해 사용될 수 있다. 예를 들면, 도 8에서 도시되는 바와 같이, 결함 메트릭(812)은, 결함 메트릭에서의 정보로부터 결함의 타입을 결정하는 결함 분류(814)에 입력될 수도 있다. 결함 분류(814)는 본원에서 추가로 설명되는 바와 같이(예를 들면, 결함 분류기를 사용하여) 또는 본 기술 분야에서 공지되어 있는 임의의 다른 적절한 방식으로 수행될 수도 있다.
제3 국면에서, DPS 마스크 모델은, 테스트 및 기준 EUV 에어리얼 이미지를 생성하기 위해 관련된 스캐너 노출 조건과 함께 테스트 및 기준 AFM 이미지 둘 모두에 적용된다. 이 단계는 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 또한, 이 시뮬레이션은 엄격한 FDTD 솔버(solver) 및 RCWA를 포함할 수도 있다. 예를 들면, 도 8에서 도시되는 바와 같이, 이진 윤곽 이미지(808) 및 테스트 ML 형태 이미지(810)는 EUV 시뮬레이션 모델에 입력되어 테스트 에어리얼 이미지(816)를 생성할 수도 있다. 또한, 기준 AFM 이미지(806)는 EUV 시뮬레이션 모델에 입력되어 기준 에어리얼 이미지(818)를 생성할 수도 있다. 여기서는, AFM에 의한 측정된 표면 형태가 전체 ML 스택(예를 들면, 40 쌍의 ML 스택)을 통해 저부에 이르기까지 동일한 등각 ML 퇴적이 가정된다. 기준 에어리얼 이미지는 테스트 에어리얼 이미지에서 감산되어 차이 에어리얼 이미지(820)를 생성할 수도 있는데, 차이 에어리얼 이미지(820)는, 그 다음, 인쇄 가능성 분석에서 사용될 수 있다. 그 다음 AIA가 실행되어 FOV 내의 모든 피쳐의 인쇄 가능성을 계산한다.
또 다른 그러한 실시형태에서, 컴퓨터 서브시스템(들)은 검출된 결함에 대해 생성되는 높이 정보에 기초하여 검출된 결함을 자동화된 처리하도록 구성된다. 예를 들면, 본원에서 설명되는 처리의 임의의 것 또는 모두는 컴퓨터 서브시스템(들)에 의해 자동적으로 수행될 수도 있다. 다시 말하면, 일단 AFM 서브시스템이 검출된 결함에 대한 높이 정보를 생성하면, 컴퓨터 서브시스템(들)은 높이 정보 및 시스템에 의해 생성되는 또는 그렇지 않으면 시스템이 이용 가능하게 만들어지는 검출된 결함에 대한 임의의 다른 정보를 사용하여 결함 처리를 자동적으로 수행할 수도 있다. 본원에서 설명되는 실시형태에 의해 제공되는 자동 처리를 가능하게 하는 것은, 본원에서 추가로 설명되는 바와 같이 유리하다.
다른 실시형태에서, 하나 이상의 컴퓨터 서브시스템은, 검사 서브시스템에 의해 검출되는 포토마스크로부터의 에너지로부터 생성되는 이미지와 조합하여, 검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 기초하여 검출된 결함을 처리하도록 구성된다. 이미지는 본원에서 추가로 설명되는 바와 같이 생성될 수도 있다. 하나의 그러한 실시형태에서, 검사 서브시스템에 의해 포토마스크로 지향되는 에너지는 193 nm의 파장을 갖는 광을 포함한다. 예를 들면, 포토마스크의 검사는 193 nm 광을 사용하여 수행될 수도 있고, 그에 의해, 포토마스크의 193 nm 이미지를 결함 처리를 위해 쉽게 이용 가능하게 만들 수도 있다. 특히, 컴퓨터 서브시스템(들)은 포토마스크 상에서 검출되는 임의의 결함에 대한 검사 서브시스템의 출력을 저장할 수도 있고, 그 다음, 하전 입자 이미지와 조합하여 결함을 처리하기 위해 저장된 출력을 사용할 수도 있다. 하전 입자 이미지와 연계하여 그러한 이미지를 사용하는 것은, 하전 입자 빔 이미지에서 어떠한 신호도 가지지 않는 상대적으로 얕은 다층 결함에 대해 특히 유리할 수도 있다. 예를 들면, 본원에서 추가로 설명되는 바와 같이, 결함이 포토마스크의 최상부 표면 아래에 있는 경우, 결함은 하전 입자 빔 이미지에서 신호를 생성하지 않을 수도 있다. 하전 입자 빔 이미지와 함께 광학 193 nm 이미지를 재검토하고, 그에 의해, 결함을 처리하는 것은 또한, 고해상도 AFM이 몇몇 애플리케이션에 대해 충분히 빠르지 않거나 또는 안정적이지 않은 경우 특히 유리할 수도 있다. 컴퓨터 서브시스템(들)은 또한 임의의 다른 검사 이미지(즉, 단지 193 nm 이미지가 아님) 및/또는 포토마스크 상에서 검출되는 결함에 대해 이용 가능한 다른 광학 이미지와 조합하여 하전 입자 빔 이미지를 사용할 수도 있다. 다른 이미지와 조합하여 하전 입자 빔을 사용하여 수행되는 결함 처리는 본원에서 추가로 설명되는 바와 같이 달리 수행될 수도 있다.
컴퓨터 서브시스템(들)은 또한 본원에서 설명되는 시스템에 의해 생성되는 또는 획득되는 이미지 및 정보의 다른 조합을 사용하여 결함 처리하도록 구성될 수도 있다. 예를 들면, AFM 서브시스템을 사용하여 결정되는 결함에 대한 높이 정보는 결함에 대한 광학 이미지와 조합하여 사용될 수도 있다. 하나의 그러한 실시형태에서, 하나 이상의 컴퓨터 서브시스템은, 검사 서브시스템에 의해 검출되는 포토마스크로부터의 에너지로부터 생성되는 이미지와 조합하여 검출된 결함에 대해 생성되는 높이 정보에 기초하여 검출된 결함을 처리하도록 구성된다. 이미지는 본원에서 설명되는 이미지 중 임의의 것을 포함할 수도 있다. 하나의 그러한 예에서, 이미지는 193 nm 광학 이미지일 수도 있다. 예를 들면, 하나의 실시형태에서, 검사 서브시스템에 의해 포토마스크로 지향되는 에너지는 193 nm의 파장을 갖는 광을 포함한다. 그러나, 결함 처리를 위해 높이 정보와 함께 사용되는 광학 이미지는 상기에서 설명되는 임의의 다른 광학 이미지를 또한 포함할 수도 있다. 광학 이미지 및 높이 정보를 사용하여 수행되는 결함 처리는 본원에서 추가로 설명되는 바와 같이 달리 수행될 수도 있다.
상기에서 설명되는 시스템 중 각각의 시스템의 실시형태의 각각은 하나의 단일의 실시형태로 함께 결합될 수도 있다.
본원에서 설명되는 실시형태는 EUV 포토마스크 검사를 위한 다른 방법 및 시스템에 비해 다수의 이점을 갖는다. 예를 들면, 본원에서 설명되는 실시형태의 한 가지 이점은, 이차 이미지(예를 들면, 하전 입자 빔 이미지 및/또는 AFM 이미지)를 설계 데이터베이스에 비교하는 것에 의해 그들이 정확한 결함 위치를 분리할 수도 있다는 것이다. 다른 이점은, 실시형태가 실질적으로 고해상도 하전 입자 빔/AFM 이미지에 의해 결함 타입 분류를 가능하게 한다는 것이다. 추가적인 이점은, 임의의 오퍼레이터의 경험 또는 화학선 이미징 도구에 의존하지 않고도 인쇄 가능성이 예측될 수 있다는 것이다. 또한, 전체 워크플로우가 소프트웨어에 의해 완전히 자동화될 수 있고 컴퓨팅 서버에 의해 병렬로 프로세싱될 수 있기 때문에, 전체 처리의 스루풋은 수동 처리보다 훨씬 더 빠를 수도 있다.
본 발명자의 지식으로는, 본원에서 설명되는 실시형태는, 완전히 자동화된 하전 입자 빔/AFM 이미지 분석을 통한 처리 성능이 후속되는 실질적인 고감도 EUV 마스크 검사의 융합을 구현하는 제1 시도로로부터 유래하는데, 이것은 유리하게도 EUV 포토마스크 검사 성능을 확장시키고 결함 처리 정확도 및 패턴화된 EUV 마스크 검사의 스루풋을 향상시킨다. 본원에서 설명되는 실시형태와는 달리, Zeiss로부터 상업적으로 이용 가능한 AIMS 도구와 같은 화학선 이미징 도구가 EUV 포토마스크 결함 처리를 위해 사용될 수 있지만, 그러나 그것은 생산 라인에서 수백 내지 수천 개의 결함을 처리하기에는 너무 느리다. 본원에서 설명되는 실시형태에 대한 다른 대안은, 낮은 개구수(NA) 검사(LNI)를 갖는 화학선 검사 도구를 사용한 결함 처리일 수도 있지만, 그러한 검사 도구는 현재 이용 가능하지 않다.
본원에서 설명되는 실시형태는 또한, 다수의 방식에서 웨이퍼 검사 방법 및 시스템과는 상이하다. 예를 들면, 포토마스크의 경우, 일반적으로, 검출된 결함의 인쇄 가능성이 적절하게 구성된 검사 도구로 평가될 수 있다는 것 및 화학선 파장의 사용은, 결함이 포토마스크 상에서 충분한 감도로 검출될 수 있다는 것을 보장한다는 것을 포함하는 여러 가지 이유 때문에, 포토마스크의 화학선 파장에서 검사를 수행하는 것이 바람직하다. 대조적으로, 웨이퍼 검사 방법 및 시스템은, 웨이퍼 상에서 검출될 필요가 있는 결함의 가장 작은 사이즈에 주로 기초하여 종종 설계된다. 그러나, 결함이 이미 웨이퍼 상에서 인쇄되고 웨이퍼가 임의의 다른 기판을 인쇄하기 위해 사용되지 않기 때문에, 그러한 웨이퍼 검사는 웨이퍼 상의 임의의 결함의 인쇄 가능성을 결정하기 위해 수행될 필요가 없다. 대조적으로, 포토마스크 결함이 고해상도 도구에 의해 이미지화되더라도, 결함이 웨이퍼 상에서 인쇄될지 또는 그렇지 않을지의 여부의 몇몇 질문이 여전히 있을 수 있다. 그의 문제를 해결하기 위해, 화학선 재검토 도구는 웨이퍼 충격을 모방하기 위해 스캐너 광학기기(이것은 저해상도임)에 의해 이미지를 캡쳐한다. 본원에서 설명되는 실시형태는, 웨이퍼 검사 및 웨이퍼 결함 분석에 필요하지 않은 시뮬레이션에 의해 화학선 재검토 프로세스를 대체한다. 이러한 방식에서, 포토마스크 및 포토마스크를 사용하여 인쇄되는 웨이퍼의 화학선 파장은 동일할 수도 있지만(즉, 포토마스크로부터 웨이퍼로 패턴을 전사하고, 그에 의해, 웨이퍼 상의 하나 이상의 재료, 예를 들면, 포토레지스트의 광화학 반응을 야기하기 위해 사용되는 광의 파장), 그러나 웨이퍼 검사 프로세스는 그 화학선 파장 또는 그 화학선 파장에서 검사할 수 있는 웨이퍼 검사 도구의 임의의 결여에 기초하여 설계되지 않는다.
따라서, 웨이퍼 검사와는 달리, 본원에서 설명되는 실시형태는 EUV 포토마스크에 대한 적절한 검사 방법 또는 시스템의 결여를 극복하도록 설계되었다. EUV 포토마스크의 검사를 가능하게 하는 본원에서 설명되는 실시형태의 피쳐는, EUV 포토마스크의 검사에 의해 검출되는 엄청난 수의 결함이 결함 처리를 위해 하전 입자 빔 이미지(및 옵션 사항으로 AFM 이미지)를 사용하는 것에 의해 효율적으로 다루어질 수 있다는 것을 포함한다. 이 피쳐는, 검사 프로세스에 유의미하게 영향을 주지 않으면서 많은 수의 실제 결함 및 거짓 결함 둘 모두의 검출로 귀결되는 검사에서 핫 임계치를 사용하는 것을 가능하게 한다. 따라서, 검사는 EUV 포토마스크 검사를 위해 검출될 필요가 있는 가장 작은 사이즈의 결함을 검출할 수 있고, 결과적으로 나타나는 검출된 거짓 결함은 본원에서 설명되는 처리에 의해 실제 결함으로부터 분리될 수 있다. 또한, 결함 처리(및 결함 처리를 용이하게 하기 위해 수행되는 임의의 이미지 생성)가 자동화될 수 있기 때문에, 본원에서 설명되는 실시형태는, 특히 EUV 포토마스크 검사를 위한 다른 이용 가능한 옵션과 비교하여, 효율적이고, 사용하기 쉽고, 정확할 것이다.
다른 실시형태는 포토마스크의 검사를 위한 방법에 관한 것이다. 방법은 에너지를 포토마스크로 지향시키는 것 및 포토마스크로부터 에너지를 검출하는 것을 포함한다. 포토마스크는 본원에서 설명되는 바와 같이 구성된다. 방법은, 검출된 에너지에 기초하여 포토마스크 상의 결함을 검출하는 것 및 검출된 결함의 위치에서 포토마스크의 하전 입자 빔 이미지를 생성하는 것을 또한 포함한다. 방법은 검출된 결함에 대해 생성되는 하전 입자 빔 이미지에 기초하여 검출된 결함을 처리하는 것을 더 포함한다.
방법의 단계의 각각은 본원에서 추가로 설명되는 바와 같이 수행될 수도 있다. 방법은, 본원에서 설명되는 검사 서브시스템, 하전 입자 빔 서브시스템, AFM 서브시스템 및/또는 컴퓨터 서브시스템(들) 또는 시스템(들)에 의해 수행될 수 있는 임의의 다른 단계(들)를 또한 포함할 수도 있는데, 이들은 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있다. 게다가, 상기에서 설명되는 방법은 본원에서 설명되는 시스템 실시형태 중 임의의 것에 의해 수행될 수도 있다.
추가적인 실시형태는, 포토마스크를 검사하기 위한 컴퓨터 구현 방법을 수행하기 위한 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 저장하는 비일시적 컴퓨터 판독 가능 매체에 관한 것이다. 하나의 그러한 실시형태가 도 9에 도시되어 있다. 특히, 도 9에서 도시되는 바와 같이, 비일시적 컴퓨터 판독 가능 매체(900)는 컴퓨터 시스템(904) 상에서 실행 가능한 프로그램 명령어(902)를 포함한다. 컴퓨터 구현 방법은, 본원에서 설명되는 임의의 방법(들)의 임의의 단계(들)를 포함할 수도 있다.
본원에서 설명되는 것들과 같은 방법을 구현하는 프로그램 명령어(902)는 컴퓨터 판독 가능 매체(900) 상에 저장될 수도 있다. 컴퓨터 판독가능 매체는, 자기 또는 광학 디스크, 자기 테이프, 또는 기술 분야에서 공지되어 있는 임의의 다른 적절한 비일시적 컴퓨터 판독가능 매체와 같은 저장 매체일 수도 있다.
프로그램 명령어는, 다른 것들 중에서도, 프로시져 기반의 기술, 컴포넌트 기반의 기술, 및/또는 객체 지향 기술을 비롯한 다양한 방식 중 임의의 것으로 구현될 수도 있다. 예를 들면, 프로그램 명령어는, 소망에 따라, 액티브X(ActiveX) 컨트롤, C++ 오브젝트, 자바빈(JavaBeans), 마이크로소프트 파운데이션 클래스(Microsoft Foundation Classes; "MFC"), SSE(Streaming SIMD Extension; 스트리밍 SIMD 확장) 또는 다른 기술 또는 방법론을 사용하여 구현될 수도 있다.
컴퓨터 시스템(904)은 본원에서 설명되는 실시형태 중 임의의 것에 따라 구성될 수도 있다.
본 설명의 관점에서, 기술 분야의 숙련된 자에게는, 본 발명의 다양한 양태의 다른 수정예 및 대안적 실시형태가 명백할 것이다. 예를 들면, 포토마스크 상에서 검출되는 결함을 처리하기 위한 방법 및 시스템이 제공된다. 따라서, 본 설명은, 단지 예시적인 것으로만 해석되어야 하며, 본 발명을 실행하는 일반적인 방식을 기술 분야의 숙련된 자에게 교시하는 목적을 위한 것이다. 본원에서 도시되고 설명되는 본 발명의 형태는 현 시점에서의 바람직한 실시형태로서 간주되어야 한다는 것이 이해되어야 한다. 엘리먼트 및 재료가 본원에서 예시되고 설명되는 것들 대신 대용될 수도 있고, 부품 및 프로세스는 반대로 될 수도 있고, 본 발명의 소정의 피쳐는 독립적으로 활용될 수도 있는데, 이들 모두는, 본 발명의 본 설명의 이익을 가진 이후, 기술 분야의 숙련된 자에게 명백해질 것이다. 하기의 청구범위에서 설명되는 바와 같은 본 발명의 취지와 범위를 벗어나지 않으면서, 본원에서 설명되는 엘리먼트에서 변경이 이루어질 수도 있다.

Claims (29)

  1. 포토마스크 검사 시스템에 있어서,
    에너지를 포토마스크로 지향시키고, 상기 포토마스크로부터 에너지를 검출하며, 상기 포토마스크로부터의 에너지에 응답하여 출력을 생성하도록 구성된 검사 서브시스템 - 상기 포토마스크는 하나 이상의 극자외선 파장의 광에서의 사용을 위해 구성됨 -;
    상기 출력에 핫 임계치(hot threshold)를 적용함으로써 상기 포토마스크 상의 결함들을 검출하도록 구성된 하나 이상의 컴퓨터 서브시스템; 및
    상기 하나 이상의 컴퓨터 서브시스템에 의해 결정된 상기 검출된 결함들의 위치들에서 상기 포토마스크의 하전 입자 빔 이미지들을 생성하도록 구성되는 하전 입자 빔 서브시스템
    을 포함하고,
    상기 하나 이상의 컴퓨터 서브시스템은 또한 상기 검출된 결함들에 대해 생성된 상기 하전 입자 빔 이미지들에 기초하여 상기 검출된 결함들을 처리하도록(dispositioning) 구성되며,
    상기 검출된 결함들을 처리하는 것은,
    상기 검출된 결함들이 실제 결함들인지 또는 거짓 결함들인지를 결정하는 것, 및
    웨이퍼가 리소그래피 프로세스에서 상기 포토마스크를 사용하여 인쇄되는 경우 상기 웨이퍼 상의 상기 실제 결함들의 인쇄 가능성(printability)을 결정하는 것
    을 포함하는 것인, 포토마스크 검사 시스템.
  2. 제1항에 있어서,
    상기 검사 서브시스템에 의해 상기 포토마스크로 지향되는 에너지는 193㎚의 파장을 갖는 광을 포함한 것인, 포토마스크 검사 시스템.
  3. 제1항에 있어서,
    상기 검사 서브시스템에 의해 상기 포토마스크로 지향되는 에너지는 193㎚에서부터 257㎚까지의 범위 내의 하나 이상의 파장을 갖는 광을 포함한 것인, 포토마스크 검사 시스템.
  4. 제1항에 있어서,
    상기 검사 서브시스템에 의해 상기 포토마스크로 지향되는 에너지는 13.5㎚의 파장을 갖는 광을 포함한 것인, 포토마스크 검사 시스템.
  5. 제1항에 있어서,
    상기 검사 서브시스템에 의해 상기 포토마스크로 지향되는 에너지는 전자들을 포함한 것인, 포토마스크 검사 시스템.
  6. 제1항에 있어서,
    상기 검사 서브시스템에 의해 상기 포토마스크로 지향되는 에너지는 이온들을 포함한 것인, 포토마스크 검사 시스템.
  7. 삭제
  8. 삭제
  9. 제1항에 있어서,
    상기 인쇄 가능성을 결정하는 것은,
    상기 실제 결함들에 대해 생성된 상기 하전 입자 빔 이미지들을 상기 리소그래피 프로세스의 모델 내로 입력하고, 그에 의해, 상기 리소그래피 프로세스에서 상기 웨이퍼 상에 인쇄되는 하나 이상의 패턴에 대해 상기 실제 결함들이 얼마나 영향을 끼치는지를 예시하는 시뮬레이팅된 웨이퍼 이미지들을 생성하는 것
    을 포함한 것인, 포토마스크 검사 시스템.
  10. 제1항에 있어서,
    상기 인쇄 가능성을 결정하는 것은,
    상기 포토마스크에 대한 설계 정보를 상기 하전 입자 빔 서브시스템의 모델 내로 입력하고, 그에 의해, 상기 포토마스크의 결함이 없는 버전의 시뮬레이팅된 하전 입자 빔 기준 이미지들을 생성하는 것,
    상기 검출된 결함들에 대해 생성된 상기 하전 입자 빔 이미지들에 대한 그리고 상기 시뮬레이팅된 하전 입자 빔 기준 이미지들에 대한 에어리얼 이미지(aerial image)들을 시뮬레이팅하는 것, 및
    상기 시뮬레이팅된 에어리얼 이미지들에 기초하여 상기 리소그래피 프로세스에서 상기 웨이퍼 상에 인쇄된 하나 이상의 패턴에 대해 상기 검출된 결함들이 얼마나 영향을 끼치는지를 결정하는 것
    을 포함한 것인, 포토마스크 검사 시스템.
  11. 제1항에 있어서,
    상기 하전 입자 빔 서브시스템은 또한, 상기 검출된 결함들의 위치들 모두에서의 상기 하전 입자 빔 이미지들의 자동화된 생성을 위해 구성되고,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 실제 결함들인 것으로 결정된 상기 검출된 결함들 모두의 자동화된 처리를 위해 구성된 것인, 포토마스크 검사 시스템.
  12. 제1항에 있어서,
    상기 검출된 결함들을 처리하는 것은,
    상기 검출된 결함들의 위치들 상에 센터링된(centered) 상기 하전 입자 빔 서브시스템의 시야(field of view)에서 패턴화된 피쳐들과 관련하여 상기 검출된 결함들의 분리를 결정하는 것
    을 더 포함한 것인, 포토마스크 검사 시스템.
  13. 제12항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 검출된 결함들의 상기 결정된 분리를 포토마스크 수리 도구(photomask repair tool)에 전송하도록 구성되고,
    상기 포토마스크 수리 도구는 상기 포토마스크에 대해 수행되는 수리 프로세스에서 상기 검출된 결함들의 상기 결정된 분리를 사용하는 것인, 포토마스크 검사 시스템.
  14. 제1항에 있어서,
    상기 검출된 결함들을 처리하는 것은,
    상기 검출된 결함들의 타입을 식별하는 것에 의해 상기 검출된 결함들에 대해 생성된 상기 하전 입자 빔 이미지들에 기초하여 상기 검출된 결함들을 분류하는 것
    을 더 포함한 것인, 포토마스크 검사 시스템.
  15. 포토마스크 검사 시스템에 있어서,
    에너지를 포토마스크로 지향시키고, 상기 포토마스크로부터 에너지를 검출하며, 상기 포토마스크로부터의 에너지에 응답하여 출력을 생성하도록 구성된 검사 서브시스템 - 상기 포토마스크는 하나 이상의 극자외선 파장의 광에서의 사용을 위해 구성됨 -;
    상기 출력에 핫 임계치(hot threshold)를 적용함으로써 상기 포토마스크 상의 결함들을 검출하도록 구성된 하나 이상의 컴퓨터 서브시스템;
    상기 하나 이상의 컴퓨터 서브시스템에 의해 결정된 상기 검출된 결함들의 위치들에서 상기 포토마스크의 하전 입자 빔 이미지들을 생성하도록 구성되는 하전 입자 빔 서브시스템 - 상기 하나 이상의 컴퓨터 서브시스템은 또한 상기 검출된 결함들에 대해 생성된 상기 하전 입자 빔 이미지들에 기초하여 상기 검출된 결함들을 처리하도록(dispositioning) 구성됨 - ; 및
    상기 검출된 결함들의 위치들에서 상기 포토마스크를 스캐닝하고, 그에 의해, 상기 검출된 결함들의 위치들에 대한 높이 정보를 생성하도록 구성된 원자력 현미경 검사(atomic force microscopy) 서브시스템
    을 포함하는, 포토마스크 검사 시스템.
  16. 제15항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 검출된 결함들 중의 하나 이상의 검출된 결함 - 상기 하나 이상의 검출된 결함은 상기 하나 이상의 검출된 결함의 위치들에서 생성되는 상기 하전 입자 빔 이미지들에서는 나타나지 않음 - 을 식별하도록 구성되고,
    상기 원자력 현미경 검사 서브시스템은 또한, 상기 검출된 결함들 중의 상기 식별된 하나 이상의 검출된 결함의 위치들에서만 상기 포토마스크의 자동화된 스캐닝을 위해 구성된 것인, 포토마스크 검사 시스템.
  17. 제15항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 블랭크 기판을 사용한 상기 포토마스크의 제조 이전에 상기 블랭크 기판 상에서 검출되는 추가적인 결함들에 대한 정보를 획득하도록 구성되고,
    상기 원자력 현미경 검사 서브시스템은 또한, 상기 추가적인 결함들의 위치들에서 상기 포토마스크를 스캐닝하고, 그에 의해, 상기 추가적인 결함들의 위치들에 대한 높이 정보를 생성하도록 구성된 것인, 포토마스크 검사 시스템.
  18. 제15항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 검출된 결함들에 대해 생성된 상기 높이 정보에 기초하여 상기 검출된 결함들을 처리하도록 구성된 것인, 포토마스크 검사 시스템.
  19. 제18항에 있어서,
    상기 높이 정보에 기초하여 상기 검출된 결함들을 처리하는 것은,
    웨이퍼가 리소그래피 프로세스에서 상기 포토마스크를 사용하여 인쇄되는 경우 상기 웨이퍼 상의 상기 검출된 결함들의 인쇄 가능성을 결정하는 것
    을 포함하고,
    상기 인쇄 가능성을 결정하는 것은,
    상기 포토마스크에 대한 설계 정보를 상기 원자력 현미경 검사 서브시스템의 모델 내로 입력하고, 그에 의해, 상기 포토마스크의 결함이 없는 버전에 대한 시뮬레이팅된 기준 높이 정보를 생성하는 것,
    상기 검출된 결함들에 대해 생성되는 상기 높이 정보에 대한 그리고 상기 시뮬레이팅된 기준 높이 정보에 대한 에어리얼 이미지들을 시뮬레이팅하는 것, 및
    상기 시뮬레이팅된 에어리얼 이미지들에 기초하여 상기 리소그래피 프로세스에서 상기 웨이퍼 상에 인쇄되는 하나 이상의 패턴에 대해 상기 검출된 결함들이 얼마나 영향을 끼치는지를 결정하는 것
    을 포함한 것인, 포토마스크 검사 시스템.
  20. 제18항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 검사 서브시스템에 의해 검출된 상기 포토마스크로부터의 에너지로부터 생성된 이미지들과 조합하여, 상기 검출된 결함들에 대해 생성된 상기 높이 정보에 기초하여 상기 검출된 결함들을 처리하도록 구성된 것인, 포토마스크 검사 시스템.
  21. 제20항에 있어서,
    상기 검사 서브시스템에 의해 상기 포토마스크로 지향되는 에너지는 193㎚의 파장을 갖는 광을 포함한 것인, 포토마스크 검사 시스템.
  22. 제15항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 검출된 결함들에 대해 생성된 상기 높이 정보에 기초하여 상기 검출된 결함들을 자동화 처리하도록 구성된 것인, 포토마스크 검사 시스템.
  23. 제1항에 있어서,
    상기 하나 이상의 컴퓨터 서브시스템은 또한, 상기 검사 서브시스템에 의해 검출된 상기 포토마스크로부터의 에너지로부터 생성된 이미지들과 조합하여, 상기 검출된 결함들에 대해 생성된 상기 하전 입자 빔 이미지들에 기초하여 상기 검출된 결함들을 처리하도록 구성된 것인, 포토마스크 검사 시스템.
  24. 제23항에 있어서,
    상기 검사 서브시스템에 의해 상기 포토마스크로 지향되는 에너지는 193㎚의 파장을 갖는 광을 포함한 것인, 포토마스크 검사 시스템.
  25. 제1항에 있어서,
    상기 하전 입자 빔 서브시스템은 또한 전자 빔 서브시스템으로서 구성된 것인, 포토마스크 검사 시스템.
  26. 제1항에 있어서,
    상기 하전 입자 빔 서브시스템은 또한 이온 빔 서브시스템으로서 구성된 것인, 포토마스크 검사 시스템.
  27. 포토마스크를 검사하기 위한 컴퓨터 구현 방법을 수행하기 위해 컴퓨터 시스템 상에서 실행가능한 프로그램 명령어들을 저장한 비일시적인 컴퓨터 판독가능 매체에 있어서, 상기 컴퓨터 구현 방법은,
    에너지를 포토마스크로 지향시키고, 상기 포토마스크로부터 에너지를 검출하며, 상기 검출된 에너지에 응답하여 출력을 생성하는 단계 - 상기 포토마스크는 하나 이상의 극자외선 파장의 광에서의 사용을 위해 구성됨 -;
    상기 출력에 핫 임계치를 적용함으로써 상기 포토마스크 상의 결함들을 검출하는 단계;
    상기 검출된 결함들의 위치들에서 상기 포토마스크의 하전 입자 빔 이미지들을 생성하는 단계; 및
    상기 검출된 결함들에 대해 생성된 상기 하전 입자 빔 이미지들에 기초하여 상기 검출된 결함들을 처리하는 단계
    를 포함하고
    상기 검출된 결함들을 처리하는 단계는,
    상기 검출된 결함들이 실제 결함들인지 또는 거짓 결함들인지를 결정하는 단계, 및
    웨이퍼가 리소그래피 프로세스에서 상기 포토마스크를 사용하여 인쇄되는 경우 상기 웨이퍼 상의 상기 실제 결함들의 인쇄 가능성(printability)을 결정하는 단계
    를 포함하는 것인, 비일시적인 컴퓨터 판독가능 매체.
  28. 포토마스크를 검사하기 위한 방법에 있어서,
    에너지를 포토마스크로 지향시키고, 상기 포토마스크로부터 에너지를 검출하며, 상기 검출된 에너지에 응답하여 출력을 생성하는 단계 - 상기 포토마스크는 하나 이상의 극자외선 파장의 광에서의 사용을 위해 구성됨 -;
    상기 출력에 핫 임계치를 적용함으로써 상기 포토마스크 상의 결함들을 검출하는 단계;
    상기 검출된 결함들의 위치들에서 상기 포토마스크의 하전 입자 빔 이미지들을 생성하는 단계; 및
    상기 검출된 결함들에 대해 생성된 상기 하전 입자 빔 이미지들에 기초하여 상기 검출된 결함들을 처리하는 단계
    를 포함하고
    상기 검출된 결함들을 처리하는 단계는,
    상기 검출된 결함들이 실제 결함들인지 또는 거짓 결함들인지를 결정하는 단계, 및
    웨이퍼가 리소그래피 프로세스에서 상기 포토마스크를 사용하여 인쇄되는 경우 상기 웨이퍼 상의 상기 실제 결함들의 인쇄 가능성(printability)을 결정하는 단계
    를 포함하는, 포토마스크를 검사하기 위한 방법.
  29. 삭제
KR1020217010781A 2018-09-20 2019-09-19 극자외선 포토마스크 상에서 검출되는 결함의 처리 KR102557180B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862733961P 2018-09-20 2018-09-20
US62/733,961 2018-09-20
US16/563,763 2019-09-06
US16/563,763 US10866197B2 (en) 2018-09-20 2019-09-06 Dispositioning defects detected on extreme ultraviolet photomasks
PCT/US2019/051805 WO2020061241A1 (en) 2018-09-20 2019-09-19 Dispositioning defects detected on extreme ultraviolet photomasks

Publications (2)

Publication Number Publication Date
KR20210047356A KR20210047356A (ko) 2021-04-29
KR102557180B1 true KR102557180B1 (ko) 2023-07-18

Family

ID=69884449

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217010781A KR102557180B1 (ko) 2018-09-20 2019-09-19 극자외선 포토마스크 상에서 검출되는 결함의 처리

Country Status (7)

Country Link
US (1) US10866197B2 (ko)
JP (1) JP7270034B2 (ko)
KR (1) KR102557180B1 (ko)
CN (1) CN112714891A (ko)
IL (1) IL281403B2 (ko)
TW (1) TWI797382B (ko)
WO (1) WO2020061241A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11320385B2 (en) * 2018-10-16 2022-05-03 Seagate Technology Llc Intelligent defect identification system
US11244443B2 (en) * 2019-07-28 2022-02-08 Advantest Corporation Examination apparatus, examination method, recording medium storing an examination program, learning apparatus, learning method, and recording medium storing a learning program
US11557031B2 (en) 2019-11-21 2023-01-17 Kla Corporation Integrated multi-tool reticle inspection
DE102020208185A1 (de) * 2020-06-30 2021-12-30 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Einstellen eines Seitenwandwinkels eines Pattern-Elements einer fotolithographischen Maske
CN114326296A (zh) 2020-09-29 2022-04-12 长鑫存储技术有限公司 光罩微粒的定位方法、装置、存储介质与电子设备
CN112414943B (zh) * 2020-11-27 2023-06-09 上海华力微电子有限公司 半导体芯片缺陷定位方法和定位模块
KR20230005525A (ko) 2021-07-01 2023-01-10 주식회사 이솔 Euv 블랭크 마스크의 디펙트 검출을 통한 웨이퍼 디펙트를 개선하는 방법
CN117813547A (zh) * 2021-08-11 2024-04-02 Asml荷兰有限公司 掩模缺陷检测
US20220011679A1 (en) * 2021-09-23 2022-01-13 Intel Corporation Measurement tool and method for lithography masks
US11727556B2 (en) 2021-09-29 2023-08-15 KLA Corp. Defect detection for multi-die masks
WO2023088623A1 (en) * 2021-11-16 2023-05-25 Asml Netherlands B.V. Systems and methods for defect detection and defect location identification in a charged particle system

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150285744A1 (en) 2014-04-04 2015-10-08 Nuflare Technology, Inc. Image capturing apparatus and inspection apparatus and inspection method
JP2016183976A (ja) * 2011-03-15 2016-10-20 株式会社荏原製作所 検査装置

Family Cites Families (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002740A (en) * 1996-10-04 1999-12-14 Wisconsin Alumni Research Foundation Method and apparatus for X-ray and extreme ultraviolet inspection of lithography masks and other objects
US7107571B2 (en) * 1997-09-17 2006-09-12 Synopsys, Inc. Visual analysis and verification system using advanced tools
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6800859B1 (en) * 1998-12-28 2004-10-05 Hitachi, Ltd. Method and equipment for detecting pattern defect
JP2001127129A (ja) * 1999-10-27 2001-05-11 Hitachi Ltd 試料の欠陥検査システム、および検査方法
JP4015352B2 (ja) * 2000-02-22 2007-11-28 株式会社日立製作所 荷電粒子ビームを用いた検査方法
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6976240B2 (en) * 2001-11-14 2005-12-13 Synopsys Inc. Simulation using design geometry information
WO2003087797A1 (en) 2002-04-17 2003-10-23 Ebara Corporation Sample surface inspection apparatus and method
US6674075B2 (en) * 2002-05-13 2004-01-06 Applied Materials, Inc. Charged particle beam apparatus and method for inspecting samples
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
JP2005156516A (ja) * 2003-11-05 2005-06-16 Hitachi Ltd パターン欠陥検査方法及びその装置
JP2006170809A (ja) * 2004-12-16 2006-06-29 Dainippon Screen Mfg Co Ltd 欠陥検出装置および欠陥検出方法
US7747062B2 (en) * 2005-11-09 2010-06-29 Kla-Tencor Technologies Corp. Methods, defect review tools, and systems for locating a defect in a defect review process
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
KR100819000B1 (ko) 2006-10-02 2008-04-02 삼성전자주식회사 패턴 검사, 확인 및 수정 공정을 분리하여 진행하는포토마스크 검사 방법과 그에 사용되는 시스템들 및 패턴확인 시스템
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
JP2008185931A (ja) * 2007-01-31 2008-08-14 Sii Nanotechnology Inc 集束イオンビーム微細加工装置を用いたフォトマスクの欠陥修正方法
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US8126255B2 (en) * 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
JP2009086428A (ja) * 2007-10-01 2009-04-23 Sii Nanotechnology Inc 荷電粒子ビームを用いたフォトマスクの欠陥修正方法及び欠陥修正装置
JP5615489B2 (ja) * 2008-08-08 2014-10-29 株式会社荏原製作所 基板表面の検査方法及び検査装置
DE102010025033B4 (de) 2010-06-23 2021-02-11 Carl Zeiss Smt Gmbh Verfahren zur Defekterkennung und Reparatur von EUV-Masken
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
DE102011079382B4 (de) 2011-07-19 2020-11-12 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Analysieren und zum Beseitigen eines Defekts einer EUV Maske
KR102055992B1 (ko) * 2012-03-28 2019-12-13 호야 가부시키가이샤 마스크 블랭크용 기판, 다층 반사막 부착 기판, 투과형 마스크 블랭크, 반사형 마스크 블랭크, 투과형 마스크, 반사형 마스크 및 반도체 장치의 제조 방법
US8953869B2 (en) * 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9518935B2 (en) * 2013-07-29 2016-12-13 Kla-Tencor Corporation Monitoring changes in photomask defectivity
US8987010B1 (en) * 2013-08-29 2015-03-24 International Business Machines Corporation Microprocessor image correction and method for the detection of potential defects
DE102013020705B4 (de) * 2013-12-10 2018-01-25 Carl Zeiss Smt Gmbh Verfahren zur Untersuchung einer Maske
US9478019B2 (en) 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
JP6401648B2 (ja) * 2015-03-31 2018-10-10 株式会社Screenホールディングス 欠陥分類装置および欠陥分類方法
US9875536B2 (en) * 2015-03-31 2018-01-23 Kla-Tencor Corp. Sub-pixel and sub-resolution localization of defects on patterned wafers
EP3109700B1 (en) * 2015-06-26 2020-07-01 Shin-Etsu Chemical Co., Ltd. Defect inspecting method, sorting method, and producing method for photomask blank
WO2017027366A1 (en) * 2015-08-10 2017-02-16 Kla-Tencor Corporation Apparatus and methods for predicting wafer-level defect printability
US9740805B1 (en) * 2015-12-01 2017-08-22 Western Digital (Fremont), Llc Method and system for detecting hotspots for photolithographically-defined devices
US9915625B2 (en) * 2016-01-04 2018-03-13 Kla-Tencor Corp. Optical die to database inspection
JP6737598B2 (ja) 2016-01-14 2020-08-12 株式会社荏原製作所 検査装置及び検査方法
US10241390B2 (en) * 2016-02-24 2019-03-26 AGC Inc. Reflective mask blank and process for producing the reflective mask blank
US10634623B2 (en) * 2016-10-07 2020-04-28 Kla-Tencor Corporation Phase contrast monitoring for extreme ultra-violet (EUV) masks defect inspection
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
US10451563B2 (en) * 2017-02-21 2019-10-22 Kla-Tencor Corporation Inspection of photomasks by comparing two photomasks
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2016183976A (ja) * 2011-03-15 2016-10-20 株式会社荏原製作所 検査装置
US20150285744A1 (en) 2014-04-04 2015-10-08 Nuflare Technology, Inc. Image capturing apparatus and inspection apparatus and inspection method

Also Published As

Publication number Publication date
JP7270034B2 (ja) 2023-05-09
TW202024613A (zh) 2020-07-01
JP2022502839A (ja) 2022-01-11
IL281403A (en) 2021-04-29
IL281403B2 (en) 2024-04-01
US20200096862A1 (en) 2020-03-26
CN112714891A (zh) 2021-04-27
KR20210047356A (ko) 2021-04-29
WO2020061241A1 (en) 2020-03-26
IL281403B1 (en) 2023-12-01
TWI797382B (zh) 2023-04-01
US10866197B2 (en) 2020-12-15

Similar Documents

Publication Publication Date Title
KR102557180B1 (ko) 극자외선 포토마스크 상에서 검출되는 결함의 처리
KR102460050B1 (ko) 하이브리드 검사기
CN110383441B (zh) 使用经预测的计量图像的计量配方产生
KR102347057B1 (ko) 전자 빔 이미지에서의 결함 위치 결정
KR102341973B1 (ko) 광학 다이 대 데이터베이스 검사
US9767548B2 (en) Outlier detection on pattern of interest image populations
US7570800B2 (en) Methods and systems for binning defects detected on a specimen
KR102245701B1 (ko) 근접장 복원을 이용한 레티클 검사
KR20230007431A (ko) 검사 이미지로부터 고해상도 이미지를 생성하기 위한 기계 학습 모델 훈련
KR20230057462A (ko) 시료 검사의 셋업
US11727556B2 (en) Defect detection for multi-die masks
CN114641726B (zh) 集成式多工具光罩检验
TWI840634B (zh) 網線檢查系統
KR20240034691A (ko) 고속 및 퍼지 패턴 그룹화
TW202300900A (zh) 以經呈現設計影像之設計照護區域之分段

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant