CN112714891A - 处理在极紫外光掩模上所检测到的缺陷 - Google Patents

处理在极紫外光掩模上所检测到的缺陷 Download PDF

Info

Publication number
CN112714891A
CN112714891A CN201980060748.1A CN201980060748A CN112714891A CN 112714891 A CN112714891 A CN 112714891A CN 201980060748 A CN201980060748 A CN 201980060748A CN 112714891 A CN112714891 A CN 112714891A
Authority
CN
China
Prior art keywords
photomask
detected
defects
defect
subsystem
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201980060748.1A
Other languages
English (en)
Inventor
V·托拉尼
佐武政树
W·苏泽
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
KLA Corp
Original Assignee
KLA Tencor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by KLA Tencor Corp filed Critical KLA Tencor Corp
Publication of CN112714891A publication Critical patent/CN112714891A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/7055Exposure light control in all parts of the microlithographic apparatus, e.g. pulse length control or light interruption
    • G03F7/70575Wavelength control, e.g. control of bandwidth, multiple wavelength, selection of wavelength or matching of optical components to wavelength
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/33Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2251Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident electron beams, e.g. scanning electron microscopy [SEM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N23/00Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00
    • G01N23/22Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material
    • G01N23/225Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion
    • G01N23/2255Investigating or analysing materials by the use of wave or particle radiation, e.g. X-rays or neutrons, not covered by groups G01N3/00 – G01N17/00, G01N21/00 or G01N22/00 by measuring secondary emission from the material using electron or ion using incident ion beams, e.g. proton beams
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/22Masks or mask blanks for imaging by radiation of 100nm or shorter wavelength, e.g. X-ray masks, extreme ultraviolet [EUV] masks; Preparation thereof
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • G03F1/74Repair or correction of mask defects by charged particle beam [CPB], e.g. focused ion beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/82Auxiliary processes, e.g. cleaning or inspecting
    • G03F1/84Inspecting
    • G03F1/86Inspecting by charged particle beam [CPB]
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/7065Defects, e.g. optical inspection of patterned layer for defects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/30Structural arrangements specially adapted for testing or measuring during manufacture or treatment, or specially adapted for reliability measurements
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/25Colour; Spectral properties, i.e. comparison of effect of material on the light at two or more different wavelengths or wavelength bands
    • G01N21/31Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry
    • G01N21/33Investigating relative effect of material at wavelengths characteristic of specific elements or molecules, e.g. atomic absorption spectrometry using ultraviolet light
    • G01N2021/335Vacuum UV
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95676Masks, reticles, shadow masks

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Biochemistry (AREA)
  • Analytical Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • General Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Immunology (AREA)
  • Pathology (AREA)
  • Health & Medical Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Investigating Materials By The Use Of Optical Means Adapted For Particular Applications (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)
  • Analysing Materials By The Use Of Radiation (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

本发明提供用于光掩模缺陷处理的方法及系统。一种方法包含将能量引导到光掩模并从所述光掩模检测能量。所述光掩模经配置以供在一或多个极紫外光波长处使用。所述方法还包含基于所述所检测能量而检测所述光掩模上的缺陷。另外,所述方法包含在所述所检测缺陷的位置处产生所述光掩模的带电粒子束图像。所述方法进一步包含基于针对所述所检测缺陷产生的所述带电粒子束图像而处理所述所检测缺陷。

Description

处理在极紫外光掩模上所检测到的缺陷
技术领域
本发明一般来说涉及光掩模检验及缺陷处理的领域。更特定来说,本发明涉及用于检验及再检测经设计以供在极紫外波长处使用的光掩模的方法及系统。
背景技术
以下描述及实例并不由于其包含于此章节中而被认为是现有技术。
制作例如逻辑及存储器装置等半导体装置通常包含使用较大数目个半导体制作工艺来处理例如半导体晶片等衬底以形成半导体装置的各种特征及多个层级。举例来说,光刻是涉及将图案从光掩模转印到布置于半导体晶片上的抗蚀剂的半导体制作工艺。半导体制作工艺的额外实例包含但不限于化学机械抛光(CMP)、蚀刻、沉积及离子植入。可在单个半导体晶片上的布置中制作多个半导体装置且接着将所述多个半导体装置分离成个别半导体装置。
在193nm浸润式光刻的性能达到其极限以及存在与多图案化光刻工艺相关联的基本上高成本及合格率问题的情况下,极紫外(EUV)光刻已得到广泛开发。所述EUV光刻是下代光刻(NGL)技术的领先候选者,用以扩展摩尔(Moore)定律,从而驱使计算机芯片变得更小、更快且更高效。
从工艺合格率管理视角来看,对界定印刷于晶片上的图案的EUV光掩模的缺陷率控制起着关键作用。然而,由于缺乏光化EUV光掩模或可以所需分辨率来检验光掩模的高吞吐量带电粒子束检验工具,因此已将缺陷率控制视为EUV光刻开发的高风险领域中的一者。当前且对于可预见的未来,经图案化EUV光掩模的检验可必须单独地依赖于在深紫外(DUV)波长范围(例如,193nm到257nm)内操作的可用检验工具。
对于EUV光掩模来说,光掩模使用与光掩模检验之间的此类明显波长差异对DUV检验工具的性能具有显著影响。相比来说,用于DUV掩模的旧检验方法在193nm检验波长下具有充足缺陷及图案分辨率,因此事实上无需单独进行再检测。当前,利用DUV检验工具执行的EUV光掩模检验旨在产生大约数百次检测的缺陷,使得这些缺陷的后续再检测不会对扫描电子显微镜(SEM)工具或操作员手动地再检测每一缺陷造成麻烦。DUV检验工具还在以EUV图案化为目标的掩模尺寸上具有有限分辨率。举例来说,DUV掩模检验工具的理论分辨率极限在4X掩模上是约60nm半间距(HP),这意味着EUV掩模上的主要特征大小将远远超出DUV检验工具的实际分辨率。
然而,正在探索具有各种照射条件以将缺陷敏感度及/或主要图案调制最大化的DUV检验工具,从而用于初始EUV缺陷检测。由于EUV掩模的DUV检验成像中一般较低的信噪比,因此这些检验通常导致数百或数千个缺陷被检测到。并且如果想要更进一步扩展检测能力,那么可存在对每一DUV检验的成千上万次检测。接着需要对这些检测中的每一者进行准确再检测及处理。对每一缺陷进行视觉再检测由于不良DUV分辨率而为困难的,且手动缺陷误分类的风险随着缺陷计数而上升。此外,缺乏可靠的空中图像处理系统使得处理EUV掩模缺陷以用于可印刷性变得更具挑战性。
因此,开发不具有上文所描述的缺点中的一或多者的用于EUV光掩模缺陷处理的方法及/或系统将为有利的。
发明内容
各种实施例的以下描述不应以任何方式被视为限制所附权利要求书的标的物。
一个实施例涉及一种包含检验子系统的光掩模检验系统,所述检验子系统经配置以用于将能量引导到光掩模并从所述光掩模检测能量。所述光掩模经配置以供在一或多个极紫外(EUV)光波长处使用。所述系统还包含一或多个计算机子系统,所述一或多个计算机子系统经配置以用于基于所述所检测能量来检测所述光掩模上的缺陷。另外,所述系统包含带电粒子束子系统,所述带电粒子束子系统经配置以用于在由所述一或多个计算机子系统确定的所述所检测缺陷的位置处产生所述光掩模的带电粒子束图像。所述一或多个计算机子系统经配置以用于基于针对所述所检测缺陷产生的所述带电粒子束图像来处理所述所检测缺陷。可如本文中所描述地进一步配置光掩模检验系统。
另一实施例涉及一种用于检验光掩模的方法。所述方法包含将能量引导到光掩模并从所述光掩模检测能量。所述光掩模经配置以供在一或多个EUV光波长处使用。所述方法还包含基于所述所检测能量来检测所述光掩模上的缺陷。另外,所述方法包含在所述所检测缺陷的位置处产生所述光掩模的带电粒子束图像。所述方法进一步包含基于针对所检测缺陷产生的带电粒子束图像来处理所检测缺陷。
可如本文中进一步所描述地执行上文所描述的方法。另外,上文所描述的方法可包含本文中所描述的任何其它方法的任何其它步骤。此外,上文所描述的方法可由本文中所描述的所述系统中的任一者执行。
另一实施例涉及一种非暂时计算机可读媒体,其存储可在计算机系统上执行以用于执行用于检验光掩模的计算机实施的方法的程序指令。所述计算机实施的方法包含上文所描述的所述方法的步骤。可如本文中所描述地进一步配置所述计算机可读媒体。可如本文中进一步所描述地执行所述计算机实施的方法的步骤。另外,可用于执行所述程序指令的所述计算机实施的方法可包含本文中所描述的任何其它方法的任何其它步骤。
附图说明
在阅读以下详细描述且在参考附图之后,本发明的其它目标及优点将即刻变得显而易见,其中:
图1是图解说明光掩模检验及缺陷处理系统的一个实施例的侧视图的示意图;
图2是图解说明任选子系统的一个实施例的侧视图的示意图,所述任选子系统可包含于光掩模检验系统的实施例中;
图3是图解说明极紫外(EUV)光掩模的部分的一个实例的侧视图的示意图;
图4是图解说明EUV光掩模图案的不具有任何缺陷的部分的一个实例的平面图的示意图;
图5是图解说明图4的EUV光掩模图案的部分的平面图的示意图,所述部分具有可在其中检测到的缺陷的各种实例;
图6是图解说明可由本文中所描述的实施例执行以用于处理在使用带电粒子束子系统来执行的EUV光掩模上检测到的缺陷的步骤的一个实施例的流程图;
图7是图解说明EUV光掩模的部分的一个实例的侧视图的示意图,所述部分具有形成于其上的经埋入缺陷的不同实例;
图8是图解说明可由本文中所描述的实施例执行以用于处理在使用原子力显微镜子系统来执行的EUV光掩模上检测到的缺陷的步骤的一个实施例的流程图;且
图9是图解说明非暂时性计算机可读媒体的一个实施例的框图,所述非暂时性计算机可读媒体存储可在计算机系统上执行以用于执行本文中所描述的计算机实施的方法中的一或多者的程序指令。
尽管易于对本发明做出各种修改及替代形式,但其特定实施例是在图式中以实例的方式展示且将在本文中详细描述。然而,应理解,图式及对其的详细描述并不打算将本发明限制于所揭示的特定形式,而是相反,本发明将涵盖归属于如由所附权利要求书所界定的本发明的精神及范围内的所有修改、等效形式及替代形式。
具体实施方式
本文中所使用的术语“设计”及“设计数据”是指由半导体装置设计者在设计工艺中产生且因此在将设计印刷于任何物理衬底上之前可良好地用于本文中所描述的实施例中的信息及数据。因此,如本文中所使用的术语“设计”及“设计数据”通常是指IC的物理设计(布局)以及通过复杂模拟或简单几何构造及布林运算从物理设计导出的数据。物理设计可存储于例如图形数据流(GDS)档案的数据结构、任何其它标准机器可读档案、此项技术中已知的任何其它适合档案及设计数据库中。GDSII档案是用于设计布局数据的表示的一类档案中的一者。此类档案的其它实例包含GL1及OASIS档案以及例如RDF数据等专属档案格式,所述RDF数据专属于加利福尼亚州苗必达(Milpitas)的KLA。所述设计可包含描述于2009年8月4日颁予扎法尔(Zafar)等人的共同拥有的美国专利第7,570,796号及2010年3月9日颁予库尔卡尼(Kulkarni)等人的共同拥有的美国专利第7,676,077号中的任何其它设计数据或设计数据代理,所述专利两者以引用方式并入,如同在本文中完整陈述一样。另外,设计数据可为标准单元库数据、集成布局数据、一或多个层的设计数据、设计数据的导出物及全部或部分芯片设计数据。
所述“设计”或“物理设计”还可为将理想地形成于光掩模上的设计。以此方式,如本文中所描述的设计可包含印刷于光掩模上的设计的所有特征(包含任何光学接近校正(OPC)特征),将所述特征添加到设计以在无需实际上印刷其自身的情况下增强晶片上的特征的印刷。
现在转到图式,应注意各图并未按比例绘制。特定来说,所述图的元件中的一些元件的比例被极大地放大以强调所述元件的特性。还应注意,所述图并未按相同比例绘制。已使用相同参考编号来指示可类似地配置的在多于一个图中展示的元件。除非本文中另外提及,否则所描述及所展示的元件中的任一者可包含任何适合的市售元件。
本文中所描述的实施例一般来说涉及使用热检验(例如,深紫外(DUV)(例如,193nm)光学检验)的极紫外(EUV)光掩模缺陷检验,后续接着使用次级带电粒子束图像的基本上快速缺陷处理。EUV光刻是下代光刻(NGL)的领先技术,其可行性依赖于适当功能EUV光掩模检验工具的可用性,所述EUV光掩模检验工具可撷取所有影响合格率的关键缺陷。可通过以下操作而将现有光掩模检验工具的使用扩展到EUV光掩模检验:对所述现有光掩模检验工具进行“热”运行以便实现所需缺陷敏感度(尽管会在检验中检测到基本上高数目个缺陷),且接着基于分类及可印刷性建模使用带电粒子束成像(例如扫描电子显微镜(SEM))及可能的原子力显微镜(AFM)来处理每一所检测缺陷(或至少所检测缺陷的大部分)。如本文中进一步所描述,所述实施例可经配置以使用带电粒子束图像及任选地AFM图像来处理所述所检测缺陷。另外,本文中所描述的一些实施例经配置以用于自动算法以及如下工作流程:带电粒子束成像/分析及任选地AFM分析,包含缺陷隔离、分类及可印刷性分析。
一个实施例涉及光掩模检验系统。图1中展示此系统的一个实施例。光掩模检验系统包含检验子系统,所述检验子系统经配置以用于将能量引导到光掩模并从所述光掩模检测能量。在一个实施例中,由检验子系统引导到光掩模的能量包含一或多个DUV光波长。光掩模经配置以供在一或多个EUV光波长处使用。换句话说,所述光掩模经配置以用于光刻工艺中,所述光刻工艺使用一或多个EUV光波长来将图案从光掩模转印到晶片(例如,通过将EUV光从光掩模反射到晶片)。以此方式,在本文中所描述的实施例中光掩模的光化波长(即,用于将图案从光掩模转印到晶片以借此导致晶片上的一或多种材料(例如,光致抗蚀剂)中的光化学反应的光波长)可与通过本文中所描述的实施例来用于光掩模检验的光波长不同。
在一个实施例中,由检验子系统引导到光掩模的能量包含具有193nm的波长的光。在另一实施例中,由检验子系统引导到光掩模的能量包含具有在从193nm到257nm的范围内的一或多个波长的光。在额外实施例中,由检验子系统引导到光掩模的能量包含具有13.5nm的波长(或另一EUV光波长)的光。
如图1中所展示,光掩模检验系统包含检验子系统100。尽管图1中将光掩模检验系统展示为包含一个检验子系统,但应理解,光掩模检验系统可包含仅一个检验子系统或多于一个检验子系统,例如图1中所展示的检验子系统100及图2中所展示且本文中进一步所描述的检验子系统200。
如图1中进一步所展示,检验子系统100包含光源102。光源102可包含此项技术中已知的任何适合光源,例如激光。光源102经配置以将光引导到分束器104,所述分束器经配置以将来自光源102的光反射到折射光学元件106。折射光学元件106经配置以将来自分束器104的光聚焦到光掩模108。分束器104可包含任何适合分束器,例如50/50分束器。折射光学元件106可包含任何适合折射光学元件,且虽然折射光学元件106在图1中展示为单个折射光学元件,但其可用一或多个折射光学元件及/或一或多个反射光学元件来替换。
因此,光源102、分束器104及折射光学元件106可形成检验子系统的照射通道。所述照射通道可包含任何其它适合元件(图1中未展示),例如一或多个偏光组件、衍射光学元件(DOE)及例如光谱滤光器等一或多个滤光器。如图1中所展示,光源、分束器及折射光学元件经配置使得以法向或基本上法向入射角将光引导到光掩模。检验子系统可经配置以便以任何适合方式使光在光掩模上方进行扫描。
由于照射而从光掩模108反射的光可由折射光学元件106收集且通过分束器104引导到检测器110。因此,折射光学元件、分束器及检测器可形成检验子系统的检测通道。检测器可包含此项技术中已知的任何适合成像检测器,例如电荷耦合装置(CCD)或时间延迟积分器(TDI)。此检测通道还可包含一或多个额外组件(图1中未展示),例如一或多个偏光组件、一或多个空间滤光器、一或多个光谱滤光器等等。检测器110经配置以产生响应于由检测器检测到的经反射光的输出。所述输出可包含信号、信号数据、图像、图像数据及任何其它适合输出。
检验子系统可以任何适合方式经配置以具有多于一种模式。在一些实例中,检验子系统可依序具有多于一种模式(例如,通过在光掩模的扫描之间改变检验子系统的成像透镜的一或多个参数,例如数值孔径(NA))。此外,检验子系统可同时以一些模式且依序以其它模式对光掩模进行扫描。光掩模检验系统可以任何适合方式经配置以控制用于对任何光掩模的任何扫描的光学模式。
光掩模检验系统可包含未在图1中展示的若干个其它组件。举例来说,所述系统可包含加载模块、对准模块、例如机器人传送臂等处置器及环境控制模块并且可包含此项技术中已知的任何此类组件。
光掩模检验系统还包含一或多个计算机子系统,所述一或多个计算机子系统经配置以用于基于所检测能量而检测光掩模上的缺陷。计算机子系统可经配置而以一或多种不同方式检测缺陷。举例来说,计算机子系统可经配置以将响应于所检测能量(例如,图像、图像数据等)的由检验子系统的检测器产生的输出与用于光掩模的对应设计信息(例如,GDS或可存储于设计数据库中的其它设计数据)进行比较。因此,此缺陷检测通常称为裸片对数据库类型的检验。可将所述比较的结果与一或多个阈值进行比较。高于阈值的输出可被识别为对应于缺陷或可能缺陷,且不高于阈值的输出可不被识别为对应于缺陷或可能缺陷。在另一实例中,响应于所检测能量的由检验子系统的检测器产生的输出与在光掩模上的不同裸片中的相同位置处产生的输出可通过计算机子系统而彼此进行比较。因此,此缺陷检测通常称为裸片对裸片类型的检验。可如上文所描述地使用此类比较结果来识别光掩模上的缺陷。
在一个实施例中,计算机子系统经配置以用于使用热阈值来检测缺陷。举例来说,用于上文所描述的缺陷检测中的阈值可为“热”阈值。“热”阈值通常定义为是在由光掩模的检验子系统产生的输出的噪声基底处、其内或基本上其附近的阈值。以此方式,缺陷检测可比原本通常针对经调谐检验处方而执行的缺陷检测更具侵略性(更热),使得所检测到的事件(包含缺陷及滋扰事件)比在经调谐检验中所期望的更多。以此方式,由于基本上高滋扰缺陷检测,因此此检验通常对生产监视是无用的。以此阈值执行的检验通常称为“热”检验,且在此检验期间对光掩模执行的扫描可通常称为“热”扫描。
在一个实施例中,如图1中所展示,光掩模检验系统包含耦合到检验子系统100的计算机子系统116。举例来说,计算机子系统可耦合到检验子系统的检测器(例如,检测器110)(例如,通过由图1中的虚线展示的一或多个发射媒体,其可包含此项技术中已知的任何适合发射媒体)。计算机子系统可以任何适合方式耦合到检测器,使得可将由检验子系统产生的光掩模的输出(例如,图像)及任何其它信息发送到所述计算机子系统,且任选地使得所述计算机子系统可将指令发送到检验子系统以执行一或多个步骤。
此计算机子系统(以及本文中所描述的其它计算机子系统)还可在本文中称为计算机系统。本文中所描述的计算机子系统或系统中的每一者可采用各种形式,包含个人计算机系统、图像计算机、主机计算机系统、工作站、网络器具、因特网器具或其它装置。一般来说,术语“计算机系统”可广泛定义为囊括执行来自存储器媒体的指令的具有一或多个处理器的任何装置。计算机子系统或系统还可包含此项技术中已知的任何适合处理器,例如平行处理器。另外,计算机子系统或系统可包含具有高速度处理及软件的计算机平台作为独立工具或网络连线工具。
如果所述系统包含多于一个计算机子系统,那么不同计算机子系统可彼此耦合,使得图像、数据、信息、指令等可在计算机子系统之间发送,如本文中进一步所描述。举例来说,计算机子系统110可通过可包含此项技术中已知的任何适合有线及/或无线发射媒体的任何适合发射媒体来耦合到计算机子系统118(如通过图1中的虚线所展示)。此类计算机子系统中的两者或更多者还可通过共享计算机可读存储媒体(未展示)来有效地耦合。
光掩模检验系统还包含带电粒子束子系统,所述带电粒子束子系统经配置以用于在由一或多个计算机子系统确定的所检测缺陷的位置处产生光掩模的带电粒子束图像。在一个实施例中,带电粒子束子系统配置为电子束子系统。举例来说,如图1中所展示,电子束子系统可包含耦合到计算机子系统134的电子柱122。
电子柱包含电子束源124,所述电子束源经配置以产生通过一或多个元件126而聚焦到光掩模128的电子。电子束源可包含(举例来说)阴极源或发射器尖端,且一或多个元件126可包含(举例来说)枪透镜、阳极、限束孔口、闸阀、束电流选择孔口、物镜及扫描子系统,所有所述元件均可包含此项技术中已知的任何此类适合元件。
从光掩模返回的电子(例如,次级电子)可通过一或多个元件130来聚焦到检测器132。一或多个元件130可包含(举例来说)扫描子系统,所述扫描子系统可为包含于元件126中的相同扫描子系统。
电子柱可包含此项技术中已知的任何其它适合元件。另外,电子柱可如以下各项中所描述地进一步经配置:2014年4月4日颁予姜(Jiang)等人的美国专利第8,664,594号、2014年4月8日颁予小岛(Kojima)等人的美国专利第8,692,204号、2014年4月15日颁予古本斯(Gubbens)等人的美国专利第8,698,093号及2014年5月6日颁予麦克唐纳德(MacDonald)等人的美国专利第8,716,662号,所述专利以引用方式并入,如同在本文中完整陈述一样。
虽然电子柱在图1中展示为经配置使得电子以倾斜入射角被引导到光掩模且以另一倾斜角从所述光掩模散射,但应理解,电子束可以任何适合角度被引导到光掩模及从所述光掩模散射。另外,电子束子系统可经配置以使用多种模式来产生光掩模的图像(例如,以不同照射角度、收集角度等)。电子束子系统的多种模式在子系统的任何图像产生参数上可为不同的。
在另一实施例中,带电粒子束子系统配置为离子束子系统。举例来说,在图1中所展示的电子柱中,电子束源可用例如离子束源等另一带电粒子束源来替换,所述离子束源可包含此项技术中已知的任何适合离子束源。另外,带电粒子束子系统可具有任何其它适合离子束工具配置,例如包含于市售聚焦离子束(FIB)系统、氦离子显微镜(HIM)系统及次级离子质谱仪(SIMS)系统中的那些离子束工具配置。
计算机子系统134可如上文所描述地耦合到检测器132。检测器可检测从光掩模的表面返回的带电粒子,借此形成光掩模的带电粒子束图像。带电粒子束图像可包含任何适合的带电粒子束图像。计算机子系统134可经配置以使用检测器的输出及/或带电粒子束图像来执行本文中所描述的功能中的任一者。计算机子系统134可经配置以执行本文中所描述的任一(任何)额外步骤。计算机子系统134还可如本文中所描述地进一步配置。
虽然在本文中所提供的实施例中的一些实施例的描述中,带电粒子束子系统可称为扫描电子显微镜(SEM)且带电粒子束图像可称为“SEM图像”,但本文中所描述的所有实施例并不限于一或若干SEM图像。举例来说,带电粒子束子系统可具有用于产生带电粒子束图像的任何适合配置,包含SEM及其它类型的电子束工具(例如,透射电子显微镜(TEM))。另外,包含于系统中的带电粒子束子系统可包含市售电子束工具,例如来自加利福尼亚州圣荷西(San Jose)的美国爱德万公司(Advantest America,Inc.)的市售掩模DR-SEM E5600系列及掩模
Figure BDA0002979810790000091
E3600系列。
包含于系统中的计算机子系统还可包含例如未耦合到检验或带电粒子束子系统的计算机子系统118的计算机子系统。以此方式,所述计算机子系统中的一者可为可耦合到图1中所展示的其它计算机子系统的独立式计算机子系统。举例来说,独立式计算机子系统可经配置以获取本文中所描述的图像,且执行本文中所描述的其它步骤。在一个此实例中,计算机子系统116及134可经配置以存储从其相应耦合的检验及带电离子束子系统接收到的图像且将所述图像存储于可如上文所描述地进一步经配置的存储媒体120中。独立式计算机子系统可接着从存储媒体获取图像且使用所述图像的某一组合来执行本文中所描述的一或多个步骤。
如上文所述,光掩模检验系统可包含多于一个检验子系统。在本文中所描述的实施例中,图2中所展示的检验子系统还可与图1中所展示的检验子系统结合使用或可替代图1中所展示的检验子系统。
如图2中所展示,检验子系统200包含如本文中更详细地描述的照射子系统及收集子系统。照射子系统包含光源202。光源202可为相干光源,例如激光。光源可经配置以发射具有248nm、193nm的波长及/或本文中所描述的另一DUV或EUV波长的单色光。替代地,光源可经配置以发射具有一定波长范围的光且可耦合到光谱滤光器(未展示)。宽带光源的实例包含但不限于产生在DUV波长段中的光的He-Xe弧光灯。以此方式,光源及滤光器可发射具有如上文所描述的波长的单色光。光源可经配置以连续地或以脉冲形式按各种时间间隔发射光。
照射子系统还可包含耦合到光源的若干个光学组件。举例来说,来自光源202的光可首先通过均质器204。均质器204可经配置以减少来自光源的光的斑点。照射子系统还可包含光圈206。光圈206可具有可调整NA。举例来说,光圈可耦合到控制机构,所述控制机构可经配置以取决于从用户接收到的控制信号或依据从在系统上运行的程序处方接收到的程序指令而以机械方式更改所述光圈。以此方式,光可具有各种部分相干因子σ。举例来说,光圈206可经更改以调整聚光透镜208的光瞳。聚光透镜的光瞳控制系统的NA。当聚光器的光瞳减小时,照射的相干性增加,借此减小σ的值。σ的值可表达为聚光透镜的NA与物镜的NA的比率。曝光系统可具有介于约0.3到约0.9之间的范围内的σ值。因此,光圈206可经更改使得检验子系统具有介于约0.3与约0.9之间的σ值。可取决于光掩模上的特征而更改σ的值。举例来说,如果光掩模包含线及空间而非如果光掩模包含接触孔,那么可使用σ的较高值。控制机构还可经配置以更改光圈从而提供环形或离轴照射。光圈还可经配置以提供例如四极或双极照射等其它类型的照射。光圈可进一步经配置以更改光束的形状。举例来说,光圈可为衍射光学元件或切趾光圈。
照射子系统还可包含若干个额外光学组件(未展示)。举例来说,照射子系统还可包含经配置以更改光的光束直径的望远镜。另外,照射子系统可包含一或多个中继透镜、例如场透镜等额外透镜、折叠镜、额外光圈及分束器。
照射子系统还可包含聚光透镜208。聚光透镜208可经配置以将物体(光掩模)平面中的光的直径更改为近似或大于所述子系统的视野。可将离开聚光透镜的光引导到分束器209,所述分束器将来自聚光透镜的光引导到光掩模210以借此照射支撑在载台212上的光掩模210。分束器209可包含此项技术中已知的任何适合分束器。所述载台经配置以通过接近光掩模的外横向边缘而接触光掩模来支撑光掩模。载台212可经配置以移动光掩模,使得可更改光掩模的对准且使得光可跨越光掩模进行扫描。替代地,照射系统可包含例如声光偏转器或机械扫描组合件等扫描元件(未展示),使得光掩模可保持基本上静止同时使光跨越光掩模进行扫描。载台212还可经配置以使光掩模移动通过焦点,借此更改检验子系统的焦点设定。载台还可耦合到自动聚焦装置(未展示),所述自动聚焦装置经配置以更改载台的位置,借此更改光掩模的位置以在检验期间维持检验子系统的焦点设定。替代地,自动聚焦装置可耦合到物镜以更改物镜的位置,从而在检验期间维持焦点设定。
检验子系统还可包含经布置以形成收集子系统的若干个光学组件。举例来说,收集子系统包含物镜214。通过物镜214来收集由光掩模反射的光。收集子系统还包含具有可调整NA的光圈216。光圈216的NA还可经选择使得离开光圈的光具有选定放大率。光圈216定位于物镜214与可配置为镜筒透镜的透镜218之间。可将来自透镜218的光引导到分束器220。分束器220可经配置以将光引导到三个检测器222、224及226。收集子系统还可包含若干个额外光学组件(未展示),例如放大透镜。所述放大透镜可定位于透镜218与分束器220之间。
检测器222、224及226可经配置以形成由光掩模的经照射部分反射的光的图像。此图像可称为“空中图像”。所述检测器可包含(举例来说)CCD或TDI相机。所述检测器还可具有一维或二维像素阵列。三个检测器中的每一者可具有不同焦点设定。以此方式,三个检测器可基本上同时以三个不同焦点设定形成光掩模的图像。举例来说,一个检测器可基本上呈焦点对准,且其它两个检测器可在相对于焦点对准条件的相反方向上呈焦点不对准。另外,检验子系统可取决于检验子系统的机械或物理约束而包含任何数目个此类检测器。
替代地,检验子系统可仅包含经配置以形成光掩模的图像的一个检测器。检测器可具有近似地等于曝光系统的焦点设定的焦点设定。在形成每一图像之后,可通过更改检测器的焦点设定来以不同焦点设定形成光掩模的图像。在此实施例中,分束器220将不必将光分裂到多个检测器。
计算机子系统228可耦合到检验子系统200。举例来说,计算机子系统可耦合到检验子系统的检测器(例如,检测器222、224及226)(例如,通过由图2中的虚线展示的一或多个发射媒体,其可包含此项技术中已知的任何适合发射媒体)。计算机子系统可以任何适合方式耦合到检测器。计算机子系统可以任何其它适合方式耦合到检验子系统,使得可将由检验子系统产生的光掩模的图像及任何其它信息发送到计算机子系统,且任选地使得计算机子系统可将指令发送到检验子系统以执行本文中所描述的一或多个步骤。
注意,本文中提供图1及2以大体图解说明可包含于本文中所描述的实施例中的检验及带电粒子束子系统的一些配置。显然地,可更改本文中所描述的检验及带电粒子束子系统的配置以优化所述系统的性能,如在设计商业检验系统时通常所执行。另外,本文中所描述的光掩模检验系统可使用现有检验及带电粒子束子系统(例如从KLA市售的光掩模检验工具)来实施(例如,通过将本文中所描述的功能性添加到现有检验及/或带电粒子束检验系统)。针对一些此类系统,本文中所描述的实施例可提供为所述系统的任选功能性(例如,除所述系统的其它功能性以外)。替代地,可“从头开始”设计本文中所描述的光掩模检验系统以提供全新系统。
如上文所描述,检验子系统可配置为光学检验子系统,所述光学检验子系统经配置以利用具有一或多个波长的光对光掩模进行扫描。然而,检验子系统可为不同类型的检验子系统。举例来说,在一个实施例中,由检验子系统引导到光掩模的能量包含电子。在另一实施例中,由检验子系统引导到光掩模的能量包含离子。在此类实施例中,可以与通过图1中的电子柱122所展示类似的方式来配置检验子系统(可能将电子源用离子束源来替换)。以此方式,图1中所展示的光学检验子系统可用电子束或离子束检验子系统来替换,且所述系统可包含两个带电粒子束子系统(一个用于检验且另一个用于针对所检测缺陷产生带电粒子束图像)。
两个带电粒子束子系统在一或多个参数上可为不同的,使得子系统中的一者尤其适合于检验而另一者尤其适合于产生带电粒子束图像。举例来说,两个子系统在分辨率能力上可为不同的(使得用于检验的子系统具有比用于带电粒子束成像的一个子系统低的分辨率能力)。在另一替代方案中,所述系统可包含用于检验及带电粒子束成像两者的一个带电粒子束子系统,且可在检验与成像之间变更所述子系统的一或多个参数,使得所述子系统可用于两个任务。举例来说,如果图1中所展示的带电粒子束子系统用于成像而非用于检验,那么其可经配置以具有较高分辨率。换句话说,图1中所展示的带电粒子束子系统的实施例描述带电粒子束子系统的一些一般及各种配置,所述配置可以对所属领域的技术人员将为显而易见的若干种方式来裁适以产生具有或多或少适合于不同应用的不同成像能力的子系统。还可如纳卡(Naka)等人在2012年11月8日出版的SPIE光掩模技术2012论文集8522卷第14页的“模型EBEYE M用于EUV掩模生产的能力(Capability of Model EBEYE Mfor EUV Mask Production)”(其以引用方式并入,如同在本文中完整陈述一样)中所描述地执行光掩模的电子束检验。本文中所描述的实施例可如此参考中所描述地进一步配置。
在图3中图解说明典型EUV光掩模堆叠。掩模衬底(未展示)覆盖有40对钼(Mo)/硅(Si)多层(ML)薄膜,所述钼(Mo)/硅(Si)多层(ML)薄膜封盖有相对薄的钌(Ru)层,在图3中共同展示为薄膜300。氮化钽硼(TaBN)302与氧化钽硼(TaBO)304的双层膜充当吸收体,所述吸收体选择性地经蚀刻以形成掩模图案。可由DUV检验工具完成EUV掩模检验以撷取缺陷部位。当以相对高敏感度模式使用DUV检验工具时,由于EUV掩模缺陷在DUV检验工具中所产生的相对低信噪比(S/N或SNR),因此缺陷部位的数目可为成千上万的。
计算机子系统经配置以用于基于针对所检测缺陷产生的带电粒子束图像来处理所检测缺陷。如本文中所使用的所述术语“处理”被定义为确定所检测到的缺陷的额外信息,所述额外信息可用于做出如何适当地处理所检测缺陷(例如,所检测缺陷应被修复、所检测缺陷是滋扰且可被忽略、所检测缺陷无需被修复但应针对所检测缺陷对利用光掩模形成的晶片图案的影响而监视利用光掩模印刷的晶片等)的最终决策。举例来说,本文中所描述的掩模的次级带电粒子束(电子束或离子)成像提供比具有相对高的加速电压的检验高的分辨率,从而允许对掩模上的所检测缺陷进行更好的再检测。因此,带电粒子束图像可用于比所检测缺陷的检验图像更准确地(以较大分辨率)确定所检测缺陷的信息,借此使得能够从带电粒子束图像确定额外信息,所述额外信息可用于更有效地做出关于(处理)所检测缺陷的决策。多束及多行电子束成像的最新进展还允许大量SEM数据收集。以此方式,在完成检验之后,可在从检验输出的先前步骤确定的每一所检测缺陷位置(或所检测缺陷位置的选定子集)处在掩模再检测、CD-SEM或电子束检验工具上撷取测试SEM图像(或可使用本文中所描述的离子束工具中的一者来撷取离子束图像)。接着,可依据本文中进一步所描述的以下方面中的一或多者来处理每一测试带电粒子束图像—缺陷隔离、分类及可印刷性。
在一个实施例中,一或多个计算机子系统经配置以用于使用热阈值来检测缺陷,此可如本文中进一步所描述地执行。以此方式,本文中所描述的实施例可使用热检验运行来提供基本上高敏感度EUV光掩模缺陷检验,后续接着使用次级带电粒子束图像来对基本上较大数目个所检测缺陷进行后续分类及晶片可印刷性模拟。由于带电粒子束图像提供比检验高的所检测缺陷的分辨率,因此带电粒子束图像针对所检测缺陷提供比检验图像或信号准确的信息(例如,较准确的位置、较准确的尺寸及例如纹理、形状等其它特性)。
在另一实施例中,处理所检测缺陷包含确定所检测缺陷是真实缺陷还是虚假缺陷。举例来说,每一带电粒子束图像可经再检测以评估所检测缺陷是否为真实的。确定所检测缺陷是否为真实的可包含确定所检测缺陷的一或多个特性并对所述一或多个特性与预定准则进行比较,所述预定准则将真实缺陷与虚假缺陷分离。举例来说,所检测缺陷的带电粒子束图像可由计算机子系统处理以确定所检测缺陷的尺寸。接着可通过计算机子系统而对所确定尺寸与阈值进行比较,所述阈值基于大小而将真实缺陷与虚假缺陷分离。可以类似方式使用从带电粒子束图像确定的所检测缺陷的其它特性以将真实缺陷与虚假缺陷分离。
由于计算机子系统可经配置以用于通过将热阈值应用于检验子系统的输出来检测光掩模上的缺陷,因此大多数所检测缺陷可包含“虚假”或“滋扰”缺陷。如本文中所使用的所述术语“虚假缺陷”通常定义为在光掩模本身上所检测到但实际上并非光掩模上的实际缺陷的缺陷。而是,“虚假缺陷”可由于光掩模上的无缺陷噪声源(例如,线边缘粗糙度(LER)、经图案化特征中相对小的临界尺寸(CD)变化、厚度变化等)及/或由于检验子系统自身或其用于检验的配置中的边缘化而被检测到。
因此,一般来说,掩模检验的目标并非检测光掩模上的虚假缺陷。然而,在本文中所描述的实施例中,计算机子系统可运行热扫描(即,如下扫描:其中随着跨越光掩模扫描到能量而针对所述光掩模产生输出并且将热阈值应用于所述输出以检测缺陷,借此使所述扫描变为“热扫描”)以确保通过检验而撷取所有真实缺陷(甚至具有相对低SNR的真实缺陷)。换句话说,由于其为热扫描,因此将检测到显著数目个虚假缺陷且还将检测到至少一些真实缺陷或所关注缺陷(DOI)(这是因为所述缺陷也将被热扫描检测到)。不管通过检验检测到的真实缺陷及虚假缺陷的数目如何,均可使用带电粒子束图像来将真实缺陷与虚假缺陷分离。不同于虚假缺陷,本文中所使用的所述术语“真实”缺陷可通常定义为通过检验检测到并且通过带电粒子束图像处理来确认为实际缺陷及/或DOI的缺陷。因此,可通过由光掩模用户设定的质量规范来控制最终被认定为真实缺陷或虚假缺陷的条件。
在一些实施例中,处理所检测缺陷包含确定所检测缺陷是真实缺陷还是虚假缺陷(此可如本文中进一步所描述地执行),及在光刻工艺中如果晶片利用光掩模来印刷,那么确定真实缺陷在晶片上的可印刷性。换句话说,确定可印刷性会模拟将使用光掩模来执行的晶片印刷(光刻)工艺,借此预测真实缺陷将如何影响印刷于晶片上的图案。举例来说,针对所检测缺陷产生的每一带电粒子束图像可经再检测以评估所检测缺陷是否为真实的,并且如果真实,那么评估所检测缺陷是否为关键的且是否在晶片印刷或滋扰时导致相对大的错误及是否在晶片印刷时导致几乎不可观察到的错误。替代手动并使用人为判断执行此,本文中所描述的实施例提供将EUV光刻工艺建模的自动且基本上准确的方式,借此实现对以所检测缺陷带电粒子束图像开始的掩模吸收体缺陷的可印刷性的基本上准确的预测。
在一个此实施例中,确定可印刷性包含将针对真实缺陷产生的带电粒子束图像输入到光刻工艺的模型中,借此产生经模拟晶片图像,所述经模拟晶片图像图解说明真实缺陷如何影响在光刻工艺中印刷于晶片上的一或多个图案。确定可印刷性会通过晶片曝光条件模拟来预测缺陷印刷影响。此模拟可包含掩模近场模拟,其可使用克希何夫(Kirchoff)近似、严格有限差分时域(FDTD)解算器、严格耦合波分析(RCWA)或紧凑近似模型,例如缺陷可印刷性模拟器(DPS)掩模模型(其实例描述于克利福德(Clifford)等人在2010年9月24日出版的光掩模技术2010中的SPIE论文集7823卷、78230V第10页的“使用新模型补偿极紫外光刻掩模中的经埋入缺陷的补偿方法(Compensation methods using a new model forburied defects in extreme ultraviolet lithography masks)”(其以引用方式并入,如同在本文中完整陈述一样)中)、光学器件以及抗曝光及显影模型,其可包含此项技术中已知的任何适合的此类模型。如果晶片工艺尚未得到确定或掩模近场模型未得到良好确定,那么可直接在掩模二进制布局上检查可印刷性而无需晶片曝光条件模拟以进行粗略估计。可如上文所参考论文中所描述地配置本文中所描述的实施例。还可如于2015年4月7日颁予马雷拉(Marella)等人的美国专利第9,002,497号及于2016年10月25日颁予塞兹吉纳(Sezginer)等人的美国专利第9,478,019号中所描述地执行对可印刷性的确定,所述专利以引用方式并入,如同在本文中完整陈述一样。
在另一实施例中,处理所检测缺陷包含:如果在光刻工艺中利用光掩模印刷晶片,那么确定所检测缺陷在所述晶片上的可印刷性,且确定可印刷性包含将光掩模的设计信息输入到带电粒子束子系统的模型中,借此产生无缺陷版本的光掩模的经模拟带电粒子束参考图像;针对对于所检测缺陷而产生的带电粒子束图像且针对经模拟带电粒子束参考图像而模拟空中图像;及基于经模拟空中图像而确定所检测缺陷如何影响在光刻工艺中印刷于晶片上的一或多个图案。
在上文实施例中,可以裸片对数据库类型方法从与所检测缺陷的位置对应的后OPC设计数据库剪辑来再现无缺陷掩模。接着,基于带电粒子束的处理工作流程涉及图6中所展示的三个主要阶段。
在第一阶段中,首先可将来自带电粒子束工具的原始图像(在带电粒子束成像期间产生的光掩模的图像)去噪及精细化以改进图像的质量。在图6中所展示的实例中,可将原始带电粒子束图像600去噪及精细化以产生经去噪测试图像602。可以此项技术中已知的任何适合方式来执行原始带电粒子束图像的去噪及精细化。接着,在与所检测缺陷相同的位置处对后OPC设计数据库进行剪辑(即,提取),且应用带电粒子束模型以再现无缺陷参考带电粒子束图像。带电粒子束模型可包含此项技术中已知的任何适合带电粒子束模型。在图6中所展示的实例中,在设计数据中使用查找功能(可使用测试带电粒子束图像来执行查找)来产生设计数据剪辑604(例如,GDS数据部分),且将带电粒子束模型应用于设计数据剪辑以产生无缺陷参考带电粒子束图像606。可使用实际无缺陷带电粒子束图像及其对应设计数据剪辑来校准所述再现。经再现无缺陷带电粒子束图像可为灰阶图像。将经去噪测试图像与经再现参考带电粒子束图像对准且接着相减以产生灰阶差分图像,所述灰阶差分图像接着用于通过局部灰度级变化来将缺陷部位隔离。可以此项技术中已知的任何适合方式来执行对准及相减。如图6中所展示,可从经去噪测试图像602减去参考图像606来产生差分灰阶图像608。可如本文中进一步所描述地使用差分图像608来执行缺陷隔离以产生缺陷位置图像610。
在第二阶段中,可从经去噪带电粒子束测试图像提取二进制轮廓以产生含有所检测缺陷的测试二进制图像,且还可从经再现带电粒子束图像提取二进制轮廓以产生参考二进制图像。可以此项技术中已知的任何适合方式将轮廓提取应用于测试图像及经再现带电粒子束图像两者。如图6中所展示,可从经去噪测试图像602提取二进制轮廓,借此产生测试二进制图像612,且可从无缺陷参考带电粒子束图像606提取二进制轮廓,借此产生参考二进制图像614。可接着通过从测试二进制图像减去参考二进制图像来产生二进制差分图像。举例来说,如图6中所展示,可从测试二进制图像612减去参考二进制图像614,借此产生二进制差分图像616。
因此所产生的灰度及二进制差分图像可接着用于从在第一阶段中隔离的缺陷来计算缺陷度量,并用于有效地确定缺陷的类型,例如,线边缘粗糙度(LER)、针孔(PinHole)、针点(PinDot)、侵入部(Intrusion)、挤出部(Extrusion)等。举例来说,如图6中所展示,差分图像608及二进制差分图像616可用于计算缺陷度量618。缺陷度量可以例如缺陷信息表等数据结构来组织且可包含例如以下各项等信息:二进制差分图像中的缺陷面积、二进制差分图像中x及y方向上的大小、灰阶差分图像中的缺陷面积及灰阶差分图像中x及y方向上的大小。缺陷度量可与界定不同缺陷类型以产生缺陷分类622的方针620一起使用或可将缺陷度量输入到所述方针。否则,可以此项技术中已知的任何适合方式从灰阶差分图像及二进制差分图像确定缺陷度量。还可如本文中进一步所描述地执行缺陷分类。
在第三阶段中,适合的掩模模型可应用于具有相关联扫描器曝光条件的测试及参考二进制图像两者以产生测试及EUV空中图像。掩模模型可应用于图像,如本文中进一步所描述。以此方式,计算机子系统可在扫描器光学条件下运行可印刷性模拟。举例来说,如图6中所展示,可将测试二进制图像612输入到EUV光刻模拟以产生测试空中图像624,且可将参考二进制图像614输入到EUV光刻模拟以产生参考空中图像626。空中图像分析器(AIA)可接着经运行以计算缺陷位于其中的带电粒子束子系统的视野(FOV)内的所有特征的可印刷性。举例来说,如图6中所展示,可将测试空中图像624及参考空中图像626输入到可产生经模拟图像628的AIA,从而展示缺陷会如何影响在光刻工艺期间投射于晶片上的空中图像中的掩模的特征。可用于本文中所描述的实施例中的AIA的实例描述于韦尔杜因(Verduijn)等人在2017年3月24日出版的SPIE论文集10143卷极紫外(EUV)光刻VIII、101430K第13页的“经编程掩模空白缺陷的可印刷性及光化AIMS再检测(Printability and actinic AIMSreview of programmed mask blank defects)”(其以引用方式并入,如同在本文中完整陈述一样)中。可如本公开案中所描述地进一步配置本文中所描述的实施例。
在一些实施例中,带电粒子束子系统经配置以用于在所检测缺陷的所有位置处自动产生带电粒子束图像,处理所检测缺陷包含确定所检测缺陷是真实缺陷还是虚假缺陷,且一或多个计算机子系统经配置以用于自动处理被确定为真实缺陷的所有所检测缺陷。举例来说,带电粒子束子系统可经配置以针对通过检验来报告的所检测缺陷中的每一者而自动产生带电粒子束图像。特定来说,用于产生带电粒子束图像的处方(即,一组指令)可指示带电粒子束子系统在由计算机子系统确定的所检测缺陷的位置中的每一者处产生带电粒子束图像,借此使得能够针对所有所检测缺陷而自动产生带电粒子束图像。另外,计算机子系统可经配置以自动处理针对所检测缺陷产生的带电粒子束图像中的每一者,从而自动处理所检测缺陷,此可包含可能结合本文中所描述的任何其它处理来确定所检测缺陷是真实缺陷还是虚假缺陷。一旦计算机子系统确定所检测缺陷中哪些是真实的或虚假的,便可仅针对真实缺陷执行任何进一步处理,借此使得处理更高效。
在另一实施例中,处理所检测缺陷包含确定所检测缺陷相对于带电粒子束子系统的FOV中的经图案化特征的隔离,所述FOV以所检测缺陷的位置为中心(或所检测缺陷的位置定位于其中)。缺陷隔离识别带电粒子束图像的FOV内的所检测缺陷位置。举例来说,可使用局部灰度级变化及从在缺陷位置处获取的带电粒子束图像产生的差分图像来确定所检测缺陷位置。在一个此实例中,可依据差分图像内的位置而确定局部灰度级变化,且可将局部灰度级变化的最大值确定为缺陷位置。然而,可以此项技术中已知的任何其它适合方式来执行对带电粒子束图像的FOV内的缺陷位置的确定。
确定缺陷隔离还可包含确定所检测缺陷最接近带电粒子束图像的FOV中光掩模的经图案化特征中的哪些经图案化特征及所检测缺陷与那些经图案化特征的接近程度。举例来说,一旦已如上文所描述地确定带电粒子束图像的FOV内的所检测缺陷的位置,所述缺陷位置信息便可用于使用所检测缺陷的测试图像相对于光掩模上的经图案化特征而识别所检测缺陷的空间信息。确定缺陷隔离可包含识别所检测缺陷与经图案化特征中的哪一经图案化特征重叠,此可为如下情形:缺陷是位于一或多个经图案化特征内还是至少部分地与一或多个经图案化特征重叠,或经图案化特征中的哪一经图案化特征是有缺陷的。缺陷隔离还可包含确定所检测缺陷相对于FOV中的经图案化特征的位置(不管所检测缺陷是与经图案化特征自身重叠还是在经图案化特征内)。举例来说,如果所检测缺陷与经图案化特征(至少部分地)空间上重合,那么确定缺陷隔离可包含确定所检测缺陷相对于经图案化特征的周界或外边界的位置。如果所检测缺陷不与带电粒子束子系统的FOV中的经图案化特征空间上重合,那么确定缺陷隔离可包含识别缺陷最接近的经图案化特征且接着确定缺陷与经图案化特征的接近程度。缺陷隔离还可包含确定所检测缺陷与经图案化特征的特定部分(例如,拐角、侧、端等)的接近程度(在一些长度尺寸上)。所检测缺陷与最接近的经图案化特征之间的空间可表达为单个值、一定范围的值、平均值、函数或以其它适合方式。此外,用户可尤其关注相比于光掩模的其它经图案化特征,所检测缺陷与光掩模的经图案化特征的子集的接近程度。举例来说,缺陷隔离步骤的一或多个参数可经设定使得如果一种类型的经图案化特征是在带电粒子束子系统的FOV内,那么确定相对于所检测缺陷的最接近经图案化特征的所检测缺陷位置及/或确定相对于任何一种类型的经图案化特征的所检测缺陷位置。可如本文中所描述地以其它方式确定所检测缺陷与可并非最接近于所述缺陷的经图案化特征的相对位置。
在一个此实施例中,计算机子系统经配置以用于将所检测缺陷的经确定隔离发送到光掩模修复工具,且所述光掩模修复工具将所检测缺陷的经确定隔离用于在光掩模上执行的修复工艺中。以此方式,当需要固定掩模时,可在修复工具中使用所述缺陷隔离信息。在一个此实例中,如图1中所展示,计算机子系统118(或系统的任何其它计算机子系统)可经配置以将所检测缺陷的经确定隔离发送到光掩模修复工具140,所述光掩模修复工具可为或可不为系统的一部分。光掩模修复工具可具有此项技术中已知的任何适合配置。此外,光掩模修复工具可为市售光掩模修复工具,例如从纽约索恩伍德(Thornwood)的卡尔·蔡司SBE有限责任公司(Carl Zeiss SBE,LLC)市售的MeRiT neXT系统。光掩模修复工具可使用经确定隔离来确定修复工艺的一或多个参数(例如修复位置及面积),其目标为修复所检测缺陷而无需变更接近所检测缺陷的任何正确形成的特征。
在另一实施例中,处理所检测缺陷包含通过识别所检测缺陷的类型基于针对所检测缺陷产生的带电粒子束图像来对所检测缺陷进行分类。分类界定缺陷的类型。图4展示无缺陷掩模图案400的一个实例,其中色彩区域指示吸收体且非色彩区域指示ML。图5展示不同类型的缺陷的一些实例。如果不存在显著缺陷且仅局部线边缘粗糙度(LER)促成所检测检验信号或图像,那么所检测缺陷称为LER,如通过缺陷实例500所展示。如果缺陷(如突出部或侵入部)连接到吸收体,那么所检测缺陷称为硬缺陷,如通过缺陷实例502所展示。如果吸收体具有针孔且底部ML通过所述针孔而曝光,那么所检测缺陷为针孔缺陷,如通过缺陷实例504所展示。如果颗粒的部分或整个颗粒位于ML上,那么所述颗粒或颗粒部分对晶片曝光具有可印刷性影响且被分类为ML上颗粒,如通过缺陷实例506所展示。如果颗粒完全位于吸收体上,那么所述颗粒对晶片曝光不具有任何可印刷性影响且会进入不同分级(bin),所述颗粒为吸收体上颗粒,如由缺陷实例508所展示。
可以任何适合方式执行基于针对所检测缺陷产生的带电粒子束图像而对所检测缺陷进行分类。举例来说,计算机子系统可基于带电粒子束图像而确定所检测缺陷的一或多个特性。那些特性可包含(举例来说)大小、形状、定向、位置、相对于任何附近经图案化特征的位置、纹理等等。可由计算机子系统将任何所确定特性及可能的带电粒子束图像输入到缺陷分类器。缺陷分类器可经配置以用于基于所检测缺陷的所确定特性及/或带电粒子束图像而确定所检测缺陷的分类(或类型、分级等)。缺陷分类器可为此项技术中已知的任何适合缺陷分类方法或算法。此缺陷分类器的一个实例是相对简单的决策树,其中通过将不同切割线应用于将不同类型的缺陷彼此分离的缺陷特性来将不同类型的缺陷分离。适合缺陷分类器的其它实例为机器学习类型缺陷分类器,其一些实例描述于由张(Zhang)等人在2018年4月19日公开的美国专利申请公开案第2018/0107928号及由何(He)等人在2019年3月7日公开的美国专利申请公开案第2019/0073568号中,所述公开案以引用方式并入,如同在本文中完整陈述一样。可如这些公开案中所描述地进一步配置本文中所描述的实施例。
在另一实施例中,系统包含原子力显微镜(AFM)子系统,所述AFM子系统经配置以用于在所检测缺陷的位置处对光掩模进行扫描,借此产生所检测缺陷的位置的高度信息。举例来说,除吸收体相关的缺陷之外,本文中所描述的实施例还可用于ML中的经埋入缺陷,其中所述缺陷主要位于ML堆叠的底部上但所述形态可从底部转印到表面,例如,如图7中所展示。图7展示EUV光掩模上的典型ML经埋入缺陷的实例。图式700展示凸起类型缺陷,且图式702展示凹陷类型缺陷。如图式700及702中所展示,EUV光掩模包含ML堆叠704及经图案化吸收体堆叠706。在一些实例中,可将ML堆叠的底部上的ML凸起缺陷708转印到ML堆叠的最上部表面作为表面上的ML凸起缺陷710。此外,可将ML堆叠的底部上的ML凹陷缺陷712转印到ML堆叠的最上部表面作为表面上的ML凹陷缺陷714。缺陷的性质来自ML的底部,且所述缺陷可将形态向上转印到ML的最上部表面。由于此类缺陷可在投射到晶片上的光中导致相差,因此所述缺陷可在晶片上诱发可印刷性缺陷。AFM工具可因此用于对EUV光掩模的表面进行扫描以获得高度信息作为可用于处理缺陷的图像(即,依据跨越EUV光掩模的x及y位置的高度信息)。
如图1中所展示,系统可包含耦合到计算机子系统138的AFM子系统136。计算机子系统138可如本文中进一步所描述地耦合到系统的其它计算机子系统,使得可在计算机子系统之间发射信息、数据等。AFM子系统可具有此项技术中已知的任何适合配置。举例来说,可用作本文中所描述的AFM子系统的适合AFM工具是从马萨诸塞州比勒利卡(Billerica)的布鲁克公司(Bruker Corp.)市售(例如InSight系列产品),且是在例如从蔡司市售的MeRiTneXT系统中的快速探测显微镜(RPM)的光掩模修复工具中。AFM子系统还可并非是系统的一部分,但可以某种方式(例如,通过系统的计算机子系统)耦合到系统。
在一些此类实施例中,计算机子系统可经配置以将缺陷检测的结果发送到AFM子系统(例如,作为检验结果档案),且AFM子系统可自动产生所有所检测缺陷的高度信息。在另一实施例中,计算机子系统可如本文中进一步所描述地识别所检测缺陷中的一或多者并选择所识别一或多个所检测缺陷以供AFM子系统扫描。如果选择少于所有所检测缺陷以用于AFM扫描,那么仅可将所选择缺陷的缺陷检测结果发送到AFM子系统或耦合到所述AFM子系统的计算机子系统。不管选择或发送多少所检测缺陷来用于AFM扫描,AFM子系统均可经配置以用于对所检测缺陷进行自动扫描,且可如本文中进一步所描述地自动进行扫描。用于所检测缺陷的AFM扫描的参数可全部为相同的(一些预定最佳已知AFM参数)或者可在AFM扫描之前或期间基于针对所检测缺陷产生的任何信息而动态地进行选择。
在一个此实施例中,计算机子系统经配置以用于识别未显现于在所检测缺陷中的一或多者的位置处产生的带电粒子束图像中的所检测缺陷中的一或多者,且AFM子系统经配置以用于仅在所检测缺陷中的所识别一或多者的位置处对光掩模进行自动扫描。举例来说,如果存在通过光掩模检验而检测到的显著缺陷信号,但在带电粒子束图像中未观察到缺陷(此可尤其是当缺陷在光掩模的非吸收体覆盖部分上被检测到且因此并非吸收体相关缺陷时的情形),那么AFM子系统可用于对非经重新检测缺陷的位置处的表面进行扫描以获取高度信息作为可用于处理所检测缺陷的图像。特定来说,如果由检验报告的缺陷位置通过如本文中所描述的带电粒子束子系统来进行扫描且在针对所述缺陷位置产生的带电粒子束图像中未发现缺陷(此可通过对带电粒子束图像执行任何适合缺陷位置方法来确定),那么所述缺陷位置可经选择以用于AFM子系统扫描。可如本文中关于检验所描述地或以此项技术中已知的任何其它适合方式来执行使用带电粒子束图像执行的缺陷重新检测。由于AFM子系统可产生所检测缺陷的高度信息,因此由AFM子系统产生的信息可比可用检验图像(或从其确定的信息)及其中未重新检测到缺陷的可用带电粒子束图像更适合于缺陷处理。
在另一此实施例中,计算机子系统经配置以用于在用空白衬底制作光掩模的前获取在空白衬底上检测到的额外缺陷的信息,且AFM子系统经配置以用于在额外缺陷的位置处对光掩模进行扫描,借此产生额外缺陷的位置的高度信息。举例来说,在形成光掩模图案的前,可对空白衬底(例如,由图3中所展示的ML堆叠而并非经图案化吸收体组成的衬底)进行检验。如果空白衬底通过检验(例如,被确定为是足够无缺陷的),那么可在空白衬底上形成经图案化吸收体层,借此形成光掩模。接着可如本文中所描述地对彼光掩模进行检验。如果光掩模的光化空白检验在吸收体图案化的前注意到ML缺陷的位置,那么可使用AFM工具来对表面进行扫描以获得高度信息,所述高度信息接着用作用于处理所检测缺陷(如本文中所描述地执行)的图像。
计算机子系统可以任何适合方式(例如,从执行空白衬底检验的检验系统(未展示)或从存储媒体(例如,图1中所展示的存储媒体120),其中存储有空白衬底检验结果)获取通过空白衬底检验而检测到的额外缺陷的信息。额外缺陷的信息可包含由空白衬底检验报告的额外缺陷的信息中的任何及/或所有信息,其将包含空白衬底缺陷的信息,所述信息可由本文中所描述的计算机子系统使用来确定光掩模上的在其处将执行AFM扫描以产生那些空白衬底缺陷的高度信息的位置。AFM子系统可如本文中进一步所描述地在额外缺陷的位置处对光掩模进行扫描。
在一些此类实施例中,计算机子系统经配置以用于基于针对所检测缺陷产生的高度信息来处理所检测缺陷。可以任何适合方式来执行基于高度信息而对所检测缺陷的处理。举例来说,可如本文中进一步所描述地将高度信息输入到缺陷分类器,且所述缺陷分类器可基于高度信息来确定缺陷类型。在一个此实例中,如果高度信息展示所检测缺陷在光掩模的ML堆叠的上部表面上方突出,那么缺陷分类器可确定所述缺陷为ML凸起缺陷,例如图7中所展示的缺陷。相反,如果高度信息指示所检测缺陷侵入到光掩模的ML堆叠的表面中,那么缺陷处理可确定所述缺陷为ML凹陷缺陷,例如图7中所展示的缺陷。基于高度信息来处理所检测缺陷还可或替代地包含如使用带电粒子束图像来执行的本文中所描述的其它处理中的任一者。
在一个此实施例中,基于高度信息来处理所检测缺陷包含:如果在光刻工艺中利用光掩模印刷晶片,那么确定所检测缺陷在所述晶片上的可印刷性,且确定可印刷性包含将用于光掩模的设计信息输入到AFM子系统的模型中,借此产生用于无缺陷版本的光掩模的经模拟参考高度信息;针对对于所检测缺陷而产生的高度信息及针对经模拟参考高度信息而模拟空中图像;及基于经模拟空中图像而确定所检测缺陷如何影响在光刻工艺中印刷于晶片上的一或多个图案。
在此实施例中,可以裸片对数据库类型方法从对应后OPC设计数据库剪辑来再现无缺陷掩模。接着,基于AFM的工作流程涉及三个主要阶段,如图8中所展示。在第一阶段中,可首先将来自AFM工具的原始图像去噪及精细化以改进图像的质量。举例来说,如图8中所展示,可将测试AFM图像800去噪、校正倾斜及精细化以产生经精细化测试图像802。可以此项技术中已知的任何适合方式来执行原始AFM图像的去噪及精细化。另外,对与缺陷相同的位置处的后OPC设计数据库的部分进行剪辑(提取),且可应用AFM模型以再现无缺陷参考AFM图像。举例来说,经精细化测试图像可与查找功能一起使用以搜索用于光掩模的设计数据库从而找出图8中所展示的对应设计剪辑作为设计剪辑804。AFM模型可接着应用于设计剪辑以产生图8中所展示的无缺陷参考AFM图像作为参考AFM图像806。可使用已知的无缺陷AFM测试图像及其对应设计剪辑来对AFM模型进行校准。AFM模型可包含此项技术中已知的任何适合AFM模型。可将经去噪测试图像与经再现参考AFM图像对准、校正倾斜及相减以产生灰阶差分图像,所述灰阶差分图像接着用于通过局部高度信息来将缺陷部位隔离。可以此项技术中已知的任何适合方式来执行测试图像与参考AFM图像的对准、倾斜校正及相减。可以此项技术中已知的任何适合方式通过AFM差分图像中的局部高度信息来将缺陷部位隔离。
在第二阶段中,可从经去噪AFM测试图像提取二进制轮廓及ML形态以产生测试二进制图像及含有所检测缺陷的测试ML形态两者,且还可从经再现AFM图像提取二进制轮廓及ML形态以产生参考二进制掩模及平坦ML形态。举例来说,如图8中所展示,经去噪AFM测试图像802可经处理以提取吸收体轮廓,借此产生二进制轮廓图像808。经去噪AFM测试图像还可经处理以用于提取ML形态,借此产生测试ML形态图像810。可以此项技术中已知的任何适合方式来提取二进制轮廓及ML形态。测试ML形态图像可用于计算来自在第一步骤中隔离的缺陷的缺陷度量,且缺陷度量可包含(举例来说)缺陷面积、大小、高度、位于ML上的缺陷百分率等。举例来说,如图8中所展示,测试ML形态图像810可用于确定可存储于缺陷信息表或任何其它适合数据结构中的缺陷度量812。被确定及存储的缺陷信息可包含可从经提取ML形态图像(例如ML高度)确定的任何适合信息。可以此项技术中已知的任何适合方式来自测试ML形态图像提取缺陷信息。此信息可接着用于确定准确的缺陷类型,举例来说,凹陷、凸起等。举例来说,如图8中所展示,可将缺陷度量812输入到缺陷分类814,所述缺陷分类依据缺陷度量中的信息确定缺陷类型。可如本文中进一步所描述地(例如,使用缺陷分类器)或以此项技术中已知的任何其它适合方式来执行缺陷分类814。
在第三阶段中,DPS掩模模型应用于具有相关联扫描器曝光条件的测试及参考AFM图像两者以产生测试及参考EUV空中图像。可如本文中进一步所描述地执行此步骤。另外,此模拟可包含严格FDTD解算器及RCWA。举例来说,如图8中所展示,可将二进制轮廓图像808及测试ML形态图像810输入到EUV模拟模型以产生测试空中图像816。另外,可将参考AFM图像806输入到EUV模拟模型以产生参考空中图像818。此处,假定保形的ML沉积,其中由AFM测量的表面形态贯穿整个ML堆叠(例如,40对ML堆叠)一直向下到底部均为相同的。可从测试空中图像减去参考空中图像来产生差分空中图像820,所述差分空中图像可接着用于可印刷性分析。接着,运行AIA以计算FOV内的所有特征的可印刷性。
在另一此实施例中,计算机子系统经配置以用于基于针对所检测缺陷产生的高度信息来自动处理所检测缺陷。举例来说,可通过计算机子系统自动地执行本文中所描述的处理中的任何或所有处理。换句话说,一旦AFM子系统已针对所检测缺陷产生高度信息,那么计算机子系统便可使用高度信息及由系统产生或以其它方式对于系统可用的所检测缺陷的任何其它信息来自动地执行缺陷处理。如本文中进一步所描述,实现自动处理(其由本文中所描述的实施例提供)为有利的。
在另一实施例中,一或多个计算机子系统经配置以用于结合从由检验子系统检测到的来自光掩模的能量产生的图像基于针对所检测缺陷产生的带电粒子束图像来处理所检测缺陷。可如本文中进一步所描述地产生图像。在一个此实施例中,通过检验子系统引导到光掩模的能量包含具有193nm的波长的光。举例来说,可利用193nm光来执行对光掩模的检验,借此使得光掩模的193nm图像可容易地用于缺陷处理。特定来说,计算机子系统可存储检验子系统的输出以用于光掩模上检测到的任何缺陷且接着可使用所存储输出以结合带电粒子图像来处理缺陷。结合带电粒子图像来使用此类图像对于在带电粒子束图像中不具有任何信号的相对浅的多层缺陷可为尤其有利的。举例来说,如本文中进一步所描述,如果缺陷在光掩模的最上部表面下方,那么所述缺陷可能不会在带电粒子束图像中产生信号。如果高分辨率AFM对于一些应用为不够快速或稳定的,那么连同带电粒子束图像一起对光学193nm图像进行再检测以借此处理缺陷也可为尤其有利的。计算机子系统还可结合可用于光掩模上检测到的缺陷的任何其它检验图像(即,并非仅仅193nm图像)及/或其它光学图像来使用带电粒子束图像。可如本文中进一步所描述地以其它方式执行结合其它图像而使用带电粒子束来执行的缺陷处理。
计算机子系统还可经配置以用于使用通过本文中所描述的系统产生或获取的图像与信息的其它组合的缺陷处理。举例来说,可结合缺陷的光学图像来使用使用AFM子系统确定的缺陷的高度信息。在一个此实施例中,一或多个计算机子系统经配置以用于结合从由检验子系统检测到的来自光掩模的能量产生的图像基于针对所检测缺陷产生的高度信息来处理所检测缺陷。所述图像可包含本文中所描述的图像中的任一者。在一个此实例中,所述图像可为193nm光学图像。举例来说,在一个实施例中,由检验子系统引导到光掩模的能量包含具有193nm的波长的光。然而,与用于缺陷处理的高度信息一起使用的光学图像还可包含上文所描述的任何其它光学图像。可如本文中进一步所描述地以其它方式执行使用光学图像及高度信息来执行的缺陷处理。
可将上文所描述的系统中的每一者的实施例中的每一者一起组合到一单个实施例中。
本文中所描述的实施例具有优于用于EUV光掩模检验的其它方法及系统的若干个优点。举例来说,本文中所描述的实施例的一个优点是其能够通过对次级图像(例如,带电粒子束图像及/或AFM图像)与设计数据库进行比较来将准确缺陷位置隔离。另一优点是所述实施例使得能够通过基本上高分辨率带电粒子束/AFM图像来进行缺陷类型分类。额外优点是可在无需依赖于任何操作员的经验或光化成像工具的情况下对可印刷性进行预测。此外,由于整个工作流程可通过软件来完全自动化并通过计算服务器来并行处理,因此整个处理的吞吐量可比手动处理快得多。
就发明人所知,本文中所描述的实施例是由以下方式产生的:首先尝试实施基本上高敏感度EUV掩模检验后续接着经由完全自动化的带电粒子束/AFM图像分析的处理能力的融合,这有利地扩展了EUV光掩模检验能力并改进了缺陷处理准确度及经图案化EUV掩模检验的吞吐量。不同于本文中所描述的实施例,光化成像工具(例如从蔡司市售的AIMS工具)可用于EUV光掩模缺陷处理,但其为过慢的而无法通过生产线中的成百上千个缺陷。本文中所描述的实施例的另一替代方案可为利用具有低数值孔径(NA)检验(LNI)的光化检验工具来进行缺陷处理,但此检验工具当前是不可用的。
本文中所描述的实施例还在若干方面不同于晶片检验方法及系统。举例来说,对于光掩模,一般来说,出于数个原因而期望在光掩模的光化波长下执行检验,所述原因包含可利用经适当配置的检验工具来对所检测缺陷的可印刷性进行评估,且光化波长的使用确保可以足够敏感度在光掩模上检测到缺陷。相比来说,通常主要基于需要在晶片上检测的缺陷的最小大小来设计晶片检验方法及系统。然而,无需执行此晶片检验来确定任何缺陷在晶片上的可印刷性,这是因为缺陷已印刷于晶片上且晶片不用于印刷任何其它衬底。相比来说,即使通过高分辨率工具来对光掩模缺陷进行成像,仍可存在缺陷是否会印刷于晶片上的问题。为解决他的问题,光化再检测工具通过扫描器光学器件(其为低分辨率的)来撷取图像以模仿晶片影响。本文中所描述的实施例通过模拟来替换光化再检测工艺,这对于晶片检验及晶片缺陷分析并非必要的。以此方式,光掩模及利用光掩模印刷的晶片的光化波长可为相同的(即,用于将图案从光掩模转印到晶片借此导致晶片上的一或多种材料(例如,光致抗蚀剂)中的光化学反应的光波长),但晶片检验工艺并非基于所述光化波长或缺乏能够在所述光化波长下进行检验的晶片检验工具而设计。
因此,不同于晶片检验,本文中所描述的实施例已经设计以克服用于EUV光掩模的适合检验方法或系统的缺乏。本文中所描述的使得能够对EUV光掩模进行检验的实施例的特征包含可通过使用用于缺陷处理的带电粒子束图像(及任选地AFM图像)来高效地处理通过对EUV光掩模进行检验而检测到的巨大数目个缺陷。此特征使得能够在检验中使用热阈值,从而导致检测到较大数目个真实缺陷及虚假缺陷两者而不会显著影响检验工艺。因此,所述检验可检测到需要针对EUV光掩模检验进行检测的最小大小的缺陷,且可通过本文中所描述的处理来将所得所检测虚假缺陷与真实缺陷分离。此外,由于缺陷处理(及经执行以促进缺陷处理的任何图像产生)可为自动的,因此尤其与用于EUV光掩模检验的其它可用选项相比,本文中所描述的实施例将为高效、易于使用且准确的。
另一实施例涉及一种用于检验光掩模的方法。所述方法包含将能量引导到光掩模并从光掩模检测能量。如本文中所描述地配置所述光掩模。所述方法还包含基于所检测能量来检测光掩模上的缺陷及在所检测缺陷的位置处产生光掩模的带电粒子束图像。所述方法进一步包含基于针对所检测缺陷产生的带电粒子束图像来处理所检测缺陷。
可如本文中进一步所描述地执行所述方法的步骤中的每一者。所述方法还可包含可通过检验子系统、带电粒子束子系统、AFM子系统及/或本文中所描述的计算机子系统或系统(其可根据本文中所描述的实施例中的任一者配置)来执行的任何其它步骤。此外,可通过本文中所描述的系统实施例中的任一者来执行上文所描述的方法。
额外实施例涉及一种非暂时性计算机可读媒体,其存储可在计算机系统上执行以用于执行用于检测光掩模的计算机实施的方法的程序指令。在图9中展示一个此实施例。特定来说,如图9中所展示,非暂时性计算机可读媒体900包含可在计算机系统904上执行的程序指令902。计算机实施的方法可包含本文中所描述的任何方法的任何步骤。
实施例如本文中所描述的那些方法的方法的程序指令902可存储于计算机可读媒体900上。计算机可读媒体可为例如磁盘或光盘、磁带等存储媒体,或此项技术中已知的任何其它适合的非暂时性计算机可读媒体。
可以包含基于程序的技术、基于组件的技术及/或对象导向的技术以及其它技术的各种方式中的任一者来实施程序指令。举例来说,可视需要使用ActiveX控件、C++对象、JavaBeans、微软基础类别(“MFC”)、SSE(串流化SIMD扩展)或其它技术或方法来实施程序指令。
计算机系统904可根据本文中所描述的实施例中的任一者而配置。
鉴于此描述,所属领域的技术人员将明了本发明的各种方面的进一步修改及替代实施例。举例来说,提供用于处理在光掩模上所检测到的缺陷的方法及系统。因此,此描述应视为仅为说明性的,且出于教示所属领域的技术人员实施本发明的一般方式的目的。将理解,本文中所展示及描述的本发明的形式应视为目前优选的实施例。如所属领域的技术人员在受益于本发明的此描述之后将全部明了,元件及材料可替代本文中所图解说明及描述的那些元件及材料,部件及工艺可颠倒,且本发明的特定特征可独立地利用。可在不背离如所附权利要求书所描述的本发明的精神及范围的情况下对本文中所描述的元件做出改变。

Claims (29)

1.一种光掩模检验系统,其包括:
检验子系统,其经配置以用于将能量引导到光掩模且从所述光掩模检测能量,其中所述光掩模经配置以供在一或多个极紫外光波长处使用;
一或多个计算机子系统,其经配置以用于基于所述所检测能量而检测所述光掩模上的缺陷;及
带电粒子束子系统,其经配置以用于在由所述一或多个计算机子系统确定的所述所检测缺陷的位置处产生所述光掩模的带电粒子束图像,其中所述一或多个计算机子系统进一步经配置以用于基于针对所述所检测缺陷产生的所述带电粒子束图像而处理所述所检测缺陷。
2.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以用于使用热阈值来检测所述缺陷。
3.根据权利要求1所述的系统,其中由所述检验子系统引导到所述光掩模的所述能量包括具有193nm的波长的光。
4.根据权利要求1所述的系统,其中由所述检验子系统引导到所述光掩模的所述能量包括具有在从193nm到257nm的范围内的一或多个波长的光。
5.根据权利要求1所述的系统,其中由所述检验子系统引导到所述光掩模的所述能量包括具有13.5nm的波长的光。
6.根据权利要求1所述的系统,其中由所述检验子系统引导到所述光掩模的所述能量包括电子。
7.根据权利要求1所述的系统,其中由所述检验子系统引导到所述光掩模的所述能量包括离子。
8.根据权利要求1所述的系统,其中处理所述所检测缺陷包括确定所述所检测缺陷是真实缺陷还是虚假缺陷。
9.根据权利要求1所述的系统,其中处理所述所检测缺陷包括确定所述所检测缺陷是真实缺陷还是虚假缺陷,及如果在光刻工艺中利用所述光掩模印刷晶片,那么确定所述真实缺陷在所述晶片上的可印刷性。
10.根据权利要求9所述的系统,其中确定所述可印刷性包括将针对所述真实缺陷产生的所述带电粒子束图像输入到所述光刻工艺的模型中,借此产生模拟晶片图像,所述模拟晶片图像图解说明所述真实缺陷如何影响在所述光刻工艺中印刷于所述晶片上的一或多个图案。
11.根据权利要求1所述的系统,其中处理所述所检测缺陷包括:如果在光刻工艺中利用所述光掩模印刷晶片,那么确定所述所检测缺陷在所述晶片上的可印刷性,且其中确定所述可印刷性包括将用于所述光掩模的设计信息输入到所述带电粒子束子系统的模型中,借此产生无缺陷版本的所述光掩模的模拟带电粒子束参考图像;针对对于所述所检测缺陷产生的所述带电粒子束图像且针对所述模拟带电粒子束参考图像而模拟空中图像;及基于所述模拟空中图像而确定所述所检测缺陷如何影响在所述光刻工艺中印刷于所述晶片上的一或多个图案。
12.根据权利要求1所述的系统,其中所述带电粒子束子系统进一步经配置以用于在所述所检测缺陷的所有所述位置处自动产生所述带电粒子束图像,其中处理所述所检测缺陷包括确定所述所检测缺陷是真实缺陷还是虚假缺陷,且其中所述一或多个计算机子系统进一步经配置以用于自动处理被确定为所述真实缺陷的所有所述所检测缺陷。
13.根据权利要求1所述的系统,其中处理所述所检测缺陷包括确定所述所检测缺陷相对于所述带电粒子束子系统的以所述所检测缺陷的所述位置为中心的视野中的经图案化特征的隔离。
14.根据权利要求13所述的系统,其中所述一或多个计算机子系统进一步经配置以用于将所述所检测缺陷的所述所确定隔离发送到光掩模修复工具,且其中所述光掩模修复工具在对所述光掩模执行的修复工艺中使用所述所检测缺陷的所述所确定隔离。
15.根据权利要求1所述的系统,其中处理所述所检测缺陷包括通过识别所述所检测缺陷的类型基于针对所述所检测缺陷产生的所述带电粒子束图像而对所述所检测缺陷进行分类。
16.根据权利要求1所述的系统,其进一步包括原子力显微镜子系统,所述原子力显微镜子系统经配置以用于在所述所检测缺陷的所述位置处对所述光掩模进行扫描,借此产生所述所检测缺陷的所述位置的高度信息。
17.根据权利要求16所述的系统,其中所述一或多个计算机子系统进一步经配置以用于识别所述所检测缺陷中未显现于在所述所检测缺陷中的所述一或多者的所述位置处产生的所述带电粒子束图像中的一或多个所检测缺陷,且其中所述原子力显微镜子系统进一步经配置以用于仅在所述所检测缺陷中的所述经识别一或多者的所述位置处对所述光掩模进行自动扫描。
18.根据权利要求16所述的系统,其中所述一或多个计算机子系统进一步经配置以用于在制作具有空白衬底的所述光掩模之前获取在所述空白衬底上检测到的额外缺陷的信息,且其中所述原子力显微镜子系统进一步经配置以用于在所述额外缺陷的位置处对所述光掩模进行扫描,借此产生所述额外缺陷的所述位置的高度信息。
19.根据权利要求16所述的系统,其中所述一或多个计算机子系统进一步经配置以用于基于针对所述所检测缺陷产生的所述高度信息而处理所述所检测缺陷。
20.根据权利要求19所述的系统,其中基于所述高度信息而处理所述所检测缺陷包括:如果在光刻工艺中利用所述光掩模印刷晶片,那么确定所述所检测缺陷在所述晶片上的可印刷性,且其中确定所述可印刷性包括将用于所述光掩模的设计信息输入到所述原子力显微镜子系统的模型中,借此产生无缺陷版本的所述光掩模的模拟参考高度信息;针对对于所述所检测缺陷产生的所述高度信息且针对所述模拟参考高度信息而模拟空中图像;及基于所述模拟空中图像而确定所述所检测缺陷如何影响在所述光刻工艺中印刷于所述晶片上的一或多个图案。
21.根据权利要求19所述的系统,其中所述一或多个计算机子系统进一步经配置以用于结合从由所述检验子系统检测到的来自所述光掩模的所述能量产生的图像基于针对所述所检测缺陷产生的所述高度信息而处理所述所检测缺陷。
22.根据权利要求21所述的系统,其中由所述检验子系统引导到所述光掩模的所述能量包括具有193nm的波长的光。
23.根据权利要求16所述的系统,其中所述一或多个计算机子系统进一步经配置以用于基于针对所述所检测缺陷产生的所述高度信息而自动处理所述所检测缺陷。
24.根据权利要求1所述的系统,其中所述一或多个计算机子系统进一步经配置以用于结合从由所述检验子系统检测到的来自所述光掩模的所述能量产生的图像基于针对所述所检测缺陷产生的所述带电粒子束图像而处理所述所检测缺陷。
25.根据权利要求24所述的系统,其中由所述检验子系统引导到所述光掩模的所述能量包括具有193nm的波长的光。
26.根据权利要求1所述的系统,其中所述带电粒子束子系统进一步配置为电子束子系统。
27.根据权利要求1所述的系统,其中所述带电粒子束子系统进一步配置为离子束子系统。
28.一种非暂时性计算机可读媒体,其存储可在计算机系统上执行以用于执行用于检验光掩模的计算机实施的方法的程序指令,其中所述计算机实施的方法包括:
将能量引导到光掩模并从所述光掩模检测能量,其中所述光掩模经配置以供在一或多个极紫外光波长处使用;
基于所述所检测能量而检测所述光掩模上的缺陷;
在所述所检测缺陷的位置处产生所述光掩模的带电粒子束图像;及
基于针对所述所检测缺陷产生的所述带电粒子束图像而处理所述所检测缺陷。
29.一种用于检验光掩模的方法,其包括:
将能量引导到光掩模并从所述光掩模检测能量,其中所述光掩模经配置以供在一或多个极紫外光波长处使用;
基于所述所检测能量而检测所述光掩模上的缺陷;
在所述所检测缺陷的位置处产生所述光掩模的带电粒子束图像;及
基于针对所述所检测缺陷产生的所述带电粒子束图像而处理所述所检测缺陷。
CN201980060748.1A 2018-09-20 2019-09-19 处理在极紫外光掩模上所检测到的缺陷 Pending CN112714891A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201862733961P 2018-09-20 2018-09-20
US62/733,961 2018-09-20
US16/563,763 2019-09-06
US16/563,763 US10866197B2 (en) 2018-09-20 2019-09-06 Dispositioning defects detected on extreme ultraviolet photomasks
PCT/US2019/051805 WO2020061241A1 (en) 2018-09-20 2019-09-19 Dispositioning defects detected on extreme ultraviolet photomasks

Publications (1)

Publication Number Publication Date
CN112714891A true CN112714891A (zh) 2021-04-27

Family

ID=69884449

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201980060748.1A Pending CN112714891A (zh) 2018-09-20 2019-09-19 处理在极紫外光掩模上所检测到的缺陷

Country Status (7)

Country Link
US (1) US10866197B2 (zh)
JP (1) JP7270034B2 (zh)
KR (1) KR102557180B1 (zh)
CN (1) CN112714891A (zh)
IL (1) IL281403B2 (zh)
TW (1) TWI797382B (zh)
WO (1) WO2020061241A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11320385B2 (en) * 2018-10-16 2022-05-03 Seagate Technology Llc Intelligent defect identification system
US11244443B2 (en) * 2019-07-28 2022-02-08 Advantest Corporation Examination apparatus, examination method, recording medium storing an examination program, learning apparatus, learning method, and recording medium storing a learning program
US11557031B2 (en) 2019-11-21 2023-01-17 Kla Corporation Integrated multi-tool reticle inspection
DE102020208185A1 (de) * 2020-06-30 2021-12-30 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Einstellen eines Seitenwandwinkels eines Pattern-Elements einer fotolithographischen Maske
CN114326296A (zh) * 2020-09-29 2022-04-12 长鑫存储技术有限公司 光罩微粒的定位方法、装置、存储介质与电子设备
CN112414943B (zh) * 2020-11-27 2023-06-09 上海华力微电子有限公司 半导体芯片缺陷定位方法和定位模块
KR20230005525A (ko) 2021-07-01 2023-01-10 주식회사 이솔 Euv 블랭크 마스크의 디펙트 검출을 통한 웨이퍼 디펙트를 개선하는 방법
CN117813547A (zh) * 2021-08-11 2024-04-02 Asml荷兰有限公司 掩模缺陷检测
US20220011679A1 (en) * 2021-09-23 2022-01-13 Intel Corporation Measurement tool and method for lithography masks
US11727556B2 (en) 2021-09-29 2023-08-15 KLA Corp. Defect detection for multi-die masks
WO2023088623A1 (en) * 2021-11-16 2023-05-25 Asml Netherlands B.V. Systems and methods for defect detection and defect location identification in a charged particle system

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001127129A (ja) * 1999-10-27 2001-05-11 Hitachi Ltd 試料の欠陥検査システム、および検査方法
US20010016938A1 (en) * 2000-02-22 2001-08-23 Hidetoshi Nishiyama Inspection method and inspection system using charged particle beam
US20020035461A1 (en) * 1997-09-17 2002-03-21 Numerical Technologies, Inc. Visual analysis and verification system using advanced tools
CN1666101A (zh) * 2002-05-13 2005-09-07 应用材料股份有限公司 带电粒子束装置和侦测样本的方法
US20060133660A1 (en) * 2004-12-16 2006-06-22 Dainippon Screen Mfg. Co., Ltd. Apparatus and method for detecting defect existing in pattern on object
JP2008185931A (ja) * 2007-01-31 2008-08-14 Sii Nanotechnology Inc 集束イオンビーム微細加工装置を用いたフォトマスクの欠陥修正方法
JP2009086428A (ja) * 2007-10-01 2009-04-23 Sii Nanotechnology Inc 荷電粒子ビームを用いたフォトマスクの欠陥修正方法及び欠陥修正装置
US20150064813A1 (en) * 2013-08-29 2015-03-05 International Business Machines Corporation Microprocessor image correction and method for the detection of potential defects
US20150198541A1 (en) * 2013-12-10 2015-07-16 Carl Zeiss Sms Gmbh Method and device for examining a mask
US20160292840A1 (en) * 2015-03-31 2016-10-06 Kla-Tencor Corporation Sub-Pixel and Sub-Resolution Localization of Defects on Patterned Wafers
JP2016191589A (ja) * 2015-03-31 2016-11-10 株式会社Screenホールディングス 欠陥分類装置および欠陥分類方法
CN107851315A (zh) * 2015-08-10 2018-03-27 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6002740A (en) * 1996-10-04 1999-12-14 Wisconsin Alumni Research Foundation Method and apparatus for X-ray and extreme ultraviolet inspection of lithography masks and other objects
US7093229B2 (en) * 1997-09-17 2006-08-15 Synopsys, Inc. System and method for providing defect printability analysis of photolithographic masks with job-based automation
US6800859B1 (en) * 1998-12-28 2004-10-05 Hitachi, Ltd. Method and equipment for detecting pattern defect
US6873720B2 (en) * 2001-03-20 2005-03-29 Synopsys, Inc. System and method of providing mask defect printability analysis
US6976240B2 (en) * 2001-11-14 2005-12-13 Synopsys Inc. Simulation using design geometry information
EP1495312A4 (en) * 2002-04-17 2008-12-17 Ebara Corp APPARATUS AND METHOD FOR SAMPLE SURFACE INSPECTION
US6828542B2 (en) 2002-06-07 2004-12-07 Brion Technologies, Inc. System and method for lithography process monitoring and control
US9002497B2 (en) 2003-07-03 2015-04-07 Kla-Tencor Technologies Corp. Methods and systems for inspection of wafers and reticles using designer intent data
JP2005156516A (ja) * 2003-11-05 2005-06-16 Hitachi Ltd パターン欠陥検査方法及びその装置
US7747062B2 (en) * 2005-11-09 2010-06-29 Kla-Tencor Technologies Corp. Methods, defect review tools, and systems for locating a defect in a defect review process
US7676077B2 (en) 2005-11-18 2010-03-09 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
US7570796B2 (en) 2005-11-18 2009-08-04 Kla-Tencor Technologies Corp. Methods and systems for utilizing design data in combination with inspection data
KR100819000B1 (ko) 2006-10-02 2008-04-02 삼성전자주식회사 패턴 검사, 확인 및 수정 공정을 분리하여 진행하는포토마스크 검사 방법과 그에 사용되는 시스템들 및 패턴확인 시스템
US8103086B2 (en) 2007-01-11 2012-01-24 Kla-Tencor Corporation Reticle defect inspection with model-based thin line approaches
US8698093B1 (en) 2007-01-19 2014-04-15 Kla-Tencor Corporation Objective lens with deflector plates immersed in electrostatic lens field
US8213704B2 (en) * 2007-05-09 2012-07-03 Kla-Tencor Corp. Methods and systems for detecting defects in a reticle design pattern
US8126255B2 (en) * 2007-09-20 2012-02-28 Kla-Tencor Corp. Systems and methods for creating persistent data for a wafer and for using persistent data for inspection-related functions
JP5615489B2 (ja) * 2008-08-08 2014-10-29 株式会社荏原製作所 基板表面の検査方法及び検査装置
DE102010025033B4 (de) 2010-06-23 2021-02-11 Carl Zeiss Smt Gmbh Verfahren zur Defekterkennung und Reparatur von EUV-Masken
JP5963453B2 (ja) * 2011-03-15 2016-08-03 株式会社荏原製作所 検査装置
US8664594B1 (en) 2011-04-18 2014-03-04 Kla-Tencor Corporation Electron-optical system for high-speed and high-sensitivity inspections
US8692204B2 (en) 2011-04-26 2014-04-08 Kla-Tencor Corporation Apparatus and methods for electron beam detection
DE102011079382B4 (de) 2011-07-19 2020-11-12 Carl Zeiss Smt Gmbh Verfahren und Vorrichtung zum Analysieren und zum Beseitigen eines Defekts einer EUV Maske
KR101477470B1 (ko) * 2012-03-28 2014-12-29 호야 가부시키가이샤 마스크 블랭크용 기판, 다층 반사막 부착 기판, 투과형 마스크 블랭크, 반사형 마스크 블랭크, 투과형 마스크, 반사형 마스크 및 반도체 장치의 제조 방법
US8953869B2 (en) 2012-06-14 2015-02-10 Kla-Tencor Corporation Apparatus and methods for inspecting extreme ultra violet reticles
US8716662B1 (en) 2012-07-16 2014-05-06 Kla-Tencor Corporation Methods and apparatus to review defects using scanning electron microscope with multiple electron beam configurations
US9222895B2 (en) 2013-02-25 2015-12-29 Kla-Tencor Corp. Generalized virtual inspector
US9518935B2 (en) * 2013-07-29 2016-12-13 Kla-Tencor Corporation Monitoring changes in photomask defectivity
JP6578118B2 (ja) * 2014-04-04 2019-09-18 株式会社ニューフレアテクノロジー 撮像装置、検査装置および検査方法
US9478019B2 (en) 2014-05-06 2016-10-25 Kla-Tencor Corp. Reticle inspection using near-field recovery
EP3109700B1 (en) * 2015-06-26 2020-07-01 Shin-Etsu Chemical Co., Ltd. Defect inspecting method, sorting method, and producing method for photomask blank
US9740805B1 (en) * 2015-12-01 2017-08-22 Western Digital (Fremont), Llc Method and system for detecting hotspots for photolithographically-defined devices
US9915625B2 (en) * 2016-01-04 2018-03-13 Kla-Tencor Corp. Optical die to database inspection
JP6737598B2 (ja) * 2016-01-14 2020-08-12 株式会社荏原製作所 検査装置及び検査方法
US10241390B2 (en) * 2016-02-24 2019-03-26 AGC Inc. Reflective mask blank and process for producing the reflective mask blank
US10634623B2 (en) * 2016-10-07 2020-04-28 Kla-Tencor Corporation Phase contrast monitoring for extreme ultra-violet (EUV) masks defect inspection
US11580398B2 (en) 2016-10-14 2023-02-14 KLA-Tenor Corp. Diagnostic systems and methods for deep learning models configured for semiconductor applications
US10451563B2 (en) * 2017-02-21 2019-10-22 Kla-Tencor Corporation Inspection of photomasks by comparing two photomasks
US10607119B2 (en) 2017-09-06 2020-03-31 Kla-Tencor Corp. Unified neural network for defect detection and classification

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020035461A1 (en) * 1997-09-17 2002-03-21 Numerical Technologies, Inc. Visual analysis and verification system using advanced tools
JP2001127129A (ja) * 1999-10-27 2001-05-11 Hitachi Ltd 試料の欠陥検査システム、および検査方法
US20010016938A1 (en) * 2000-02-22 2001-08-23 Hidetoshi Nishiyama Inspection method and inspection system using charged particle beam
CN1666101A (zh) * 2002-05-13 2005-09-07 应用材料股份有限公司 带电粒子束装置和侦测样本的方法
US20060133660A1 (en) * 2004-12-16 2006-06-22 Dainippon Screen Mfg. Co., Ltd. Apparatus and method for detecting defect existing in pattern on object
JP2008185931A (ja) * 2007-01-31 2008-08-14 Sii Nanotechnology Inc 集束イオンビーム微細加工装置を用いたフォトマスクの欠陥修正方法
JP2009086428A (ja) * 2007-10-01 2009-04-23 Sii Nanotechnology Inc 荷電粒子ビームを用いたフォトマスクの欠陥修正方法及び欠陥修正装置
US20150064813A1 (en) * 2013-08-29 2015-03-05 International Business Machines Corporation Microprocessor image correction and method for the detection of potential defects
US20150198541A1 (en) * 2013-12-10 2015-07-16 Carl Zeiss Sms Gmbh Method and device for examining a mask
US20160292840A1 (en) * 2015-03-31 2016-10-06 Kla-Tencor Corporation Sub-Pixel and Sub-Resolution Localization of Defects on Patterned Wafers
JP2016191589A (ja) * 2015-03-31 2016-11-10 株式会社Screenホールディングス 欠陥分類装置および欠陥分類方法
CN107851315A (zh) * 2015-08-10 2018-03-27 科磊股份有限公司 用于预测晶片级缺陷可印性的设备及方法

Also Published As

Publication number Publication date
US10866197B2 (en) 2020-12-15
KR20210047356A (ko) 2021-04-29
US20200096862A1 (en) 2020-03-26
IL281403B2 (en) 2024-04-01
JP2022502839A (ja) 2022-01-11
JP7270034B2 (ja) 2023-05-09
IL281403B1 (en) 2023-12-01
TW202024613A (zh) 2020-07-01
WO2020061241A1 (en) 2020-03-26
TWI797382B (zh) 2023-04-01
IL281403A (en) 2021-04-29
KR102557180B1 (ko) 2023-07-18

Similar Documents

Publication Publication Date Title
US10866197B2 (en) Dispositioning defects detected on extreme ultraviolet photomasks
US9915625B2 (en) Optical die to database inspection
US9478019B2 (en) Reticle inspection using near-field recovery
US10211025B2 (en) Determining a position of a defect in an electron beam image
US7379175B1 (en) Methods and systems for reticle inspection and defect review using aerial imaging
US8213704B2 (en) Methods and systems for detecting defects in a reticle design pattern
US7123356B1 (en) Methods and systems for inspecting reticles using aerial imaging and die-to-database detection
US7769225B2 (en) Methods and systems for detecting defects in a reticle design pattern
US7027143B1 (en) Methods and systems for inspecting reticles using aerial imaging at off-stepper wavelengths
US8204297B1 (en) Methods and systems for classifying defects detected on a reticle
JP4940056B2 (ja) リソグラフィマスク用の検査方法及び装置
US9767548B2 (en) Outlier detection on pattern of interest image populations
US11727556B2 (en) Defect detection for multi-die masks
KR20240077466A (ko) 멀티 다이 마스크들에 대한 결함 검출
CN114641726B (zh) 集成式多工具光罩检验
US20220318986A1 (en) Semantic image segmentation for semiconductor-based applications
CN116152155A (zh) 用于半导体样本制造的掩模检查

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination