KR102465094B1 - Substrate processing apparatus, substrate processing method and recording medium - Google Patents

Substrate processing apparatus, substrate processing method and recording medium Download PDF

Info

Publication number
KR102465094B1
KR102465094B1 KR1020180003886A KR20180003886A KR102465094B1 KR 102465094 B1 KR102465094 B1 KR 102465094B1 KR 1020180003886 A KR1020180003886 A KR 1020180003886A KR 20180003886 A KR20180003886 A KR 20180003886A KR 102465094 B1 KR102465094 B1 KR 102465094B1
Authority
KR
South Korea
Prior art keywords
substrate
processing liquid
processing
liquid
base plate
Prior art date
Application number
KR1020180003886A
Other languages
Korean (ko)
Other versions
KR20180084642A (en
Inventor
쇼고 후쿠이
노리타카 우치다
타카노리 오바루
히데타카 시노하라
슈이치 니시키도
토모히토 우라
유야 모토야마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180084642A publication Critical patent/KR20180084642A/en
Application granted granted Critical
Publication of KR102465094B1 publication Critical patent/KR102465094B1/en

Links

Images

Classifications

    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B3/00Drying solid materials or objects by processes involving the application of heat
    • F26B3/02Drying solid materials or objects by processes involving the application of heat by convection, i.e. heat being conveyed from a heat source to the materials or objects to be dried by a gas or vapour, e.g. air
    • F26B3/04Drying solid materials or objects by processes involving the application of heat by convection, i.e. heat being conveyed from a heat source to the materials or objects to be dried by a gas or vapour, e.g. air the gas or vapour circulating over or surrounding the materials or objects to be dried
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B17/00Methods preventing fouling
    • B08B17/02Preventing deposition of fouling or of dust
    • B08B17/025Prevention of fouling with liquids by means of devices for containing or collecting said liquids
    • B08B1/12
    • B08B1/20
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/041Cleaning travelling work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B5/00Cleaning by methods involving the use of air flow or gas flow
    • B08B5/02Cleaning by the force of jets, e.g. blowing-out cavities
    • B08B5/023Cleaning travelling work
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/04Cleaning by methods not provided for in a single other subclass or a single group in this subclass by a combination of operations
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B21/00Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects
    • F26B21/14Arrangements or duct systems, e.g. in combination with pallet boxes, for supplying and controlling air or gases for drying solid materials or objects using gases or vapours other than air or steam, e.g. inert gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02052Wet cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02096Cleaning only mechanical cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67046Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly scrubbing means, e.g. brushes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67057Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing with the semiconductor substrates being dipped in baths or vessels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/6715Apparatus for applying a liquid, a resin, an ink or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67253Process monitoring, e.g. flow or thickness monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68728Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of separate clamping members, e.g. clamping fingers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B3/00Cleaning by methods involving the use or presence of liquid or steam
    • B08B3/04Cleaning involving contact with liquid
    • B08B3/08Cleaning involving contact with liquid the liquid having chemical or dissolving effect
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F26DRYING
    • F26BDRYING SOLID MATERIALS OR OBJECTS BY REMOVING LIQUID THEREFROM
    • F26B5/00Drying solid materials or objects by processes not involving the application of heat
    • F26B5/08Drying solid materials or objects by processes not involving the application of heat by centrifugal treatment

Abstract

기판의 상면의 세정에 이용한 처리액에 의해 기판의 하면이 오염되는 것을 방지할 수 있다. 기판 유지 회전부에 의해 기판을 회전시키면서 기판의 상면과 하면의 액 처리를 병행하여 행한 후, 기판의 상면과 하면의 액 처리의 양방을 종료시킬 때에, 제어부(18)는, 처리액 공급 기구(73)에 의한 기판의 상면에 대한 처리액의 공급을 먼저 종료시키고, 그 후, 처리액 공급 기구(71)에 의한 기판의 하면에 대한 처리액의 공급을 종료시킨다.Contamination of the lower surface of the substrate by the processing liquid used for cleaning the upper surface of the substrate can be prevented. When the liquid processing on the upper and lower surfaces of the substrate is performed in parallel while the substrate is rotated by the substrate holding and rotating unit, and then both of the liquid processing on the upper and lower surfaces of the substrate are finished, the control unit 18 may include the processing liquid supply mechanism 73 ) first ends the supply of the processing liquid to the upper surface of the substrate, and then, the supply of the processing liquid to the lower surface of the substrate by the processing liquid supply mechanism 71 is terminated.

Description

기판 처리 장치, 기판 처리 방법 및 기억 매체 {SUBSTRATE PROCESSING APPARATUS, SUBSTRATE PROCESSING METHOD AND RECORDING MEDIUM}Substrate processing apparatus, substrate processing method, and storage medium

본 발명은 기판에 처리액을 공급하여 액 처리를 행하는 기술에 관한 것이다.The present invention relates to a technique for performing liquid treatment by supplying a treatment liquid to a substrate.

반도체 장치의 제조에 있어서는, 반도체 웨이퍼 등의 기판을 디바이스 형성면을 하면으로 하여 수평으로 유지하고 연직 축선 둘레로 회전시킨 상태에서, 기판의 상면 및 하면에 세정액(예를 들면 세정용 약액 또는 린스액)을 공급하여 당해 상면 및 하면에 세정 처리를 실시하는 경우가 있다. 이 때, 기판의 상면에 브러시를 접촉시키는 등 하여 물리적으로 세정하는 경우도 있다(특허 문헌 1).In the manufacture of a semiconductor device, in a state in which a substrate such as a semiconductor wafer is held horizontally with the device formation surface as the lower surface and rotated around a vertical axis, a cleaning liquid (eg, a cleaning chemical or a rinse liquid) is applied to the upper surface and the lower surface of the substrate. ) is supplied to perform washing treatment on the upper and lower surfaces. At this time, there is a case in which the upper surface of the substrate is physically cleaned, such as by bringing a brush into contact (Patent Document 1).

일본특허공개공보 2016-149470호Japanese Patent Laid-Open No. 2016-149470

그러나, 기판의 상면에 공급된 처리액 또는 그 처리액의 미스트가 기판의 하면으로 유입되어, 하면의 디바이스 형성면에 부착되는 경우가 있다. 부착된 처리액에는 기판의 상면으로부터 제거된 파티클 등의 불필요한 물질이 함유되어 있는 경우가 있기 때문에, 디바이스 형성면이 오염되어 버리는 경우가 있다.However, the processing liquid supplied to the upper surface of the substrate or mist of the processing liquid may flow into the lower surface of the substrate and adhere to the device formation surface of the lower surface. Since the deposited processing liquid may contain unnecessary substances such as particles removed from the upper surface of the substrate, the device formation surface may be contaminated.

본 발명은 기판의 상면의 세정에 이용한 처리액에 의해 기판의 하면이 오염되는 것을 방지하는 것을 목적으로 한다.An object of the present invention is to prevent the lower surface of a substrate from being contaminated by a treatment liquid used for cleaning the upper surface of the substrate.

상술한 과제를 해결하기 위하여, 본 발명의 기판 처리 장치는, 기판에 대하여 처리액을 공급함으로써 기판을 액 처리하는 기판 처리 장치로서, 기판을 유지하여 회전시키는 기판 유지 회전부와, 기판의 상면에 대하여 처리액을 공급하는 제 1 처리액 공급 기구와, 기판의 하면에 대하여 처리액을 공급하는 제 2 처리액 공급 기구와, 제 1 처리액 공급 기구와 제 2 처리액 공급 기구를 이용한 처리를 제어하는 제어부를 구비하고, 상기 기판 유지 회전부에 의해 기판을 회전시키면서 기판의 상면과 하면의 액 처리를 병행하여 행한 후, 기판의 상면과 하면의 액 처리의 양방을 종료시킬 때에, 상기 제어부는, 상기 제 1 처리액 공급 기구에 의한 상기 기판의 상면에 대한 처리액의 공급을 먼저 종료시키고, 그 후, 상기 제 2 처리액 공급 기구에 의한 상기 기판의 하면에 대한 처리액의 공급을 종료시키는 것을 특징으로 한다.In order to solve the above problems, a substrate processing apparatus of the present invention is a substrate processing apparatus for liquid processing a substrate by supplying a processing liquid to the substrate, and includes a substrate holding and rotating unit for holding and rotating the substrate; A first processing liquid supply mechanism for supplying a processing liquid, a second processing liquid supply mechanism for supplying a processing liquid to the lower surface of the substrate, and control of processing using the first processing liquid supply mechanism and the second processing liquid supply mechanism A control unit is provided, and when the liquid treatment of the upper and lower surfaces of the substrate is performed in parallel while the substrate is rotated by the substrate holding and rotating unit, and then both of the liquid treatment of the upper and lower surfaces of the substrate are finished, the control unit is configured to: First, the supply of the processing liquid to the upper surface of the substrate by the first processing liquid supply mechanism is terminated, and then, the supply of the processing liquid to the lower surface of the substrate by the second processing liquid supply mechanism is terminated. do.

본 발명은 기판의 상면의 세정에 이용한 처리액에 의해 기판의 하면이 오염되는 것을 방지할 수 있다.The present invention can prevent the lower surface of the substrate from being contaminated by the treatment liquid used for cleaning the upper surface of the substrate.

도 1은 제 1 실시 형태에 따른 기판 처리 시스템의 개략 구성을 나타내는 도이다.
도 2는 도 1의 기판 처리 시스템에 포함되는 처리 유닛의 개략 종단면도이다.
도 3은 브러시의 형상의 상세를 나타내는 도이다.
도 4a는 기판 유지부의 상세를 나타내는 도이다.
도 4b는 기판 유지부의 상세를 나타내는 도이다.
도 4c는 기판 유지부의 상세를 나타내는 도이다.
도 4d는 기판 유지부의 상세를 나타내는 도이다.
도 4e는 기판 유지부의 상세를 나타내는 도이다.
도 5는 유체 공급 시스템의 구성을 나타내는 도이다.
도 6 은 세정 처리 및 건조 처리를 행할 때의 동작을 설명하는 도이다.
도 7은 처리액 공급 기구의 제어 동작을 나타내는 순서도이다.
BRIEF DESCRIPTION OF THE DRAWINGS It is a figure which shows the schematic structure of the substrate processing system which concerns on 1st Embodiment.
FIG. 2 is a schematic longitudinal cross-sectional view of a processing unit included in the substrate processing system of FIG. 1 ;
It is a figure which shows the detail of the shape of a brush.
It is a figure which shows the detail of a board|substrate holding part.
It is a figure which shows the detail of a board|substrate holding part.
It is a figure which shows the detail of a board|substrate holding part.
It is a figure which shows the detail of a board|substrate holding part.
4E is a diagram showing details of a substrate holding part.
5 is a diagram showing the configuration of a fluid supply system.
It is a figure explaining the operation|movement at the time of performing a washing process and a drying process.
7 is a flowchart illustrating a control operation of the processing liquid supply mechanism.

이하, 도면을 참조하여 본 발명의 실시 형태에 대하여 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, embodiment of this invention is described with reference to drawings.

(제 1 실시 형태)(First embodiment)

도 1은 본 실시 형태에 따른 기판 처리 시스템의 개략 구성을 나타내는 도이다. 이하에서는, 위치 관계를 명확하게 하기 위하여, 서로 직교하는 X축, Y축 및 Z축을 규정하고, Z축 정방향을 연직 상향 방향으로 한다.BRIEF DESCRIPTION OF THE DRAWINGS It is a figure which shows schematic structure of the substrate processing system which concerns on this embodiment. In the following, in order to clarify the positional relationship, the X-axis, Y-axis, and Z-axis orthogonal to each other are defined, and the positive Z-axis direction is the vertically upward direction.

도 1에 나타내는 바와 같이, 기판 처리 시스템(1)은 반입반출 스테이션(2)과 처리 스테이션(3)을 구비한다. 반입반출 스테이션(2)과 처리 스테이션(3)은 인접하여 마련된다.As shown in FIG. 1 , the substrate processing system 1 includes a loading/unloading station 2 and a processing station 3 . The carry-in/out station 2 and the processing station 3 are provided adjacently.

반입반출 스테이션(2)은 캐리어 배치부(11)와 반송부(12)를 구비한다. 캐리어 배치부(11)에는, 복수 매의 웨이퍼(W)(기판)를 수평 상태로 수용하는 복수의 캐리어(C)가 배치된다.The carry-in/out station 2 includes a carrier arrangement unit 11 and a transfer unit 12 . In the carrier arrangement section 11, a plurality of carriers C for accommodating a plurality of wafers W (substrates) in a horizontal state are disposed.

반송부(12)는 캐리어 배치부(11)에 인접하여 마련되고, 내부에 기판 반송 장치(13)와 전달부(14)를 구비한다. 기판 반송 장치(13)는 웨이퍼(W)를 유지하는 기판 유지 기구를 구비한다. 또한, 기판 반송 장치(13)는, 수평 방향 및 연직 방향으로의 이동 및 연직축을 중심으로 하는 선회가 가능하고, 기판 유지 기구를 이용하여 캐리어(C)와 전달부(14)의 사이에서 웨이퍼(W)의 반송을 행한다.The transfer unit 12 is provided adjacent to the carrier arrangement unit 11 , and includes a substrate transfer device 13 and a transfer unit 14 therein. The substrate transfer apparatus 13 includes a substrate holding mechanism that holds the wafer W. As shown in FIG. In addition, the substrate transfer apparatus 13 can move in horizontal and vertical directions and pivot about a vertical axis, and use a substrate holding mechanism to hold the wafer (C) between the carrier C and the transfer unit 14 . W) is conveyed.

처리 스테이션(3)은 반송부(12)에 인접하여 마련된다. 처리 스테이션(3)은 반송부(15)와 복수의 처리 유닛(16)을 구비한다. 복수의 처리 유닛(16)은 반송부(15)의 양측에 배열되어 마련된다.A processing station 3 is provided adjacent to the conveying unit 12 . The processing station 3 has a conveying unit 15 and a plurality of processing units 16 . A plurality of processing units 16 are arranged on both sides of the conveying unit 15 and provided.

반송부(15)는 내부에 기판 반송 장치(17)를 구비한다. 기판 반송 장치(17)는 웨이퍼(W)를 유지하는 기판 유지 기구를 구비한다. 또한, 기판 반송 장치(17)는 수평 방향 및 연직 방향으로의 이동 및 연직축을 중심으로 하는 선회가 가능하고, 기판 유지 기구를 이용하여 전달부(14)와 처리 유닛(16)의 사이에서 웨이퍼(W)의 반송을 행한다.The transfer unit 15 includes a substrate transfer device 17 therein. The substrate transfer apparatus 17 includes a substrate holding mechanism for holding the wafer W. As shown in FIG. In addition, the substrate transfer apparatus 17 is capable of moving in horizontal and vertical directions and pivoting about a vertical axis, and is positioned between the transfer unit 14 and the processing unit 16 by using a substrate holding mechanism. W) is conveyed.

처리 유닛(16)은, 기판 반송 장치(17)에 의해 반송되는 웨이퍼(W)에 대하여 정해진 기판 처리를 행한다.The processing unit 16 performs predetermined substrate processing on the wafer W transferred by the substrate transfer apparatus 17 .

또한, 기판 처리 시스템(1)은 제어 장치(4)를 구비한다. 제어 장치(4)는 예를 들면 컴퓨터이며, 제어부(18)와 기억부(19)를 구비한다. 기억부(19)에는 기판 처리 시스템(1)에 있어서 실행되는 각종 처리를 제어하는 프로그램이 저장된다. 제어부(18)는 기억부(19)에 기억된 프로그램을 읽어내어 실행함으로써 기판 처리 시스템(1)의 동작을 제어한다.The substrate processing system 1 also includes a control device 4 . The control device 4 is, for example, a computer, and includes a control unit 18 and a storage unit 19 . A program for controlling various processes executed in the substrate processing system 1 is stored in the storage unit 19 . The control unit 18 controls the operation of the substrate processing system 1 by reading and executing the program stored in the storage unit 19 .

또한, 이러한 프로그램은 컴퓨터에 의해 판독 가능한 기억 매체에 기록되어 있던 것으로서, 그 기억 매체로부터 제어 장치(4)의 기억부(19)에 인스톨된 것이어도 된다. 컴퓨터에 의해 판독 가능한 기억 매체로서는, 예를 들면 하드 디스크(HD), 플렉시블 디스크(FD), 컴팩트 디스크(CD), 마그넷 옵티컬 디스크(MO), 메모리 카드 등이 있다.In addition, such a program may be recorded in a computer-readable storage medium, and may be installed in the storage unit 19 of the control device 4 from the storage medium. Examples of the computer-readable storage medium include a hard disk (HD), a flexible disk (FD), a compact disk (CD), a magnet optical disk (MO), and a memory card.

상기한 바와 같이 구성된 기판 처리 시스템(1)에서는, 우선, 반입반출 스테이션(2)의 기판 반송 장치(13)가, 캐리어 배치부(11)에 배치된 캐리어(C)로부터 웨이퍼(W)를 취출하고, 취출한 웨이퍼(W)를 전달부(14)에 배치한다. 전달부(14)에 배치된 웨이퍼(W)는, 처리 스테이션(3)의 기판 반송 장치(17)에 의해 전달부(14)로부터 취출되어, 처리 유닛(16)에 반입된다.In the substrate processing system 1 configured as described above, first, the substrate transfer apparatus 13 of the carry-in/out station 2 takes out the wafer W from the carrier C arranged in the carrier placement unit 11 . Then, the taken out wafer W is placed on the transfer unit 14 . The wafer W disposed on the transfer unit 14 is taken out from the transfer unit 14 by the substrate transfer apparatus 17 of the processing station 3 and loaded into the processing unit 16 .

처리 유닛(16)에 반입된 웨이퍼(W)는 처리 유닛(16)에 의해 처리된 후, 기판 반송 장치(17)에 의해 처리 유닛(16)으로부터 반출되어 전달부(14)에 배치된다. 그리고, 전달부(14)에 배치된 처리가 끝난 웨이퍼(W)는 기판 반송 장치(13)에 의해 캐리어 배치부(11)의 캐리어(C)에 복귀된다.The wafer W loaded into the processing unit 16 is processed by the processing unit 16 , and then unloaded from the processing unit 16 by the substrate transfer device 17 and placed in the transfer unit 14 . Then, the processed wafer W placed in the transfer unit 14 is returned to the carrier C of the carrier arrangement unit 11 by the substrate transfer device 13 .

다음에, 처리 유닛(16)의 개략 구성에 대하여 도 2를 참조하여 설명한다. 도 2는 도 1의 기판 처리 시스템(1)에 포함되는 처리 유닛(16)의 개략 종단면도이다.Next, a schematic configuration of the processing unit 16 will be described with reference to FIG. 2 . FIG. 2 is a schematic longitudinal sectional view of a processing unit 16 included in the substrate processing system 1 of FIG. 1 .

도 2에 나타내는 바와 같이, 처리 유닛(16)은 챔버(20)와, 웨이퍼(W)를 유지하여 회전시키는 기판 유지 회전 기구(30)(기판 유지 회전부의 일례)와, 처리액 공급 노즐을 구성하는 액체 토출부(40)와, 웨이퍼(W)에 공급된 후의 처리액을 회수하는 회수 컵(50)을 구비한다.As shown in FIG. 2 , the processing unit 16 includes a chamber 20 , a substrate holding and rotating mechanism 30 (an example of a substrate holding and rotating unit) that holds and rotates the wafer W, and a processing liquid supply nozzle. and a liquid discharging unit 40 to be used, and a recovery cup 50 for recovering the processing liquid after being supplied to the wafer W.

챔버(20)는 기판 유지 회전 기구(30), 액체 토출부(40) 및 회수 컵(50)을 수용한다. 챔버(20)의 천장부에는 FFU(Fan Filter Unit)(21)가 마련된다. FFU(21)는 챔버(20) 내에 다운 플로우를 형성한다.The chamber 20 accommodates the substrate holding and rotation mechanism 30 , the liquid discharge unit 40 , and the recovery cup 50 . A Fan Filter Unit (FFU) 21 is provided on the ceiling of the chamber 20 . The FFU 21 forms a down flow in the chamber 20 .

기판 유지 회전 기구(30)는 기계적인 클램프 기구에 의해 웨이퍼(W)를 유지하는 메커니컬 척으로서 구성되어 있다. 기판 유지 회전 기구(30)는 기판 유지부(31), 회전축(32) 및 회전 모터(회전 구동부)(33)를 가지고 있다.The substrate holding and rotation mechanism 30 is configured as a mechanical chuck that holds the wafer W by a mechanical clamp mechanism. The substrate holding and rotation mechanism 30 has a substrate holding unit 31 , a rotation shaft 32 , and a rotation motor (rotation drive unit) 33 .

기판 유지부(31)는 원판형의 베이스 플레이트(판 형상체)(31a)와, 베이스 플레이트(31a)의 주연부에 마련된 복수의 지지 부재(31b)를 가지고 있다. 지지 부재(31b)는 베이스 플레이트(31a)의 상부에 형성되어 있고, 웨이퍼(W)의 주연을 유지한다. 이에 의해, 웨이퍼(W)의 하면과 베이스 플레이트(31a)의 상면의 사이에는 하방 공간(83)이 형성된다. 본 실시 형태에 있어서는, 복수의 지지 부재(31b)의 몇 개는 웨이퍼(W)에 대하여 진퇴하여 웨이퍼(W)의 파지 및 해제의 전환을 행하는 가동의 지지 부재이고, 나머지의 지지 부재(31b)는 부동의 지지 부재이다. 지지 부재(31b)의 상세는 후술한다. 회전축(32)은 중공이고, 베이스 플레이트(31a)의 중앙부로부터 연직 방향 하향으로 연장되어 있다. 회전 모터(33)는 회전축(32)을 회전 구동하고, 이에 의해, 기판 유지부(31)에 의해 수평 자세로 유지된 웨이퍼(W)가 연직 축선 둘레로 회전한다.The board|substrate holding part 31 has the base plate (plate-shaped body) 31a of a disk shape, and the some support member 31b provided in the peripheral part of the base plate 31a. The support member 31b is formed on the base plate 31a and holds the periphery of the wafer W. Accordingly, a lower space 83 is formed between the lower surface of the wafer W and the upper surface of the base plate 31a. In the present embodiment, some of the plurality of support members 31b are movable support members that advance and retreat with respect to the wafer W to switch between gripping and release of the wafer W, and the remaining support members 31b. is an immovable support member. The details of the support member 31b will be described later. The rotating shaft 32 is hollow and extends vertically downward from the central portion of the base plate 31a. The rotation motor 33 rotationally drives the rotation shaft 32 , whereby the wafer W held in the horizontal posture by the substrate holding unit 31 rotates around the vertical axis.

액체 토출부(40)는 연직 방향으로 연장되는 가늘고 긴 축 형상의 부재로서 형성되어 있다. 액체 토출부(40)는 연직 방향으로 연장되는 중공 원통형의 축부(41)와, 헤드부(42)를 가지고 있다. 축부(41)는 기판 유지 회전 기구(30)의 회전축(32)의 내부의 원기둥형의 공동(空洞)(32a) 내에 삽입되어 있다. 축부(41)와 회전축(32)은 동심이다. 축부(41)의 외주면과 회전축(32)의 내주면의 사이에 원환(圓環) 형상의 단면을 가지는 기체 통로(80)로서의 공간이 형성되어 있다.The liquid discharge portion 40 is formed as an elongated axial member extending in the vertical direction. The liquid discharge part 40 has a hollow cylindrical shaft part 41 extending in the vertical direction, and a head part 42 . The shaft portion 41 is inserted into a cylindrical cavity 32a inside the rotation shaft 32 of the substrate holding and rotation mechanism 30 . The shaft portion 41 and the rotation shaft 32 are concentric. A space as a gas passage 80 having an annular cross section is formed between the outer peripheral surface of the shaft portion 41 and the inner peripheral surface of the rotating shaft 32 .

액체 토출부(40)의 내부에는 연직 방향으로 연장되는 원기둥형의 공동이 있다. 이 공동의 내부에는 처리액 공급관(43)이 마련되어 있다. 처리액 공급관(43)의 상단은 액체 토출부(40)의 헤드부(42)에서 개구하고 있고, 기판 유지 회전 기구(30)에 유지된 웨이퍼(W)의 하면의 중앙부를 향하여 처리액을 토출하는 액체 토출구가 된다.Inside the liquid discharge part 40, there is a cylindrical cavity extending in the vertical direction. A processing liquid supply pipe 43 is provided inside this cavity. The upper end of the processing liquid supply pipe 43 is opened from the head portion 42 of the liquid discharge unit 40 , and the processing liquid is discharged toward the center of the lower surface of the wafer W held by the substrate holding and rotating mechanism 30 . is a liquid outlet.

처리액 공급관(43)에는, 웨이퍼(W)의 하면을 처리하기 위한 정해진 처리액이 처리액 공급 기구(71)로부터 공급된다. 처리액 공급 기구(71)의 구성의 상세한 설명은 후술한다. 본 실시 형태에서는, 이 처리액 공급 기구(71)는 순수(DIW)를 공급하는 것으로 하지만, 복수의 처리액, 예를 들면 세정용 약액(예를 들면 DHF) 및 린스액을 전환하여 공급할 수 있도록 구성되어 있어도 된다. 기체 통로(80)에는, 웨이퍼(W)의 하면을 건조시키기 위한 건조용 기체로서 질소(N2)가 건조용 기체 공급 기구(72)(제 2 기체 공급 기구)로부터 공급된다. 건조용 기체 공급 기구(72)의 구성의 상세한 설명은 후술한다.A predetermined processing liquid for processing the lower surface of the wafer W is supplied to the processing liquid supply pipe 43 from the processing liquid supply mechanism 71 . A detailed description of the configuration of the processing liquid supply mechanism 71 will be described later. In the present embodiment, the treatment liquid supply mechanism 71 supplies pure water (DIW), but a plurality of treatment liquids, for example, a cleaning chemical liquid (such as DHF) and a rinse liquid, can be switched and supplied. may be composed. To the gas passage 80 , nitrogen (N 2 ) as a drying gas for drying the lower surface of the wafer W is supplied from the drying gas supply mechanism 72 (the second gas supply mechanism). The detailed description of the structure of the gas supply mechanism 72 for drying is mentioned later.

액체 토출부(40)의 상측 부분(헤드부(42) 및 그 하측 부근)의 주위는 회전축(32)의 공동(32a)에 의해 포위되어 있다. 액체 토출부(40)의 상측 부분과 공동(32a)의 사이에는 원환 형상의 간극이 있고, 이 간극이 건조용 기체를 통과시키는 기체 토출로(81)를 형성하고 있다.The periphery of the upper portion of the liquid discharge portion 40 (the head portion 42 and the vicinity of the lower portion thereof) is surrounded by the cavity 32a of the rotating shaft 32 . Between the upper part of the liquid discharge part 40 and the cavity 32a, there is an annular gap, and this gap forms a gas discharge path 81 through which the drying gas passes.

베이스 플레이트(31a)의 중앙부의 상면에는, 액체 토출부(40)의 헤드부(42)의 외주단(外周端) 가장자리와 기판 유지부의 표면에 의해, 기체 토출구(35)가 획정되어 있다. 상기 기체 토출구(35)는 원환 형상의 기체 토출로(81)를 흐르는 기체를 웨이퍼(W)의 하방 공간(83)(웨이퍼(W)와 베이스 플레이트(31a)의 사이의 공간)에 토출하는 원환 형상의 토출구이다.A gas discharge port 35 is defined on the upper surface of the central portion of the base plate 31a by the outer peripheral edge of the head portion 42 of the liquid discharge portion 40 and the surface of the substrate holding portion. The gas discharge port 35 is an annular ring for discharging gas flowing through the annular gas discharge path 81 into the space 83 below the wafer W (the space between the wafer W and the base plate 31a). shape of the outlet.

회수 컵(50)은, 기판 유지 회전 기구(30)의 기판 유지부(31)를 둘러싸도록 배치되고, 회전하는 웨이퍼(W)로부터 비산하는 처리액을 포집한다. 회수 컵(50)은 부동의 하측 컵체(51)와, 상승 위치(도 2에 나타내는 위치)와 하강 위치의 사이에서 승강 가능한 상측 컵체(52)를 가지고 있다. 상측 컵체(52)는 승강 기구(53)에 의해 승강한다. 상측 컵체(52)가 하강 위치에 있을 때에는, 상측 컵체(52)의 상단은, 기판 유지 회전 기구(30)에 의해 유지된 웨이퍼(W)보다 낮은 위치에 위치한다. 이 때문에, 상측 컵체(52)가 하강 위치에 있을 때에, 챔버(20) 내에 진입한 도 1에 나타낸 기판 반송 장치(17)의 기판 유지 기구(암)와 기판 유지 회전 기구(30)의 사이에서 웨이퍼(W)의 전달이 가능해진다.The recovery cup 50 is disposed to surround the substrate holding part 31 of the substrate holding and rotating mechanism 30 , and collects the processing liquid scattered from the rotating wafer W . The recovery cup 50 has an immovable lower cup body 51 and an upper cup body 52 that can be moved up and down between a raised position (a position shown in Fig. 2) and a lowered position. The upper cup body 52 is raised and lowered by the lifting mechanism 53 . When the upper cup body 52 is in the lowered position, the upper end of the upper cup body 52 is located at a position lower than the wafer W held by the substrate holding and rotation mechanism 30 . For this reason, when the upper cup body 52 is in the lowered position, between the substrate holding mechanism (arm) of the substrate transport apparatus 17 shown in FIG. 1 and the substrate holding rotation mechanism 30 which entered the chamber 20 . The transfer of the wafer W becomes possible.

하측 컵체(51)의 저부에는 배기구(54)가 형성되어 있다. 이 배기구(54)를 통하여, 포집된 회수 컵(50) 내의 분위기가 회수 컵(50)으로부터 배출된다. 배기구(54)에는 배기관(55)이 접속되고, 배기관(55)은 감압 분위기의 공장 배기계(도시 생략)에 접속되어 있다. 또한, 하측 컵체(51)의 저부에는 배액구(56)가 형성되어 있다. 이 배액구(56)를 통하여, 포집된 처리액이 회수 컵(50)으로부터 배출된다. 배액구(56)에는 배액관(57)이 접속되고, 배액관(57)은 공장 배액계(도시 생략)에 접속되어 있다.An exhaust port 54 is formed at the bottom of the lower cup body 51 . Through this exhaust port 54 , the collected atmosphere in the recovery cup 50 is discharged from the recovery cup 50 . An exhaust pipe 55 is connected to the exhaust port 54 , and the exhaust pipe 55 is connected to a factory exhaust system (not shown) in a reduced pressure atmosphere. In addition, a drain port 56 is formed at the bottom of the lower cup body 51 . Through this drain port 56 , the collected treatment liquid is discharged from the recovery cup 50 . A drain pipe 57 is connected to the drain port 56 , and the drain pipe 57 is connected to a factory drain system (not shown).

FFU(21)로부터의 청정 공기의 다운 플로우는 회수 컵(50)(상측 컵체(52))의 상부 개구를 통하여 회수 컵(50) 내에 인입되고, 배기구(54)로부터 배기된다. 이 때문에, 회수 컵(50) 내에는 화살표(F)로 나타내는 기류가 생긴다.The downflow of clean air from the FFU 21 enters the recovery cup 50 through the upper opening of the recovery cup 50 (upper cup body 52 ), and is exhausted from the exhaust port 54 . For this reason, the airflow shown by the arrow F arises in the collection|recovery cup 50. As shown in FIG.

정류 부재(34)는 링 형상을 가지고 있으며, 화살표(F)의 기류가 발생하도록 정류하고 또한 하측 컵체(51)로부터 상측 컵체(52)의 방향으로의 기체 및 미스트의 날려 올라감을 억제하는 기능을 가진다. 정류 부재(34)의 상단은 베이스 플레이트(31a)보다 낮은 위치에 있고, 베이스 플레이트(31a)의 외주단으로부터 간격(d)만큼 떨어져 있다. 웨이퍼(W)의 사이즈가 300 mm인 경우, 베이스 플레이트(31a)의 외주단은 웨이퍼(W)의 단부보다 외주측에 위치하고, 간격(d)은 4 mm 정도로 설정하는 것이 가장 정류 부재(34)를 기능시키는 면에서도 바람직하고, 웨이퍼(W) 하면에 대한 파티클 부착도 최소가 된다.The rectifying member 34 has a ring shape, rectifies so that the airflow of the arrow F is generated, and has a function of suppressing the blowing of gas and mist from the lower cup body 51 to the upper cup body 52 in the direction. have The upper end of the rectifying member 34 is at a lower position than the base plate 31a, and is spaced apart from the outer peripheral end of the base plate 31a by a distance d. When the size of the wafer W is 300 mm, the outer peripheral end of the base plate 31a is located on the outer peripheral side rather than the end of the wafer W, and it is best to set the interval d to about 4 mm. It is also preferable in terms of functioning the wafer W, and particle adhesion to the lower surface of the wafer W is also minimized.

처리 유닛(16)은 추가로, 기판 유지 회전 기구(30)에 의해 유지된 웨이퍼(W)의 상면에 처리액(세정용 약액 및 린스액)을 공급하는 적어도 1 개의 처리액 공급 노즐(62)을 구비하고 있다. 처리 유닛(16)은 추가로, 웨이퍼(W)의 상면을 스크럽 세정하는 브러시(63)를 구비하고 있다. 브러시(63)의 형상의 상세는 후술한다.The processing unit 16 further includes at least one processing liquid supply nozzle 62 for supplying a processing liquid (cleaning chemical and rinsing liquid) to the upper surface of the wafer W held by the substrate holding and rotating mechanism 30 . is provided. The processing unit 16 is further equipped with the brush 63 which scrubs-cleans the upper surface of the wafer W. As shown in FIG. The details of the shape of the brush 63 will be described later.

처리액 공급 노즐(62)에는, 웨이퍼(W)의 상면을 처리하기 위한 정해진 처리액이, 처리액 공급 기구(73)로부터 공급된다. 기체 공급구(61)는 웨이퍼(W)의 회전 중심의 상방에 마련되고, 건조 처리를 행하기 위한 건조용 기체로서의 N2가 건조용 기체 공급 기구(74)로부터 공급된다. 처리액 공급 기구(73) 및 건조용 기체 공급 기구(74)의 구성의 상세한 설명은 후술한다.A predetermined processing liquid for processing the upper surface of the wafer W is supplied to the processing liquid supply nozzle 62 from the processing liquid supply mechanism 73 . The gas supply port 61 is provided above the rotation center of the wafer W, and N 2 as a drying gas for drying is supplied from the drying gas supply mechanism 74 . A detailed description of the configuration of the processing liquid supply mechanism 73 and the drying gas supply mechanism 74 will be described later.

다음에, 도 3을 이용하여 본 실시 형태에 있어서의 브러시(63)의 형상의 상세에 대하여 설명한다. 도 3은 브러시(63)의 형상의 상세를 나타내는 도이다. 또한, 도 3의 (a)에는 본 실시 형태에 따른 브러시(63)의 측면도를 도 3의 (b)에는 본 실시 형태에 따른 브러시(63)의 저면도를 각각 나타내고 있다.Next, the detail of the shape of the brush 63 in this embodiment is demonstrated using FIG. 3 : is a figure which shows the detail of the shape of the brush 63. As shown in FIG. 3A is a side view of the brush 63 according to the present embodiment, and FIG. 3B is a bottom view of the brush 63 according to the present embodiment.

도 3의 (a) 및 도 3의 (b)에 나타내는 바와 같이, 브러시(63)는 본체부(631), 접속부(632), 제 1 세정체(633), 제 2 세정체(634)로 구성된다. 처리 유닛(16)에는 브러시(63)를 회전시키고 또한 웨이퍼(W)와의 상대 위치를 변경시키는 도시하지 않은 암이 구비되어 있고, 접속부(632)는 이 암과 본체부(631)를 접속하여 회전력을 부여하기 위한 것이다. 제 1 세정체(633) 및 제 2 세정체(634)는 웨이퍼(W)의 상면에 접촉함으로써 웨이퍼(W)를 세정하여 파티클 등의 불필요한 물질을 제거한다. 제 1 세정체(633)는 PVA 등으로 이루어지는 스펀지 형상의 세정체이다. 제 2 세정체(634)는 제 1 세정체(633)보다 단단한 재료(예를 들면 PP 등)로 이루어지는 식모(植毛)의 세정체이다. 본체부(631) 및 접속부(632)는 중공의 원기둥 형상이고, 도시하지 않은 암에 마련된 공급관의 선단으로부터 토출된 세정액은 중공의 영역을 지나 개구(635)로 유도된다. 그리고 그 처리액은 개구(635)로부터 제 1 세정체(633) 및 제 2 세정체(634)와 웨이퍼(W)의 접촉면에 공급된다.As shown in FIGS. 3A and 3B , the brush 63 includes a body portion 631 , a connection portion 632 , a first cleaning body 633 , and a second cleaning body 634 . is composed The processing unit 16 is provided with an arm (not shown) for rotating the brush 63 and changing the relative position with the wafer W, and the connecting portion 632 connects this arm and the body portion 631 to generate a rotational force. is to give The first cleaning body 633 and the second cleaning body 634 clean the wafer W by contacting the upper surface of the wafer W to remove unnecessary substances such as particles. The first cleaning body 633 is a sponge-shaped cleaning body made of PVA or the like. The second cleaning body 634 is a hair-transplanted cleaning body made of a material harder than the first cleaning body 633 (eg, PP or the like). The body portion 631 and the connection portion 632 have a hollow cylindrical shape, and the cleaning liquid discharged from the tip of a supply pipe provided on the arm (not shown) is guided to the opening 635 through the hollow region. Then, the processing liquid is supplied from the opening 635 to the contact surface of the first cleaning body 633 and the second cleaning body 634 and the wafer (W).

제 1 세정체(633)는 스펀지 형상의 재질이므로, 브러시(63)가 처리액에 의해 젖어 있는 상태로 웨이퍼(W)에 접촉하고 있을 때에, 도 3의 (c)와 같이 측면이 내주 방향으로 휜 형상으로 변형되는 경우가 있다. 발명자는 이 변형은 브러시(63)의 제조 시에 있어서의 제 1 세정체(633)의 성형 방법이 원인으로 발생하고 있다고 추측하고 있다. 또한, 제 1 세정체(633)의 웨이퍼(W)의 표면에 대한 가압력이 걸린 접촉에 의해 가로 방향의 마찰력이 생기므로, 액 처리 시에는 변형의 정도가 증대한다.Since the first cleaning body 633 is a sponge-shaped material, when the brush 63 is in contact with the wafer W in a wet state with the processing liquid, the side surface is in the inner circumferential direction as shown in FIG. 3C . It may be deformed into a bent shape. The inventor speculates that this deformation is caused by the molding method of the first cleaning body 633 at the time of manufacturing the brush 63 . In addition, since a frictional force in the lateral direction is generated by the contact of the first cleaning body 633 with the pressing force applied to the surface of the wafer W, the degree of deformation increases during liquid treatment.

이와 같은 내측으로의 휨이 있으면, 제 1 세정체(633)의 측면에 충돌한 세정액은 도 3의 (c)의 화살표로 나타내는 바와 같이 회전에 의한 원심력에 의해 비스듬한 상방으로 튀어 오르기 쉬워져, 처리액의 미스트가 증대한다. 분위기 중에 튄 미스트는 웨이퍼(W)의 상면뿐만 아니라 하면에까지 유입되어 부착될 우려가 있어, 디바이스 형성면의 오염을 초래한다.When there is such an inward bending, the cleaning liquid collided with the side surface of the first cleaning body 633 is likely to bounce upward obliquely by the centrifugal force due to rotation as indicated by the arrow in Fig. 3(c). Liquid mist increases. Mist splashed in the atmosphere may flow into and adhere not only to the upper surface of the wafer W but also to the lower surface of the wafer W, causing contamination of the device formation surface.

그래서, 도 3의 (d)에 나타내는 바와 같이, 세정 처리에 이용하기 전의 상태에 있어서도, 제 1 세정체(633)가 외주 방향을 따른 형상이 되도록 형성하는 것이 바람직하다. 구체적으로는, 제 1 세정체(633)의 주연부는 측면에서 봤을 때 외측으로 휜 형상으로 하는 것이 바람직하다.Therefore, as shown in Fig. 3(d), it is preferable to form the first cleaning body 633 in a shape along the outer circumferential direction even in the state before being used for the cleaning process. Specifically, it is preferable that the peripheral portion of the first cleaning body 633 be curved outward when viewed from the side.

이에 의해, 실제로 사용할 때에 있어서도, 도 3의 (c)와 같이 변형하기 어려워져, 미스트의 발생을 억제할 수 있으므로, 디바이스 형성면의 오염을 추가로 억제할 수 있다.Thereby, even when actually using, it becomes difficult to deform|transform like FIG.3(c), and since generation|occurrence|production of mist can be suppressed, contamination of a device formation surface can be suppressed further.

도 4a ∼ 도 4d는 기판 유지부(31)의 상세를 설명하는 도이다. 여기서, 상술한 복수의 지지 부재(31b) 중, 가동의 지지 부재는 파지부(31b-1)로서 도시되고, 부동의 지지 부재는 웨이퍼 지지부(31b-2)로서 도시되어 있다.4A to 4D are diagrams for explaining the details of the substrate holding part 31 . Here, of the plurality of supporting members 31b described above, a movable supporting member is shown as a holding portion 31b-1, and an immovable supporting member is shown as a wafer supporting member 31b-2.

도 4a에 나타내는 바와 같이, 베이스 플레이트(31a)는 대체로 원형의 상면 형상을 가지며, 주위에 노치부(C1 및 C2)가 형성되어 있다. 노치부(C1 및 C2)는 대략 60°의 각도 간격으로 번갈아 배치되어 있다. 노치부(C1)는 베이스 플레이트(31a)의 하부에 장착되는 파지부(31b-1)가 베이스 플레이트(31a)의 상방으로 돌출하는 것을 허용한다. 또한, 노치부(C2)는 기판 반송 장치(17)의 기판 유지 기구에 마련된 웨이퍼 유지 클로(claw)(도시 생략)에 대응하여 마련되고, 웨이퍼 유지 클로가 베이스 플레이트(31a)를 상하로 빠져나가는 것을 허용한다.As shown in FIG. 4A, the base plate 31a has a substantially circular upper surface shape, and the notch parts C1 and C2 are formed in the periphery. The notches C1 and C2 are alternately arranged at an angular interval of approximately 60°. The notch portion C1 allows the grip portion 31b-1 mounted on the lower portion of the base plate 31a to protrude upward of the base plate 31a. Further, the notch C2 is provided corresponding to a wafer holding claw (not shown) provided in the substrate holding mechanism of the substrate transfer apparatus 17, and the wafer holding claw passes through the base plate 31a up and down. allow that

또한 상술한 바와 같이, 베이스 플레이트(31a)의 상면에는 주연을 따라 연장되는 복수의 웨이퍼 지지부(31b-2)가 마련되어 있다. 이와 같은 웨이퍼 지지부(31b-2)는 베이스 플레이트(31a)의 노치부(C1 및 C2)에 맞춰 형성된다. 또한, 각 웨이퍼 지지부(31b-2)는 상면 평탄부(311)와, 베이스 플레이트(31a)의 중앙을 향하여 경사지는 경사면(312)을 가지고 있다. 경사면(312)의 외주연(상면 평탄부(311)와 경사면(312)의 경계)은 웨이퍼(W)의 직경보다 큰 제 1 원의 원주를 따라 위치하고, 경사면(312)의 내주연은 제 1 원과 동심원으로, 웨이퍼(W)의 직경보다 작은 제 2 원의 원주를 따라 위치한다. 이 때문에, 웨이퍼(W)를 베이스 플레이트(31a)에 배치하는 경우, 웨이퍼(W)는 그 에지가 경사면(312)에 접함으로써 지지된다(도 4b 참조). 이 때, 웨이퍼(W)는 베이스 플레이트(31a)의 상면으로부터 이간되어 있다.Also, as described above, a plurality of wafer support portions 31b - 2 extending along the periphery are provided on the upper surface of the base plate 31a. Such a wafer support part 31b-2 is formed to match the notch parts C1 and C2 of the base plate 31a. In addition, each wafer support part 31b-2 has an upper flat part 311 and an inclined surface 312 inclined toward the center of the base plate 31a. The outer periphery of the inclined surface 312 (the boundary between the upper flat portion 311 and the inclined surface 312 ) is located along the circumference of the first circle larger than the diameter of the wafer W, and the inner periphery of the inclined surface 312 is the first Concentric with the circle, it is positioned along the circumference of the second circle smaller than the diameter of the wafer W. For this reason, when the wafer W is placed on the base plate 31a, the wafer W is supported with its edge in contact with the inclined surface 312 (refer to FIG. 4B). At this time, the wafer W is spaced apart from the upper surface of the base plate 31a.

또한, 웨이퍼 지지부(31b-2)의 상면 평탄부(311)에는 가이드 핀(313)이 마련되어 있다. 도 4b에 나타내는 바와 같이, 가이드 핀(313)의 측면(313I)은 하단에 있어서, 웨이퍼 지지부(31b-2)의 경사면(312)의 외주연에 접하고 있다. 또한, 가이드 핀(313)에는 베이스 플레이트(31a)의 중앙을 향하여 경사지는 안내 경사면(313B)이 형성되어 있다. 기판 반송 장치(17)(도 1)로부터 웨이퍼 지지부(31b-2)에 웨이퍼(W)가 배치될 때에 웨이퍼(W)의 에지가 안내 경사면(313B)에 접하면, 웨이퍼(W)의 에지가 안내 경사면(313B)을 미끄러져 떨어지도록 안내되어 웨이퍼(W)가 이동하게 되고, 이에 의해 웨이퍼(W)가 위치 결정되어 웨이퍼 지지부(31b-2)에 지지된다.In addition, guide pins 313 are provided on the upper flat portion 311 of the wafer support portion 31b - 2 . As shown in FIG. 4B , the side surface 313I of the guide pin 313 is in contact with the outer periphery of the inclined surface 312 of the wafer support part 31b-2 at the lower end. In addition, the guide pin 313 has a guide inclined surface 313B inclined toward the center of the base plate 31a is formed. When the edge of the wafer W is in contact with the guide inclined surface 313B when the wafer W is placed on the wafer support part 31b-2 from the substrate transfer apparatus 17 (FIG. 1), the edge of the wafer W is The wafer W is moved by being guided to slide off the guide inclined surface 313B, whereby the wafer W is positioned and supported by the wafer support part 31b-2.

또한, 가이드 핀(313)은, 가이드 핀(313)의 상면이 복수의 웨이퍼 지지부(31b-2)에 의해 지지되는 웨이퍼(W)의 상면보다 높은 위치에 위치하는 높이를 가지고 있다.In addition, the guide pin 313 has a height at which the upper surface of the guide pin 313 is located at a position higher than the upper surface of the wafer W supported by the plurality of wafer support parts 31b - 2 .

도 4c 및 도 4d는 각각 가이드 핀(313)과 파지부(31b-1)를 상방으로부터 본 도이다. 도시하는 바와 같이, 웨이퍼 지지부(31b-2)에 마련된 가이드 핀(313)과 파지부(31b-1)에는, 대략 중앙부에 있어서, 베이스 플레이트(31a)의 주연과 교차하는 방향으로 연장되는 홈부(G)가 형성되어 있다. 단, 홈부(G)는 정확하게 반경 방향을 향하고 있는 것이 아니라, 액 처리 시에 웨이퍼(W) 상의 주연을 흐르는 세정액의 방향으로 경사져 있음으로써, 가이드 핀(313)에 가로 방향으로부터 충돌하는 처리액 의 양을 감소시켜, 보다 미스트의 발생을 억제하는 효과를 향상시켰다.4C and 4D are views, respectively, of the guide pin 313 and the gripping portion 31b-1 viewed from above. As illustrated, in the guide pin 313 and the gripping portion 31b-1 provided in the wafer support portion 31b-2, a groove portion extending in a direction intersecting with the periphery of the base plate 31a in a substantially central portion ( G) is formed. However, the groove portion G is not oriented precisely in the radial direction, but is inclined in the direction of the cleaning liquid flowing around the periphery on the wafer W during liquid processing, so that the processing liquid collides with the guide pin 313 from the horizontal direction. By reducing the amount, the effect of suppressing the generation of mist was improved.

도 4e는 가이드 핀(313)의 변형예이다. 액 처리 시의 회전수 등의 조건에 따라 가이드 핀(313)을 향하는 액의 방향은 달라진다. 도 4e는 액의 방향이 대략 원주 방향이 되는 경우에 최적화된 형상이다. 가이드 핀(313)에 마련된 경사면은 외측을 향하고 있기 때문에, 충돌한 처리액은 웨이퍼(W)측으로 되튀기지 않고 대부분이 회수 컵(50)측으로 유도된다. 이 경사는 종래의 가이드 핀(313) 전체를 노치하여 형성되어 있지만, 안내 경사면(313B)은 원형에 가까운 형상을 유지하고 있으므로 웨이퍼(W)의 안내 부재로서 충분히 기능한다. 또한, 상기 예에서는, 평면에서 볼 때의 상면 평탄부(311)의 길이가 가이드 핀(313)의 크기보다 충분히 큰 경우에 대하여 설명했지만, 가이드 핀(313)의 주연에만 마련하거나 또는 생략하고 있어도 동일한 효과가 얻어진다.4E is a modified example of the guide pin 313 . The direction of the liquid toward the guide pin 313 varies depending on conditions such as the number of rotations during liquid treatment. 4E is an optimized shape in the case where the direction of the liquid becomes substantially the circumferential direction. Since the inclined surface provided on the guide pin 313 faces outward, most of the colliding processing liquid is guided to the recovery cup 50 side without being bounced back toward the wafer W side. Although this inclination is formed by notching the entire guide pin 313 in the related art, since the guide inclined surface 313B maintains a shape close to a circular shape, it functions sufficiently as a guide member of the wafer W. As shown in FIG. In the above example, the case where the length of the upper flat portion 311 in plan view is sufficiently larger than the size of the guide pin 313 has been described. The same effect is obtained.

본 실시 형태에 있어서, 기판 처리 시스템(1)의 처리액 및 건조용 기체의 공급 및 배출을 행하는 유체 공급 시스템의 구성을 도 5에 나타낸다. 도 5는 유체 공급 시스템의 구성을 나타내는 도이다.5 shows the configuration of a fluid supply system for supplying and discharging the processing liquid and the drying gas of the substrate processing system 1 in the present embodiment. 5 is a diagram showing the configuration of a fluid supply system.

도 5의 건조용 기체 공급 기구(72)에 있어서, 건조용 기체 공급원(401)은 건조 처리에 이용하는 건조용 기체(N2)의 공급원이다. 건조용 기체 공급 라인(402)은 건조용 기체 공급원(401)으로부터의 건조용 기체를 챔버(20)에 대하여 공급하기 위한 공급로이다. 개폐 밸브(403)는 건조용 기체 공급 라인(402)에 개재 마련되어 있고, 챔버(20)에 대한 건조용 기체의 공급의 개시 및 정지를 제어한다. 유량 조정기(404)는 건조용 기체 공급 라인(402)에 개재 마련되어 있고, 건조용 기체 공급 라인(402)으로부터 챔버(20)에 대하여 공급되는 건조용 기체의 유량을 조정한다.In the gas supply mechanism 72 for drying in FIG. 5 , the gas supply source 401 for drying is a supply source of the gas N 2 for drying used for the drying process. The drying gas supply line 402 is a supply path for supplying the drying gas from the drying gas supply source 401 to the chamber 20 . The opening/closing valve 403 is interposed in the drying gas supply line 402 , and controls the start and stop of supply of the drying gas to the chamber 20 . The flow rate regulator 404 is interposed in the drying gas supply line 402 , and adjusts the flow rate of the drying gas supplied from the drying gas supply line 402 to the chamber 20 .

도 5의 처리액 공급 기구(71)(제 2 처리액 공급 기구)에 있어서, 처리액 공급원(405)은 액 처리에 이용하는 처리액의 공급원으로서, 본 실시 형태의 처리액인 순수(DIW)의 공급원이다. 처리액 공급 라인(406)은 처리액 공급원(405)으로부터의 처리액을 챔버(20)에 대하여 공급하기 위한 공급로이다. 개폐 밸브(407)는 처리액 공급 라인(406)에 개재 마련되어 있고, 챔버(20)에 대한 처리액의 공급의 개시 및 정지를 제어한다. 유량 조정기(408)는 처리액 공급 라인(406)에 개재 마련되어 있고, 처리액 공급원(405)으로부터 처리액 공급 라인(406)에 대하여 공급되는 처리액의 유량을 조정한다.In the processing liquid supply mechanism 71 (the second processing liquid supply mechanism) of FIG. 5 , the processing liquid supply source 405 is a supply source of the processing liquid used for liquid treatment, and supplies pure water (DIW) as the processing liquid of the present embodiment. is the supplier The processing liquid supply line 406 is a supply path for supplying the processing liquid from the processing liquid supply source 405 to the chamber 20 . The opening/closing valve 407 is interposed in the processing liquid supply line 406 and controls the start and stop of supply of the processing liquid to the chamber 20 . The flow rate regulator 408 is interposed in the processing liquid supply line 406 and adjusts the flow rate of the processing liquid supplied from the processing liquid supply source 405 to the processing liquid supply line 406 .

도 5의 건조용 기체 공급 기구(74)는 건조용 기체 공급 기구(72)와 동일한 구성을 구비하고 있고, 건조용 기체 공급 기구(74)에 있어서, 건조용 기체 공급원(409)은 건조용 기체 공급원(401)과, 건조용 기체 공급 라인(410)은 건조용 기체 공급 라인(402)과, 개폐 밸브(411)는 개폐 밸브(403)와, 유량 조정기(412)는 유량 조정기(404)와 각각 동일한 기능을 가진다.The drying gas supply mechanism 74 in Fig. 5 has the same configuration as the drying gas supply mechanism 72. In the drying gas supply mechanism 74, the drying gas supply source 409 is a drying gas. The supply source 401 and the drying gas supply line 410 include the drying gas supply line 402 , the on-off valve 411 includes an on-off valve 403 , and the flow regulator 412 includes a flow regulator 404 and Each has the same function.

도 5의 처리액 공급 기구(73)(제 1 처리액 공급 기구)에 있어서, 처리액 공급원(413)은 처리액 공급원(405)과, 처리액 공급 라인(414)은 처리액 공급 라인(406)과 각각 동일한 기능을 가진다. 처리액 공급원(415)은 본 실시 형태의 처리액인 DHF의 공급원이다. 처리액 공급 라인(416)은 처리액 공급원(415)으로부터의 처리액을 챔버(20)에 대하여 공급하기 위한 공급로이다. 전환 밸브(417)는 처리액 공급 라인(414) 및 처리액 공급 라인(416)에 접속되어 있고, DIW와 DHF의 어느 쪽인가가 챔버(20)에 대하여 공급되도록 전환하고, 또한 그 공급의 개시 및 정지를 제어한다. 유량 조정기(418)는 처리액 공급 라인(414)에 개재 마련되어 있고, 처리액 공급원(413)으로부터 처리액 공급 라인(414)에 대하여 공급되는 처리액의 유량을 조정한다. 유량 조정기(419)는 처리액 공급 라인(416)에 개재 마련되어 있고, 처리액 공급원(415)으로부터 처리액 공급 라인(416)에 대하여 공급되는 처리액의 유량을 조정한다.In the processing liquid supply mechanism 73 (first processing liquid supply mechanism) of FIG. 5 , the processing liquid supply source 413 is the processing liquid supply source 405 , and the processing liquid supply line 414 is the processing liquid supply line 406 . ) and each have the same function. The treatment liquid supply source 415 is a source of DHF, which is the treatment liquid according to the present embodiment. The processing liquid supply line 416 is a supply path for supplying the processing liquid from the processing liquid supply source 415 to the chamber 20 . The switching valve 417 is connected to the processing liquid supply line 414 and the processing liquid supply line 416 , switches either DIW or DHF to be supplied to the chamber 20 , and starts supplying the same. and stop control. The flow rate regulator 418 is interposed in the processing liquid supply line 414 , and adjusts the flow rate of the processing liquid supplied from the processing liquid supply source 413 to the processing liquid supply line 414 . The flow rate regulator 419 is interposed in the processing liquid supply line 416 , and adjusts the flow rate of the processing liquid supplied from the processing liquid supply source 415 to the processing liquid supply line 416 .

다음에, 본 실시 형태의 기판 처리 장치에 있어서의 세정 처리 및 건조 처리를 행할 때의 동작에 대하여 도 6을 이용하여 설명한다. 도 6 은 세정 처리 및 건조 처리를 행할 때의 동작을 설명하는 도이다.Next, operation|movement at the time of performing the washing|cleaning process and drying process in the substrate processing apparatus of this embodiment is demonstrated using FIG. It is a figure explaining the operation|movement at the time of performing a washing process and a drying process.

본 실시 형태에서는, 반경 150 mm의 웨이퍼(W)의 상면과 하면에 대하여 병행으로 처리가 행해지는 것이고, (1) 상면 세정 처리/하면 세정 처리, (2) 상면 세정(린스) 처리/하면 세정 처리, (3) 상면 건조 처리/하면 건조 처리의 순으로 실행된다. 여기서, 기재(A 처리/B 처리)는, A 처리와 B 처리가 병행으로 처리가 행해지는 것, 즉, 상면과 하면의 각각의 처리를 실행하는 시간 전체 중에서 적어도 부분적인 중복 기간(상면과 하면을 동시에 처리하는 시간)을 마련하여, 서로의 처리를 실행하는 것을 나타내고 있다. 또한, 제어부(18)는, 유체의 공급량을 증감하기 위하여, 처리액 공급 기구(71), 건조용 기체 공급 기구(72)(제 2 기체 공급 기구), 처리액 공급 기구(73) 및 건조용 기체 공급 기구(74)(제 1 기체 공급 기구)가 가지는 개폐 밸브 및 유량 조정기를 제어한다.In the present embodiment, processing is performed in parallel to the upper and lower surfaces of the wafer W having a radius of 150 mm, (1) upper surface cleaning treatment/lower surface cleaning treatment, (2) upper surface cleaning (rinsing) treatment/lower surface cleaning treatment and (3) upper surface drying treatment/lower surface drying treatment are executed in the order. Here, the base material (process A/process B) means that processing is performed in parallel with processing A and processing B, that is, at least partially overlapping period (upper surface and lower surface) during the entire time for executing each processing of the upper surface and lower surface. time to simultaneously process), and each other's processing is performed. In addition, the control unit 18 includes the processing liquid supply mechanism 71 , the drying gas supply mechanism 72 (the second gas supply mechanism), the processing liquid supply mechanism 73 , and the drying agent in order to increase or decrease the supply amount of the fluid. The on/off valve and flow rate regulator which the gas supply mechanism 74 (1st gas supply mechanism) has are controlled.

도 6의 (a)는 (1) 상면 세정 처리/하면 세정 처리의 동작 상태를 나타내는 모식도이다. 본 동작에 있어서, 웨이퍼(W)는 정해진 회전수(예를 들면, 1000 rpm)로 회전하고 있다. 여기서는, 상면에 관해서는, 처리액 공급 노즐(62)로부터 DIW를 웨이퍼(W)의 중심에 공급하여 웨이퍼(W) 표면에 액막을 형성하고, 웨이퍼(W) 상면에 브러시(63)를 접촉시키고 수평 방향으로 스캔함으로써 웨이퍼(W) 표면을 물리적으로 세정한다. 여기서는, 브러시(63)를 이용하는 물리적인 세정에 한정하지 않고 DHF에 의한 약액 처리를 행해도 된다. 한편, 하면에 관해서는, 처리액 공급관(43)으로부터 DIW를 웨이퍼(W)의 중심에 공급함으로써 세정 처리를 행한다.Fig. 6(a) is a schematic diagram showing the operation state of (1) upper surface cleaning process/lower surface cleaning process. In this operation, the wafer W is rotated at a predetermined rotational speed (eg, 1000 rpm). Here, as for the upper surface, DIW is supplied from the processing liquid supply nozzle 62 to the center of the wafer W to form a liquid film on the surface of the wafer W, and the brush 63 is brought into contact with the upper surface of the wafer W The wafer W surface is physically cleaned by scanning in the horizontal direction. Here, it is not limited to the physical cleaning using the brush 63, You may perform chemical|medical solution process by DHF. On the other hand, on the lower surface, the cleaning process is performed by supplying DIW to the center of the wafer W from the processing liquid supply pipe 43 .

도 6의 (b)는 세정 처리 (1)의 후에 실행되는, (2) 상면 세정(린스) 처리/하면 세정 처리의 동작 상태를 나타내는 모식도이다. 본 동작에 있어서, 웨이퍼(W)는 정해진 회전수(예를 들면, 1000 rpm)로 회전하고 있다. 여기서는, 상면에 관해서는, 브러시(63)에 의한 세정은 정지시키고, 처리액 공급 노즐(62)로부터 DIW를 웨이퍼(W)의 중심에 공급하여 세정(린스) 처리를 행하고 있다. 한편, 하면에 관해서는, 처리액 공급관(43)으로부터 DIW를 웨이퍼(W)의 중심에 공급함으로써 세정 처리를 행한다.Fig. 6B is a schematic diagram showing the operation state of the (2) upper surface cleaning (rinsing) treatment/lower surface cleaning treatment performed after the cleaning treatment (1). In this operation, the wafer W is rotated at a predetermined rotational speed (eg, 1000 rpm). Here, with respect to the upper surface, cleaning by the brush 63 is stopped, and DIW is supplied from the processing liquid supply nozzle 62 to the center of the wafer W to perform cleaning (rinsing) processing. On the other hand, on the lower surface, the cleaning process is performed by supplying DIW to the center of the wafer W from the processing liquid supply pipe 43 .

도 6의 (c)는 세정 처리 (2)의 후에 실행되는, (3) 상면 건조 처리/하면 건조 처리를 나타내는 모식도이다. 여기서는, 상면에 관해서는, 기체 공급구(61)로부터 건조용 기체(N2)를 공급하여 상면의 건조 처리를 행하고, 또한 하면에 대해서도 마찬가지로, 기체 통로(80)로부터 건조용 기체(N2)를 공급하여 건조 처리를 행한다.Fig. 6(c) is a schematic diagram showing (3) upper surface drying treatment/lower surface drying treatment performed after washing treatment (2). Here, with respect to the upper surface, the drying gas N 2 is supplied from the gas supply port 61 to dry the upper surface, and the drying gas N 2 from the gas passage 80 similarly to the lower surface. is supplied and subjected to drying treatment.

다음에, 세정 처리 (1) 및 세정 처리 (2)를 행할 때의, 처리액 공급 기구(71, 73)의 제어 동작에 대하여 도 7의 순서도를 이용하여 설명한다. 도 7은 처리액 공급 기구(71, 73)의 제어 동작을 나타내는 순서도이다. 본 순서도의 처리는, 제어부(18)가 기억부(19)에 기억된 기판 처리 프로그램을 실행함으로써 달성된다.Next, the control operation of the processing liquid supply mechanisms 71 and 73 when the cleaning process (1) and the cleaning process (2) are performed will be described with reference to the flowchart of FIG. 7 . 7 is a flowchart illustrating a control operation of the processing liquid supply mechanisms 71 and 73 . The processing in this flowchart is achieved when the control unit 18 executes the substrate processing program stored in the storage unit 19 .

먼저, 웨이퍼(W)에 대하여 상술의 세정 처리 (1)이 개시된다. 단, 처음부터 양면에 대하여 세정액을 공급하는 것이 아니라, 먼저 처리액 공급 기구(71)가 하면에만 세정액을 공급하여(제 1 개시 공정), 웨이퍼(W)의 하면에 세정액의 액막을 형성한다(단계(S101)). 여기서의 세정액의 공급 시간은 한정되는 것은 아니고 액막을 형성할 수 있을 정도면 된다.First, the above-described cleaning process (1) for the wafer W is started. However, instead of supplying the cleaning liquid to both surfaces from the beginning, the processing liquid supply mechanism 71 supplies the cleaning liquid only to the lower surface (first starting step) to form a liquid film of the cleaning liquid on the lower surface of the wafer W ( step (S101)). The supply time of the cleaning liquid here is not limited, and it may be sufficient to form a liquid film.

다음에, 처리액 공급 기구(73)가 웨이퍼(W)의 상면에 세정액을 공급한다(제 2 개시 공정). 웨이퍼(W)의 하면에도 계속하여 세정액이 공급되고 있는 상태이므로, 양면에 대하여 세정액을 공급하는 동작이 된다(단계(S102)).Next, the processing liquid supply mechanism 73 supplies the cleaning liquid to the upper surface of the wafer W (second starting process). Since the cleaning liquid is continuously supplied to the lower surface of the wafer W, the operation is to supply the cleaning liquid to both surfaces (step S102).

그리고, 그 후, 단계(S102)의 동작을 계속함으로써 양면에 세정액의 액막이 형성되어 있는 상태에서, 웨이퍼(W)의 상면에 브러시(63)를 접촉시켜 이동시킴으로써 웨이퍼(W)의 상면을 세정한다(단계(S103)). 이상이 세정 처리 (1)에 상당한다.Then, by continuing the operation of step S102, the upper surface of the wafer W is cleaned by moving the brush 63 in contact with the upper surface of the wafer W while the liquid film of the cleaning liquid is formed on both surfaces. (Step S103). The above corresponds to the washing process (1).

세정 처리 (1)의 종료 후, 세정 처리 (2)로서, 웨이퍼(W)의 양면에 대하여 린스액의 공급을 행한다(단계(S104)). 린스액의 공급은, 웨이퍼(W) 상에 남아 있는 세정액 및 파티클이 제거될 정도의 시간, 실행된다.After the cleaning process (1) is finished, as the cleaning process (2), a rinse liquid is supplied to both surfaces of the wafer W (step S104). The supply of the rinsing liquid is performed for a period of time sufficient to remove the cleaning liquid and particles remaining on the wafer W.

다음에, 처리액 공급 기구(73)에 의한 상면에 대한 린스액의 공급을 정지하여(제 1 종료 공정), 처리액 공급 기구(71)가 하면에만 린스액을 공급한다(단계(S105)). 이 처리는, 웨이퍼(W)의 상면에 잔류하는 처리액이 회전에 의한 원심력에 의해 웨이퍼(W) 상으로부터 제거될 정도의 시간동안 계속된다.Next, the supply of the rinse liquid to the upper surface by the processing liquid supply mechanism 73 is stopped (first end step), and the processing liquid supply mechanism 71 supplies the rinse liquid only to the lower surface (step S105)) . This process is continued for a period of time such that the processing liquid remaining on the upper surface of the wafer W is removed from the wafer W by centrifugal force caused by rotation.

그리고, 처리액 공급 기구(71)에 의한 하면의 린스액의 공급을 정지하여(제 2 종료 공정), 건조 처리 (3)을 행한다(단계(S106)). 건조 처리가 종료되면, 일련의 처리가 종료된다.Then, the supply of the rinse liquid on the lower surface by the processing liquid supply mechanism 71 is stopped (the second end step), and the drying process (3) is performed (step S106). When the drying process ends, the series of processes ends.

이상 설명한 바와 같이, 본 실시 형태에서는, 세정 처리 (2)에 있어서, 웨이퍼(W)를 회전시키면서 웨이퍼(W)의 상면과 하면의 린스 처리를 병행하여 행한 후, 웨이퍼(W)의 상면과 하면의 린스 처리의 양방을 종료시킬 때에 웨이퍼(W)의 상면에 대한 린스액의 공급을 먼저 종료시키고, 그 후, 웨이퍼(W)의 하면에 대한 린스액의 공급을 종료시키도록 하였다. 이에 의해, 상면으로부터의 액이 제거되고 있는 동안은 웨이퍼(W)의 하면에는 액막이 형성되어 있으므로, 디바이스 형성면인 웨이퍼(W)의 하면이 상면으로부터의 액의 유입 및 액이 컵에 충돌하여 날려 올라간 미스트 등으로부터 오염되는 것을 방지할 수 있다.As described above, in the present embodiment, in the cleaning process (2), the upper and lower surfaces of the wafer W are rinsed in parallel while the wafer W is rotated, and then the upper and lower surfaces of the wafer W are rinsed. When both of the rinsing processes are terminated, the supply of the rinsing liquid to the upper surface of the wafer W is first terminated, and then the supply of the rinsing liquid to the lower surface of the wafer W is terminated. As a result, a liquid film is formed on the lower surface of the wafer W while the liquid is being removed from the upper surface. Contamination from rising mist and the like can be prevented.

여기서, 웨이퍼(W)의 상면에 잔존하는 린스액이 웨이퍼(W)의 회전의 원심력에 의해 웨이퍼(W)의 상면으로부터 제거될 때까지, 웨이퍼(W)의 하면에 대한 린스액의 공급을 계속하도록 하였다. 이에 의해, 유입의 우려가 있는 린스액이 모두 없어졌으므로, 보다 확실하게 오염을 방지할 수 있다.Here, the supply of the rinse liquid to the lower surface of the wafer W is continued until the rinse liquid remaining on the upper surface of the wafer W is removed from the upper surface of the wafer W by the centrifugal force of rotation of the wafer W. made to do As a result, all of the rinse liquid having a risk of inflow is eliminated, so that contamination can be more reliably prevented.

또한, 세정 처리 (1)의 개시 시에 있어서도, 웨이퍼(W)의 하면에 대한 처리액의 공급을 먼저 개시시키고, 그 후, 웨이퍼(W)의 상면에 대한 처리액의 공급을 개시시키도록 하였다. 이에 의해, 상대적으로 오염 물질이 많이 부착되어 있는 세정 처리의 개시 시에 있어서도, 상면으로부터 하면으로의 오염 물질의 유입을 방지할 수 있다.Also at the start of the cleaning process (1), the supply of the processing liquid to the lower surface of the wafer W is first started, and then the supply of the processing liquid to the upper surface of the wafer W is started. . Thereby, even at the start of the cleaning process to which a relatively large amount of contaminants have adhered, it is possible to prevent inflow of contaminants from the upper surface to the lower surface.

또한, 본 실시 형태의 브러시(63)의 제 1 세정체(633)(세정체의 일례)의 주연부는 측면에서 봤을 때 외측으로 휜 형상을 가지도록 하였다. 이에 의해, 브러시(63)에 충돌한 처리액이 브러시(63)의 회전에 의해 미스트화하는 현상을 저감할 수 있어, 상면의 처리액에 의한 웨이퍼(W)의 오염을 방지할 수 있다.In addition, the peripheral part of the 1st cleaning body 633 (an example of a cleaning body) of the brush 63 of this embodiment was made to have the shape which curved outward when viewed from the side. Thereby, the phenomenon in which the processing liquid colliding with the brush 63 is misted by rotation of the brush 63 can be reduced, and contamination of the wafer W by the processing liquid on the upper surface can be prevented.

그리고, 1 개의 가이드 핀(313)의 중심에 있고 외측을 향하는 홈부(G)가 웨이퍼(W)의 주연부에 형성되는 액 흐름을 따른 방향을 향하도록 하였다. 이에 의해, 세정 처리 시에 액 흐름의 가이드 핀(313)에 대한 충돌을 최소한으로 억제할 수 있어, 튀어 오름에 의한 미스트의 발생을 방지할 수 있다.And, the groove portion G, which is located at the center of one guide pin 313 and faces outward, is directed in the direction along the liquid flow formed at the periphery of the wafer W. As shown in FIG. Thereby, the collision of the liquid flow with respect to the guide pin 313 at the time of a washing process can be suppressed to a minimum, and generation|occurrence|production of mist by splashing can be prevented.

또한, 회수 컵(50)의 안에 정류 부재(34)를 마련하고 또한 베이스 플레이트(31a)를 웨이퍼(W)의 반경보다 크게 함으로써, 회수 컵(50) 내의 미스트의 날려 올라감을 방지하고, 또한 웨이퍼(W)의 하면에 대한 미스트의 부착을 방지할 수 있다.In addition, by providing the rectifying member 34 in the recovery cup 50 and making the base plate 31a larger than the radius of the wafer W, the mist in the recovery cup 50 is prevented from flying up, and the wafer The adhesion of mist to the lower surface of (W) can be prevented.

18 : 제어부
31 : 기판 유지부
63 : 브러시
71 : 처리액 공급 기구
73 : 처리액 공급 기구
18: control unit
31: substrate holding part
63 : brush
71: processing liquid supply mechanism
73: treatment liquid supply mechanism

Claims (10)

기판에 대하여 처리액을 공급함으로써 상기 기판을 액 처리하는 기판 처리 장치로서,
상기 기판을 유지하여 회전시키는 기판 유지 회전부와,
상기 기판의 상면에 대하여 처리액을 공급하는 제 1 처리액 공급 기구와,
상기 기판의 하면에 대하여 처리액을 공급하는 제 2 처리액 공급 기구와,
상기 제 1 처리액 공급 기구와 상기 제 2 처리액 공급 기구를 이용한 처리를 제어하는 제어부
를 구비하고,
상기 기판 유지 회전부에 의해 상기 기판을 회전시키면서 상기 기판의 상면과 하면의 액 처리를 병행하여 행한 후, 상기 기판의 상면과 하면의 액 처리의 양방을 종료시킬 때에, 상기 제어부는 상기 제 1 처리액 공급 기구에 의한 상기 기판의 상면에 대한 처리액의 공급을 먼저 종료시키고, 그 후, 상기 제 2 처리액 공급 기구에 의한 상기 기판의 하면에 대한 처리액의 공급을 종료시키고,
상기 기판 유지 회전부는,
상기 기판을 회전시키기 위한 베이스 플레이트와,
상기 베이스 플레이트의 상방에 마련되고 상기 기판을 지지하는 지지 부재와,
상기 베이스 플레이트의 상방에 마련되고 장치 외부로부터 반송되어 온 상기 기판이 상기 지지 부재에 의해 지지되는 위치로 상기 기판을 안내하는 복수의 가이드 핀을 가지고,
상기 복수의 가이드 핀의 각각은, 상기 베이스 플레이트의 주연과 교차하는 방향으로 연장되는 홈부를 가지고,
상기 교차하는 방향에서 상기 홈부의 양단부 중 상기 베이스 플레이트의 중심에 가까운 내주측 단부의 위치는, 상기 양단부 중 상기 베이스 플레이트의 중심으로부터 먼 외주측 단부의 위치보다 상기 베이스 플레이트의 회전 방향으로 어긋나 있는 것을 특징으로 하는 기판 처리 장치.
A substrate processing apparatus for liquid-processing a substrate by supplying a processing liquid to the substrate, the substrate processing apparatus comprising:
a substrate holding and rotating unit for holding and rotating the substrate;
a first processing liquid supply mechanism for supplying a processing liquid to the upper surface of the substrate;
a second processing liquid supply mechanism for supplying the processing liquid to the lower surface of the substrate;
A control unit for controlling processing using the first processing liquid supply mechanism and the second processing liquid supply mechanism
to provide
When the liquid treatment of the upper and lower surfaces of the substrate is performed in parallel while the substrate is rotated by the substrate holding and rotating unit, and both of the liquid treatment of the upper and lower surfaces of the substrate are finished, the control unit is configured to control the first treatment liquid First, the supply of the processing liquid to the upper surface of the substrate by the supply mechanism is terminated, and then the supply of the processing liquid to the lower surface of the substrate by the second processing liquid supply mechanism is terminated;
The substrate holding rotation unit,
a base plate for rotating the substrate;
a support member provided above the base plate and supporting the substrate;
a plurality of guide pins provided above the base plate and guiding the substrate to a position where the substrate transported from outside the apparatus is supported by the support member;
Each of the plurality of guide pins has a groove portion extending in a direction crossing the periphery of the base plate,
In the intersecting direction, the position of the inner peripheral side end close to the center of the base plate among the both ends of the groove part is shifted in the rotation direction of the base plate from the position of the outer peripheral side end farther from the center of the base plate among the both ends. A substrate processing apparatus, characterized in that.
제 1 항에 있어서,
상기 제어부는 상기 제 1 처리액 공급 기구에 의한 상기 기판의 상면에 대한 처리액의 공급을 먼저 종료시켜 잔존하는 처리액이 상기 기판의 회전의 원심력에 의해 상기 기판의 상면으로부터 제거된 후에, 상기 제 2 처리액 공급 기구에 의한 상기 기판의 하면에 대한 처리액의 공급을 종료시키는 것을 특징으로 하는 기판 처리 장치.
The method of claim 1,
The control unit first terminates the supply of the processing liquid to the upper surface of the substrate by the first processing liquid supply mechanism, and after the remaining processing liquid is removed from the upper surface of the substrate by the centrifugal force of rotation of the substrate, the second processing liquid supply mechanism 2 The substrate processing apparatus according to claim 1, wherein the supply of the processing liquid to the lower surface of the substrate by the processing liquid supply mechanism is terminated.
제 1 항 또는 제 2 항에 있어서,
상기 기판의 상면과 하면의 액 처리의 양방을 개시시킬 때에, 상기 제어부는 상기 제 2 처리액 공급 기구에 의한 상기 기판의 하면에 대한 처리액의 공급을 먼저 개시시키고, 그 후, 상기 제 1 처리액 공급 기구에 의한 상기 기판의 상면에 대한 처리액의 공급을 개시시키는 것을 특징으로 하는 기판 처리 장치.
3. The method of claim 1 or 2,
When starting both the liquid processing on the upper surface and the lower surface of the substrate, the control unit first starts the supply of the processing liquid to the lower surface of the substrate by the second processing liquid supply mechanism, and thereafter, the first processing The substrate processing apparatus according to claim 1, wherein supply of the processing liquid to the upper surface of the substrate by a liquid supply mechanism is started.
제 1 항 또는 제 2 항에 있어서,
상기 기판의 상면을 액 처리 할 때에, 상기 기판의 상면에 세정체를 접촉시키고 상기 세정체를 회전하면서 상기 기판을 세정하는 브러시를 더 구비하고,
상기 브러시의 세정체의 주연부는 측면에서 봤을 때 외측으로 휜 형상을 가지는 것을 특징으로 하는 기판 처리 장치.
3. The method of claim 1 or 2,
When the upper surface of the substrate is liquid-treated, a brush for cleaning the substrate while contacting the cleaning body to the upper surface of the substrate and rotating the cleaning body;
A periphery of the cleaning body of the brush has a shape curved outward when viewed from the side.
삭제delete 제 1 항 또는 제 2 항에 있어서,
회전하는 상기 기판으로부터 비산하는 처리액을 포집하는 회수 컵과,
상기 기판의 상방으로부터 다운 플로우를 형성하기 위한 기체를 공급하는 기체 공급 기구와,
상기 다운 플로우가 상기 회수 컵에 진입함으로써 발생하는 기류를 배기하는 배기구와,
상기 기판 유지 회전부와 상기 회수 컵의 사이에 마련되고 상기 기류가 상기 배기구를 향하도록 정류하는 정류 부재를 더 구비하고,
상기 기판 유지 회전부는 상기 기판을 회전시키기 위한 베이스 플레이트를 가지고,
상기 베이스 플레이트의 외주단은 상기 기판의 단부보다 외주측에 위치하고, 상기 정류 부재의 상단은 상기 베이스 플레이트의 외주단보다 낮은 위치에 있는 것을 특징으로 하는 기판 처리 장치.
3. The method of claim 1 or 2,
a recovery cup for collecting the processing liquid scattered from the rotating substrate;
a gas supply mechanism for supplying a gas for forming a downflow from above the substrate;
an exhaust port for exhausting an airflow generated by the downflow entering the recovery cup;
a rectifying member provided between the substrate holding and rotating unit and the recovery cup and rectifying the airflow toward the exhaust port;
The substrate holding rotation unit has a base plate for rotating the substrate,
An outer peripheral end of the base plate is positioned on an outer peripheral side than an end of the substrate, and an upper end of the rectifying member is positioned lower than an outer peripheral end of the base plate.
기판에 대하여 처리액을 공급함으로써 상기 기판을 액 처리하는 기판 처리 장치를 이용하여 상기 기판을 액 처리하는 기판 처리 방법으로서,
상기 기판 처리 장치는,
상기 기판을 유지하여 회전시키는 기판 유지 회전부와,
상기 기판의 상면에 대하여 처리액을 공급하는 제 1 처리액 공급 기구와,
상기 기판의 하면에 대하여 처리액을 공급하는 제 2 처리액 공급 기구
를 구비하고,
상기 기판 유지 회전부는,
상기 기판을 회전시키기 위한 베이스 플레이트와,
상기 베이스 플레이트의 상방에 마련되고 상기 기판을 지지하는 지지 부재와,
상기 베이스 플레이트의 상방에 마련되고 장치 외부로부터 반송되어 온 상기 기판이 상기 지지 부재에 의해 지지되는 위치로 상기 기판을 안내하는 복수의 가이드 핀을 가지고,
상기 복수의 가이드 핀의 각각은, 상기 베이스 플레이트의 주연과 교차하는 방향으로 연장되는 홈부를 가지고,
상기 교차하는 방향에서 상기 홈부의 양단부 중 상기 베이스 플레이트의 중심에 가까운 내주측 단부의 위치는, 상기 양단부 중 상기 베이스 플레이트의 중심으로부터 먼 외주측 단부의 위치보다 상기 베이스 플레이트의 회전 방향으로 어긋나 있으며,
상기 기판 유지 회전부에 의해 상기 기판을 유지하여 회전시키면서, 상기 제 1 처리액 공급 기구 및 상기 제 2 처리액 공급 기구를 이용하여 상기 기판의 상면과 하면의 액 처리를 병행하여 행하는 처리액 공급 공정과,
상기 처리액 공급 공정 후, 상기 제 1 처리액 공급 기구에 의한 상기 기판의 상면에 대한 처리액의 공급을 종료하는 제 1 종료 공정과,
그 후, 상기 제 2 처리액 공급 기구에 의한 상기 기판의 하면에 대한 처리액의 공급을 종료하는 제 2 종료 공정
을 포함하는 것을 특징으로 하는 기판 처리 방법.
A substrate processing method for liquid-processing the substrate by using a substrate processing apparatus for liquid-processing the substrate by supplying a processing liquid to the substrate, the substrate processing method comprising:
The substrate processing apparatus,
a substrate holding and rotating unit for holding and rotating the substrate;
a first processing liquid supply mechanism for supplying a processing liquid to the upper surface of the substrate;
A second processing liquid supply mechanism for supplying a processing liquid to the lower surface of the substrate
to provide
The substrate holding rotation unit,
a base plate for rotating the substrate;
a support member provided above the base plate and supporting the substrate;
a plurality of guide pins provided above the base plate and guiding the substrate to a position where the substrate transported from outside the apparatus is supported by the support member;
Each of the plurality of guide pins has a groove portion extending in a direction crossing the periphery of the base plate,
In the intersecting direction, the position of the inner peripheral side end close to the center of the base plate among the both ends of the groove part is shifted in the rotation direction of the base plate than the position of the outer peripheral side end far from the center of the base plate among the both ends,
a processing liquid supply step of concurrently performing liquid processing on the upper and lower surfaces of the substrate by using the first processing liquid supply mechanism and the second processing liquid supply mechanism while holding and rotating the substrate by the substrate holding and rotating unit; ,
a first terminating step of terminating the supply of the processing liquid to the upper surface of the substrate by the first processing liquid supply mechanism after the processing liquid supplying step;
Thereafter, a second end step of terminating the supply of the processing liquid to the lower surface of the substrate by the second processing liquid supply mechanism.
Substrate processing method comprising a.
제 7 항에 있어서,
상기 제 2 종료 공정에 있어서, 상기 기판의 상면에 대한 처리액의 공급을 먼저 종료시켜 잔존하는 처리액이 상기 기판의 회전의 원심력에 의해 상기 기판의 상면으로부터 제거된 후에, 상기 기판의 하면에 대한 처리액의 공급을 종료하는 것을 특징으로 하는 기판 처리 방법.
8. The method of claim 7,
In the second termination process, after the supply of the processing liquid to the upper surface of the substrate is first terminated and the remaining processing liquid is removed from the upper surface of the substrate by the centrifugal force of rotation of the substrate, A substrate processing method, characterized in that the supply of the processing liquid is terminated.
제 7 항 또는 제 8 항에 있어서,
상기 기판의 상면과 하면의 액 처리의 양방을 개시시킬 때에, 상기 기판의 하면에 대한 처리액의 공급을 먼저 개시하는 제 1 개시 공정과,
그 후, 상기 기판의 상면에 대한 처리액의 공급을 개시하는 제 2 개시 공정
을 더 포함하는 것을 특징으로 하는 기판 처리 방법.
9. The method according to claim 7 or 8,
a first initiation step of first starting supply of the treatment liquid to the lower surface of the substrate when both the liquid treatment of the upper surface and the lower surface of the substrate are started;
Thereafter, a second starting step of starting the supply of the processing liquid to the upper surface of the substrate
Substrate processing method, characterized in that it further comprises.
제 7 항 또는 제 8 항에 기재된 기판 처리 방법을 실행하기 위한 프로그램을 기억한 기억 매체.A storage medium storing a program for executing the substrate processing method according to claim 7 or 8.
KR1020180003886A 2017-01-17 2018-01-11 Substrate processing apparatus, substrate processing method and recording medium KR102465094B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2017-006052 2017-01-17
JP2017006052A JP6836913B2 (en) 2017-01-17 2017-01-17 Substrate processing equipment, substrate processing method, and storage medium

Publications (2)

Publication Number Publication Date
KR20180084642A KR20180084642A (en) 2018-07-25
KR102465094B1 true KR102465094B1 (en) 2022-11-09

Family

ID=62838937

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180003886A KR102465094B1 (en) 2017-01-17 2018-01-11 Substrate processing apparatus, substrate processing method and recording medium

Country Status (5)

Country Link
US (1) US11084072B2 (en)
JP (1) JP6836913B2 (en)
KR (1) KR102465094B1 (en)
CN (2) CN108335996A (en)
TW (1) TWI746763B (en)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6836913B2 (en) * 2017-01-17 2021-03-03 東京エレクトロン株式会社 Substrate processing equipment, substrate processing method, and storage medium
JP6887912B2 (en) * 2017-08-07 2021-06-16 東京エレクトロン株式会社 Substrate processing equipment, substrate processing method and storage medium
CN109092729A (en) * 2018-09-20 2018-12-28 江苏省交通技师学院 A kind of cooling device-specific fan blade cleaning plant of water cooling detection
CN109290239A (en) * 2018-10-30 2019-02-01 夏士桀 A kind of novel surface processing unit of new material manufacture field
JP7203593B2 (en) * 2018-12-25 2023-01-13 東京エレクトロン株式会社 SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
JP7287271B2 (en) * 2019-12-26 2023-06-06 株式会社Sumco WORK CLEANING APPARATUS AND CLEANING METHOD
US20210299713A1 (en) * 2020-03-31 2021-09-30 Shibaura Mechatronics Corporation Substrate treatment device

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001096238A (en) * 1999-07-26 2001-04-10 Tokyo Electron Ltd Instrument, device and method for washing substrate
JP2002219424A (en) * 2001-01-23 2002-08-06 Tokyo Electron Ltd Substrate processing unit and substrate processing method
JP2011199012A (en) * 2010-03-19 2011-10-06 Fujitsu Semiconductor Ltd Method for manufacturing semiconductor device
JP2015153947A (en) * 2014-02-17 2015-08-24 株式会社Screenホールディングス Substrate processing device

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09199458A (en) * 1996-01-22 1997-07-31 Dainippon Screen Mfg Co Ltd Substrate treatment device
JP3715073B2 (en) * 1997-04-22 2005-11-09 大日本スクリーン製造株式会社 Heat treatment equipment
JP3668640B2 (en) * 1999-06-28 2005-07-06 大日本スクリーン製造株式会社 Substrate cleaning device
US20020096196A1 (en) * 2001-01-23 2002-07-25 Takayuki Toshima Substrate processing apparatus and substrate processing method
JP2005191511A (en) * 2003-12-02 2005-07-14 Dainippon Screen Mfg Co Ltd Substrate processing equipment and substrate processing method
CN101495668A (en) * 2005-01-18 2009-07-29 Asm美国公司 Wafer support pin assembly
US7914626B2 (en) * 2005-11-24 2011-03-29 Tokyo Electron Limited Liquid processing method and liquid processing apparatus
JP2008109058A (en) * 2006-10-27 2008-05-08 Dainippon Screen Mfg Co Ltd Apparatus and method for treating substrate
JP2008198836A (en) * 2007-02-14 2008-08-28 Dainippon Screen Mfg Co Ltd Substrate treatment device and substrate treatment method
KR100873153B1 (en) * 2007-10-05 2008-12-10 세메스 주식회사 Spin head
JP5381388B2 (en) * 2009-06-23 2014-01-08 東京エレクトロン株式会社 Liquid processing equipment
JP5726686B2 (en) * 2011-09-08 2015-06-03 東京エレクトロン株式会社 Liquid processing apparatus and method for controlling liquid processing apparatus
US9385020B2 (en) * 2011-12-19 2016-07-05 SCREEN Holdings Co., Ltd. Substrate holding and rotating device, substrate treatment apparatus including the device, and substrate treatment method
JP5901419B2 (en) * 2012-05-11 2016-04-13 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP6034080B2 (en) * 2012-07-13 2016-11-30 株式会社Screenホールディングス Substrate holding device
JP6057334B2 (en) * 2013-03-15 2017-01-11 株式会社Screenホールディングス Substrate processing equipment
WO2014149340A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Substrate position aligner
TWI636518B (en) * 2013-04-23 2018-09-21 荏原製作所股份有限公司 Substrate processing apparatus and a processed substrate manufacturing method
JP6143572B2 (en) * 2013-06-18 2017-06-07 株式会社Screenホールディングス Substrate holding and rotating apparatus, substrate processing apparatus including the same, and substrate processing method
JP6069134B2 (en) * 2013-08-30 2017-02-01 東京エレクトロン株式会社 Substrate processing system, substrate processing method, and computer readable storage medium storing substrate processing program
JP6287750B2 (en) * 2013-12-27 2018-03-07 東京エレクトロン株式会社 Substrate liquid processing equipment
JP6184890B2 (en) * 2014-03-07 2017-08-23 東京エレクトロン株式会社 Substrate liquid processing apparatus, substrate liquid processing method, and storage medium
JP6270270B2 (en) * 2014-03-17 2018-01-31 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP6304592B2 (en) * 2014-03-25 2018-04-04 株式会社Screenホールディングス Substrate processing method and substrate processing apparatus
JP6416723B2 (en) * 2014-11-21 2018-10-31 東京エレクトロン株式会社 Substrate processing system
JP6320945B2 (en) * 2015-01-30 2018-05-09 東京エレクトロン株式会社 Substrate processing apparatus and substrate processing method
JP6282988B2 (en) * 2015-02-13 2018-02-21 東京エレクトロン株式会社 Substrate processing apparatus, substrate processing method, and storage medium
JP6618334B2 (en) * 2015-06-03 2019-12-11 株式会社Screenホールディングス Substrate processing apparatus, film forming unit, substrate processing method, and film forming method
JP6543534B2 (en) * 2015-08-26 2019-07-10 株式会社Screenホールディングス Substrate processing equipment
US10192771B2 (en) * 2015-09-29 2019-01-29 SCREEN Holdings Co., Ltd. Substrate holding/rotating device, substrate processing apparatus including the same, and substrate processing method
US9892955B2 (en) * 2015-09-29 2018-02-13 SCREEN Holdings Co., Ltd. Substrate holding/rotating device, substrate processing apparatus including the same, and substrate processing method
JP6513048B2 (en) * 2016-03-28 2019-05-15 東京エレクトロン株式会社 Liquid processing device
JP6836913B2 (en) * 2017-01-17 2021-03-03 東京エレクトロン株式会社 Substrate processing equipment, substrate processing method, and storage medium

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001096238A (en) * 1999-07-26 2001-04-10 Tokyo Electron Ltd Instrument, device and method for washing substrate
JP2002219424A (en) * 2001-01-23 2002-08-06 Tokyo Electron Ltd Substrate processing unit and substrate processing method
JP2011199012A (en) * 2010-03-19 2011-10-06 Fujitsu Semiconductor Ltd Method for manufacturing semiconductor device
JP2015153947A (en) * 2014-02-17 2015-08-24 株式会社Screenホールディングス Substrate processing device

Also Published As

Publication number Publication date
CN108335996A (en) 2018-07-27
KR20180084642A (en) 2018-07-25
JP2018117018A (en) 2018-07-26
JP6836913B2 (en) 2021-03-03
CN207868172U (en) 2018-09-14
US11084072B2 (en) 2021-08-10
TW201836036A (en) 2018-10-01
US20180200764A1 (en) 2018-07-19
TWI746763B (en) 2021-11-21

Similar Documents

Publication Publication Date Title
KR102465094B1 (en) Substrate processing apparatus, substrate processing method and recording medium
KR102482211B1 (en) Substrate processing apparatus, substrate processing method, and storage medium
JP5996425B2 (en) Cleaning jig and cleaning method for cleaning substrate processing apparatus, and substrate processing system
US20170084470A1 (en) Substrate processing apparatus and cleaning method of processing chamber
JP6359477B2 (en) Substrate liquid processing equipment
JP7197376B2 (en) Substrate processing method and substrate processing apparatus
TWI662999B (en) Substrate liquid processing device
KR101857874B1 (en) Substrate treatment device and substrate treatment method
JP5726686B2 (en) Liquid processing apparatus and method for controlling liquid processing apparatus
KR102402297B1 (en) Substrate processing apparatus and substrate processing method
TW202017080A (en) Non-contact clean module
US11565287B2 (en) Substrate processing apparatus, substrate processing method and computer-readable recording medium
JP6258132B2 (en) Substrate liquid processing equipment
JP6494480B2 (en) Substrate liquid processing apparatus and substrate drying method for substrate liquid processing apparatus
TWI832954B (en) Substrate processing method and substrate processing device
JP7336967B2 (en) SUBSTRATE PROCESSING APPARATUS AND SUBSTRATE PROCESSING METHOD
JP6843606B2 (en) Substrate processing equipment, substrate processing method and storage medium
KR20240040646A (en) Substrate cleaning apparatus and substrate cleaning method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right