KR102442026B1 - 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물 및 이를 사용하여 막을 증착시키기 위한 방법 - Google Patents

알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물 및 이를 사용하여 막을 증착시키기 위한 방법 Download PDF

Info

Publication number
KR102442026B1
KR102442026B1 KR1020207008837A KR20207008837A KR102442026B1 KR 102442026 B1 KR102442026 B1 KR 102442026B1 KR 1020207008837 A KR1020207008837 A KR 1020207008837A KR 20207008837 A KR20207008837 A KR 20207008837A KR 102442026 B1 KR102442026 B1 KR 102442026B1
Authority
KR
South Korea
Prior art keywords
group
porogen
film
acetoxy
acyloxysilacyclic
Prior art date
Application number
KR1020207008837A
Other languages
English (en)
Other versions
KR20200035493A (ko
Inventor
로버트 고든 리지웨이
레이먼드 니콜라스 버티스
씬지안 레이
제니퍼 린 앤 아세틸
윌리엄 로버트 엔틀리
Original Assignee
버슘머트리얼즈 유에스, 엘엘씨
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 버슘머트리얼즈 유에스, 엘엘씨 filed Critical 버슘머트리얼즈 유에스, 엘엘씨
Publication of KR20200035493A publication Critical patent/KR20200035493A/ko
Application granted granted Critical
Publication of KR102442026B1 publication Critical patent/KR102442026B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1804Compounds having Si-O-C linkages
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic System
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • C07F7/18Compounds having one or more C—Si linkages as well as one or more C—O—Si linkages
    • C07F7/1896Compounds having one or more Si-O-acyl linkages
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/01Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes on temporary substrates, e.g. substrates subsequently removed by etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/517Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using a combination of discharges covered by two or more of groups C23C16/503 - C23C16/515
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light

Abstract

화학 기상 증착을 통해 다공성 저 k 유전체 막을 제조하기 위한 방법 및 조성물이 제공된다. 일 양태에서, 상기 방법은 반응 챔버 내에 기판을 제공하는 단계; 포로겐과 함께 또는 포로겐없이 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 적어도 하나의 구조 형성 전구체를 포함하는 기체 시약을 반응 챔버에 도입하는 단계; 기체 시약의 반응을 유도하도록 반응 챔버 내 기체 시약에 에너지를 인가하여 기판 상에 예비 막을 증착시키는 단계로서, 예비 막이 포로겐을 함유하고, 예비 막이 증착되는 단계; 및 예비 막으로부터 그 안에 함유된 적어도 일부의 포로겐을 제거하여 기공 및 3.2 이하의 유전 상수를 제공하는 단계를 포함한다. 특정 구체예에서, 구조 형성 전구체는 경화 첨가제를 추가로 포함한다.

Description

알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물 및 이를 사용하여 막을 증착시키기 위한 방법
관련 출원에 대한 상호 참조
본 출원은 35 U.S.C. §119(e) 하에 2017년 8월 30일자 출원된 미국 임시 특허 출원 제62/552,040호에 대한 우선권을 주장하며, 이의 전체 내용은 본원에 참조로 포함된다.
발명의 배경
구조 형성 전구체(들)로서 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 사용하여 유전체 막을 형성하기 위한 조성물 및 방법이 본원에 기술된다. 보다 구체적으로, 다공성 저 유전율 막("저 k" 막 또는 약 3.2 이하의 유전율을 갖는 막)을 형성하기 위한 조성물 및 방법이 본원에 기재되며, 여기서 막을 증착하는데 사용되는 방법은 화학 기상 증착(CVD) 방법이다. 본원에 기술된 조성물 및 방법에 의해 제조된 저 유전체 막은 예를 들어 전자 디바이스의 절연 층으로서 사용될 수 있다.
전자 산업은 집적 회로(IC) 및 관련 전자 디바이스의 구성 요소와 회로 사이의 절연 층으로서 유전체 물질을 사용한다. 마이크로전자 디바이스(예를 들어, 컴퓨터 칩)의 속도 및 메모리 저장 능력을 증가시키기 위해 라인 치수가 감소되고 있다. 라인 치수가 감소함에 따라, 층간 유전체(ILD)에 대한 절연 요구 사항은 훨씬 더 엄격하게 된다. 간격을 줄이는 것은 RC 시간 상수를 최소화하기 위해 보다 낮은 유전율을 필요하며, 여기서 R은 전도성 라인의 저항이고, C는 절연성 유전체 중간층의 커패시턴스(capacitance)이다. 커패시턴스(C)는 간격에 반비례하고 층간 유전체(ILD)의 유전율(k)에 비례한다. SiH4 또는 TEOS(Si(OCH2CH3)4, 테트라에틸오르쏘실리케이트) 및 O2로부터 생성된 종래의 실리카(SiO2) CVD 유전체 막은 4.0 초과의 유전율 k를 갖는다. 업계에는 보다 낮은 유전율을 갖는 실리카 기반 CVD 막을 제조하려는 여러 가지 방법이 있는데, 가장 성공적인 방법은 약 2.7 내지 약 3.5 범위의 유전율을 제공하는 유기 기를 갖는 절연성 실리콘 옥사이드 막을 도핑하는 것이다. 이 유기실리카 유리는 전형적으로 유기실리콘 전구체, 예컨대 메틸실란 또는 실록산, 및 산화제, 예컨대 O2 또는 N2O로부터 치밀 막(밀도 ~ 1.5 g/cm3)으로서 증착된다. 유기실리카 유리는 본원에서 OSG로서 지칭될 것이다. 유전율 또는 "k" 값이 보다 높은 디바이스 밀도 및 보다 작은 치수로 2.7 미만으로 떨어짐에 따라, 업계는 치밀 막에 적합한 저 k 조성물의 대부분을 소진하였고 개선된 절연 특성을 위해 다양한 다공성 물질로 전환하였다.
CVD 방법에 의한 다공성 ILD 분야의 특허, 공개된 출원 및 간행물은 다음을 포함한다: N2O와 같은 산화제 및 임의로 퍼옥사이드의 존재 하에 불안정한 기를 갖는 유기실리콘 전구체로부터 OSG 막을 증착하고, 열적 어닐링에 의한 불안정한 기의 후속 제거로 다공성 OSG를 제공하는 공정을 기술하는, EP 1 119 035 A2 및 미국 특허 제6,171,945호; 산화 어닐링으로 증착된 OSG로부터 본질적으로 모든 유기 기를 제거하여 다공성 무기 SiO를 얻는 것을 교시하는, 미국 특허 제6,054,206호 및 제 6,238,751호; 산화 플라즈마로의 후속 처리에 의한 다공성 무기 SiO2로 변형된 수소화된 실리콘 카바이드 막의 증착을 기술하는 EP 1 037 275; 및 모두 유기실리콘 전구체 및 유기 화합물로부터 막을 동시 증착시키고, 후속 열적 어닐링으로 중합된 유기 성분의 일부가 유지되는 다상 OSG/유기 막을 제공하는 것을 교시하는, 미국 특허 제6,312,793호 B1, WO 00/24050, 및 문헌 논문(Grill, A. Patel, V. Appl. Phys. Lett. (2001), 79(6), pp. 803-805). 후자의 참고 문헌에서, 막의 궁극적인 최종 조성은 잔류 포로겐 및 대략 80 내지 90 원자%의 높은 탄화수소 막 함량을 나타낸다. 또한, 최종 막은 SiO2-유사 네트워크를 유지하며, 유기 기를 산소 원자의 일부로 치환한다.
업계에서 인식된 과제는, 유전율이 보다 낮은 막이 전형적으로 다공성이 보다 높고, 이것이 종의 막으로의 확산, 특히 기상 확산을 향상시킨다는 것이다. 이러한 증가된 확산은 막의 에칭, 포토레지스트의 플라즈마 애싱, 및 구리 표면의 NH3 플라즈마 처리와 같은 공정에서 다공성 OSG 막으로부터 탄소 제거를 증가시킬 수 있다. OSG 막에서의 탄소 고갈은 다음 문제 중 하나 이상을 야기할 수 있다: 막의 유전율 증가; 습식 세정 단계 동안 막 에칭 및 피처 보잉(feature bowing); 소수성 손실로 인한 막으로의 수분 흡수, 패턴 에칭 후 습식 세정 단계 동안 미세 피처의 패턴 붕괴 및/또는 후속 층, 예컨대, 비제한적으로 구리 확산 배리어, 예를 들어, Ta/TaN 또는 고급 Co 또는 MnN 배리어 층을 증착할 때의 통합 문제.
이러한 문제들 중 하나 이상에 대한 가능한 해결책은 탄소 함량이 증가된 다공성 OSG 막을 사용하는 것이다. 첫 번째 접근법은 다공성 OSG 층에서의 보다 높은 Si-메틸(Me) 기의 잔류를 야기하는 포로겐(porogen)을 사용하는 것이다. 불행하게도, Si-Me 함량을 증가시키는 것은 전형적으로 기계적 성질을 감소시키므로, 보다 많은 Si-Me를 갖는 막은 통합에 중요한 기계적 강도에 부정적인 영향을 줄 것이다. 두 번째 접근법은 예를 들어, UV 경화 후 막에 추가의 비정질 탄소를 남기는 미국 특허 제8,753,985호에 개시된 포로겐과 같은 손상 방지 포로겐(damage resistant porogen)(DRP)을 사용하는 것이다. 특정 경우에, 이 잔류 탄소는 유전율에도 기계적 강도에도 부정적인 영향을 미치지 않는다. 그러나, DRP를 사용하여 이들 막에서 상당히 보다 높은 탄소 함량을 얻는 것은 어렵다.
제안된 또 다른 해결책은 일반식 Rx(RO)3-xSi(CH2)ySiRz(OR)3-z(여기서, x = 0-3이고, y = 1 또는 2이고, z = 0-3임)의 에틸렌 또는 메틸렌 브릿징된 디실록산을 사용하는 것이다. 브리징되는 종의 사용은, 네트워크 연결성이 동일하게 유지될 것이므로 브리징 산소를 브리징 탄소 사슬로 치환함으로써 기계적 성질에 대한 부정적인 영향을 피하는 것으로 여겨진다. 이는 브리징 산소를 말단 메틸 기로 치환하여 네트워크 연결성을 낮춤으로써 기계적 강도를 낮출 것이라는 믿음에서 비롯된다. 이러한 방식으로, 기계적 강도를 낮추지 않고 C 원자량 퍼센트(%)를 증가시키기 위해 산소 원자를 1-2개의 탄소 원자로 치환할 수 있다. 그러나, 이들 브릿징된 전구체는 일반적으로 2개의 실리콘 기를 갖는 증가된 분자량으로 인해 매우 높은 비점을 갖는다. 증가된 비점은 증기 전달 라인 또는 공정 펌프 배기에서 화학 전구체를 응축시키지 않고 기상 시약으로서 화학 전구체를 반응 챔버에 전달하는 것을 어렵게 함으로써 제조 공정에 부정적인 영향을 미칠 수 있다.
따라서, 증착시 증가된 탄소 함량을 갖는 막을 제공하지만 상기 언급된 결점을 겪지 않는 유전체 전구체가 당업계에 필요하다.
발명의 간단한 요약
본원에 기술된 방법 및 조성물은 상기 기술된 하나 이상의 요구를 충족시킨다. 본원에 기술된 방법 및 조성물은 포로겐 전구체와의 동시 증착 후, 및 포로겐을 제거하고 초기 증착 상태(as-deposited)의 막을 경화시키기 위한 UV 경화 후, 종래 기술의 구조 형성 전구체, 예컨대 디에톡시메틸실란(DEMS)을 동일한 유전율로 사용하는 막으로서 유사한 기계적 성질을 갖는, 다공성 저 k 유전체 막을 제공하는, 구조 형성 전구체로서 예를 들어, 1,1-디메톡시-1-실라사이클로펜탄(DMSCP) 또는 1,1-디에톡시-1-실라사이클로펜탄(DESCP)과 같은 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물(들)을 사용한다. 또한, 구조 형성 전구체(들)로서 본원에 기술된 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 사용하여 증착된 막은 비교적 보다 많은 양의 탄소를 포함한다. 또한, 본원에 기술된 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물(들)은 2개의 실리콘 기를 갖는 성질에 의해 보다 높은 Mw 및 보다 높은 비점을 갖는 다른 종래 기술의 구조 형성 전구체, 예컨대 브릿징된 전구체(예를 들어, 메틸렌 또는 에틸렌 브릿징된 디실란 또는 디실록산 전구체)와 비해 보다 낮은 분자량(Mw)을 가짐으로써, 본원에 기술된 알콕시실라사이클릭 또는 아실옥시실라사이클릭 전구체를 예를 들어 대량 제조 공정에서 처리하기에 더욱 편리하게 한다.
화학식 SivOwCxHyFz(여기서, v+w+x+y+z = 100%이고, v는 10 내지 35 원자%이고, w는 10 내지 65 원자%이고, x는 5 내지 45 원자%이고, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%임)로 표현되는 물질을 포함하는 다공성 유전체 막으로서, 막이 5.0 내지 30.0%의 체적 다공성, 2.3 내지 3.2의 유전율, 및 1.0 내지 7.0의 경도 및 4.0 내지 40.0의 탄성 계수와 같은 기계적 성질을 갖는 기공을 갖는 막이 본원에 기술된다. 특정 구체예에서, 막은 X-선 광 분광법(XPS)에 의해 측정되는 경우 보다 높은 탄소 함량(10-40%)을 포함하고, XPS 깊이 프로파일링에 의해 결정되는 탄소 함량을 조사하여 측정되는 경우, 예를 들어, O2 또는 NH3 플라즈마로의 노출시 감소된 탄소 제거 깊이를 나타낸다.
일 양태에서, 하기 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 유전체 막의 기상 증착을 위한 조성물로서, 화합물은 할라이드 및 물로 이루어진 군으로부터 선택된 하나 이상의 불순물을 실질적으로 함유하지 않는 조성물이 제공된다:
Figure 112020031897431-pct00001
상기 식에서, X 및 Y는 독립적으로 OR1, OR2, 및 OC(O)R3으로 이루어진 군으로부터 선택되고; R1-3은 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 사이클릭 알킬 기, C3 내지 C10 헤테로-사이클릭 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되고; R4는 Si 원자를 갖는 4원, 5원, 또는 6원 포화 사이클릭 고리를 형성하는 C3 내지 C5 알킬 디-라디칼이다.
다른 양태에서, 다공성 유전체 막을 생성하기 위한 화학 기상 증착 방법으로서,
기판을 반응 챔버에 제공하는 단계;
기체 시약을 반응 챔버에 도입하는 단계로서, 기체 시약은 하기 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 구조 형성 전구체, 및 임의로 적어도 하나의 산소 공급원을 포함하는 단계:
Figure 112020031897431-pct00002
(상기 식에서, X 및 Y는 독립적으로 OR1, OR2, 및 OC(O)R3으로 이루어진 군으로부터 선택되고; R1-3은 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 사이클릭 알킬 기, C3 내지 C10 헤테로-사이클릭 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되고; R4는 Si 원자와 함께 4원, 5원, 또는 6원 포화 사이클릭 고리를 형성하는 C3 내지 C5 알킬 디-라디칼임);
기체 시약의 반응을 유도하도록 반응 챔버 내 기체 시약에 에너지를 인가하여 기판 상에 예비 막을 증착시키는 단계로서, 예비 막이 포로겐을 함유하는 단계; 및 예비 막으로부터 실질적으로 모든 포로겐을 제거하여 기공 및 3.2 미만의 유전율을 갖는 다공성 막을 제공하는 단계를 포함하는 방법이 제공된다. 특정 구체예에서, 구조 형성 전구체는 경화 첨가제(hardening additive)를 추가로 포함한다.
또 다른 양태에서, 다공성 유전체 막을 생성하기 위한 화학 기상 증착 방법으로서,
기판을 반응 챔버에 제공하는 단계;
기체 시약을 반응 챔버에 제공하는 단계로서, 기체 시약은 하기 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 구조 형성 전구체, 및 임의로 적어도 하나의 산소 공급원 및 임의로 적어도 하나의 포로겐을 포함하는 단계:
Figure 112020031897431-pct00003
(상기 식에서, X 및 Y는 독립적으로 OR1, OR2, 및 OC(O)R3으로 이루어진 군으로부터 선택되고; R1-3은 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 사이클릭 알킬 기, C3 내지 C10 헤테로-사이클릭 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되고; R4는 Si 원자와 함께 4원, 5원, 또는 6원 포화 사이클릭 고리를 형성하는 C3 내지 C5 알킬 디-라디칼임); 및
기체 시약의 반응을 유도하도록 반응 챔버 내 기체 시약에 에너지를 인가하여 기판 상에 예비 막을 증착시키는 단계로서, 예비 막이 포로겐을 함유하는 단계; 및 예비 막으로부터 실질적으로 모든 포로겐을 제거하여 기공 및 3.2 미만의 유전율을 갖는 다공성 막을 제공하는 단계를 포함하는 방법이 제공된다. 특정 구체예에서, 구조 형성 전구체는 경화 첨가제를 추가로 포함한다.
본 발명의 구체예 및 특징은 단독으로 또는 서로 조합하여 사용될 수 있다.
발명의 상세한 설명
다공성 저 k 유전체 막을 생성하기 위한 화학 기상 증착(CVD) 방법으로서, 기판을 반응 챔버 내에 제공하는 단계; 반응 챔버에 예를 들어, 1,1-디메톡시-1-실라사이클로펜탄 또는 1,1-디에톡시-1-실라사이클로펜탄과 같은 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 적어도 하나의 구조 형성 전구체, 및 포로겐을 포함하는 기체 시약을 도입하는 단계; 기체 시약의 반응을 유도하도록 반응 챔버 내 기체 시약에 에너지를 인가하여 기판 상에 예비 막을 증착시키는 단계로서, 예비 막이 포로겐 및 유기실리케이트 유리를 함유하는 단계; 및 예비 막으로부터 실질적으로 모든 포로겐을 제거하여 기공 및 3.2 미만의 유전율을 갖는 다공성 막을 제공하는 단계를 포함하는 방법이 본원에 기술된다.
본원에 기술되는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물은 디에톡시메틸실란(DEMS)과 같은 종래 기술의 구조 형성 전구체와 비교하여 유전체 막의 기계적 성질에 적은 영향을 미치면서 유전체 막에 더 많은 탄소 함량을 포함하게 할 수 있는 독특한 특성을 제공한다. 예를 들어, DEMS는 반응성 자리의 균형을 제공하고 요망하는 유전율을 유지하면서 보다 기계적으로 견고한 막을 형성하도록 하는 두 개의 알콕시 기, 하나의 메틸 및 하나의 하이드라이드를 갖는 DEMS의 혼합 리간드 시스템을 제공한다. 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물의 사용은 기계적 강도를 저하시키는 경향이 있는 말단 메틸기가 전구체에 없고, 실라사이클릭 고리의 탄소가 OSG 막에 탄소를 제공하여 유전율을 낮추고 소수성을 부여하는 이점을 제공한다.
저 k 유전체 막은 유기실리카 유리("OSG") 막 또는 물질이다. 유기실리케이트는 저 k 물질에 대한 후보지만, 이들 물질에 다공성을 추가하기 위해 포로겐을 첨가하지 않으면, 이의 고유 유전율은 3.2와 같이 낮게 제한된다. 공극 공간이 1.0의 고유 유전율을 갖는 다공성의 추가는 일반적으로 기계적 성질의 희생으로 막의 전체 유전율을 감소시킨다. 물질 성질은 막의 화학적 조성 및 구조에 의존한다. 유기실리콘 전구체의 유형은 막 구조 및 조성에 강한 영향을 미치기 때문에, 요망하는 유전율에 도달하는데 필요한 양의 다공성의 첨가가 기계적으로 부적절한 막을 생성하지 않도록 하는 필요한 막 성질을 제공하는 전구체를 사용하는 것이 유리하다. 본원에 기술된 방법 및 조성물은 전기적 및 기계적 성질의 바람직한 균형 뿐만 아니라 개선된 통합 플라즈마 저항성을 제공하기 위한 높은 탄소 함량과 같은 다른 유리한 막 성질을 갖는 다공성 저 k 유전체 막을 생성하는 수단을 제공한다.
본원에 기술된 방법 및 조성물의 특정 구체예에서, 실리콘-함유 유전체 물질의 층은 반응 챔버를 사용하는 화학 기상 증착(CVD) 공정을 통해 적어도 일부의 기판 상에 증착된다. 적합한 기판은 반도체 물질, 예컨대, 갈륨 아르세나이드("GaAs"), 및 실리콘, 및 실리콘을 함유하는 조성물, 예컨대, 결정질 실리콘, 폴리실리콘, 비정질 실리콘, 에피택셜 실리콘, 실리콘 디옥사이드("SiO2"), 실리콘 유리, 실리콘 니트라이드, 융융 실리카, 유리, 석영, 보로실리케이트 유리, 및 이들의 조합물을 포함하나, 이로 제한되지 않는다. 다른 적합한 물질은 크롬, 몰리브덴, 및 반도체, 집적 회로, 평판 디스플레이 및 플렉서블 디스플레이 적용에 일반적으로 사용되는 다른 금속을 포함한다. 기판은 예를 들어, 실리콘, SiO2, 유기실리케이트 유리(OSG), 플루오르화 실리케이트 유리(FSG), 보론 카본니트라이드, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 보로니트라이드, 유기-무기 복합 물질, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질 및 복합물, 금속 산화물, 예컨대 알루미늄 옥사이드 및 게르마늄옥사이드와 같은 추가 층을 가질 수 있다. 추가 층은 또한 게르마노실리케이트, 알루미노실리케이트, 구리 및 알루미늄, 및 확산 배리어 물질, 예컨대, 비제한적으로 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, 또는 WN일 수 있다.
특정 구체예에서, 실리콘-함유 유전체 물질의 층은 포로겐 전구체와 함께 또는 포로겐 전구체없이 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 적어도 하나의 구조 형성 전구체를 포함하는 기체 시약을 반응 챔버에 도입함으로써 적어도 일부의 기판 상에 증착된다. 다른 구체예에서, 실리콘-함유 유전체 물질의 층은 경화 첨가제와 함께 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 적어도 하나의 구조 형성 전구체를 포함하는 기체 시약을 반응 챔버에 도입함으로써 적어도 일부의 기판 상에 증착된다.
본원에 기술된 방법 및 조성물은 하기 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 사용한다:
Figure 112020031897431-pct00004
상기 식에서, X 및 Y는 독립적으로 OR1, OR2, 및 OC(O)R3으로 이루어진 군으로부터 선택되고; R1-3은 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 사이클릭 알킬 기, C3 내지 C10 헤테로-사이클릭 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되고; R4는 Si 원자와 함께 4원, 5원, 또는 6원 포화 사이클릭 고리를 형성하는 C3 내지 C5 알킬 디-라디칼이다.
상기 화학식에서, 그리고 본 명세서 전반에 걸쳐, 용어 "알킬"은 1 내지 10개의 탄소 원자를 갖는 선형 또는 분지형 작용기를 나타낸다. 예시적인 선형 알킬 기는 메틸, 에틸, n-프로필, 부틸, 펜틸 및 헥실 기를 포함하나 이로 제한되지 않는다. 예시적인 분지형 알킬 기는 이소-프로필, 이소-부틸, 2차-부틸, 3차-부틸, 이소-펜틸, 3차-펜틸, 이소-헥실, 및 네오-헥실을 포함하나 이로 제한되지 않는다. 특정 구체예에서, 알킬 기는 그것에 부착되는, 알콕시 기, 예컨대 메톡시, 에톡시, 이소-프로폭시 및 n-프로폭시, 디알킬아미노 기, 예컨대 디메틸아미노, 또는 이들의 조합과 같은, 그러나 이로 제한되지 않는 하나 이상의 작용 기를 가질 수 있다. 다른 구체예에서, 알킬 기는 그것에 부착되는 하나 이상의 작용기가 갖지 않을 수 있다. 알킬 기는 포화되거나, 대안적으로 불포화될 수 있다.
상기 화학식(I)에서, 그리고 본 명세서 전반에 걸쳐, 용어 "사이클릭 알킬"은 3 내지 10개의 탄소 원자를 갖는 사이클릭 작용 기를 나타낸다. 예시적인 사이클릭 알킬 기는 사이클로부틸, 사이클로펜틸, 사이클로헥실, 및 사이클로옥틸 기를 포함하나, 이로 제한되지 않는다.
상기 화학식(I)에서, 그리고 본 명세서 전반에 걸쳐, 용어 "헤테로-사이클릭"은 C3 내지 C10 헤테로-사이클릭 알킬 기, 예컨대 에폭시 기를 나타낸다.
상기 화학식(I)에서, 그리고 본 명세서 전반에 걸쳐, 용어 "알케닐 기"는 하나 이상의 탄소-탄소 이중 결합을 갖고 2 내지 10개 또는 2 내지 6개의 탄소 원자를 갖는 기를 나타낸다.
상기 화학식(I)에서, 그리고 본 명세서 전반에 걸쳐, 용어 "알키닐 기"는 하나 이상의 탄소-탄소 삼중 결합을 갖고 3 내지 10개 또는 2 내지 10개 또는 2 내지 6개의 탄소 원자를 갖는 기를 나타낸다.
상기 화학식(I)에서, 그리고 본 명세서 전반에 걸쳐, 용어 "아릴"은 5 내지 10개의 탄소 원자, 또는 6 내지 10개의 탄소 원자를 갖는 방향족 사이클릭 작용 기를 나타낸다. 예시적인 아릴 기는 페닐, 벤질, 클로로벤질, 톨릴 및 o-자일릴을 포함하나, 이로 제한되지 않는다.
상기 화학식(I)에서, 그리고 본 명세서 전반에 걸쳐, 용어 "헤테로-아릴"은 C3 내지 C10 헤테로-사이클릭 아릴 기, 1,2,3-트리아졸릴, 피롤릴 및 푸라닐을 나타낸다.
특정 구체예에서, 화학식(I)의 하나 이상의 기재된 화학적 모이어티, 또는 그외의 것은 "비치환" 또는 "치환"될 수 있다. 본원에 사용된 "치환된" 원자 또는 모이어티는, 지정된 화합물 또는 모이어티의 정상 원자가가 초과되지 않고, 치환이 안정한 화합물을 형성하는 한, 지정된 화합물 또는 모이어티 상의 임의의 수소 원자는 지시된 치환기로부터 선택된 것으로 치환될 수 있음을 나타낸다. 예를 들어, 메틸 기가 임의로 치환되는 경우, 메틸 기내 탄소 원자 상의 1, 2 또는 3개의 수소 원자가 1, 2 또는 3개의 언급된 치환기로 치환될 수 있다.
상기 화학식(I)에서, 치환기 R4는 Si 원자와 함께 4원, 5원, 또는 6원 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼이다. 당업자들이 이해하는 바와 같이, R4는 함께 Si 원자로 연결되어 화학식(I)의 고리를 형성하는 치환된 또는 비치환된 탄화수소 사슬이며, 여기서 고리는 4원, 5원 또는 6원 고리이다. 이들 구체 예에서, 고리 구조는 예를 들어 사이클릭 알킬 고리와 같은 포화 고리일 수 있다. 예시적인 포화 고리는 실라사이클로부탄, 실라사이클로펜탄 및 실라사이클로 헥산, 바람직하게는 실라사이클로펜탄 또는 알킬, 예컨대 메틸 치환된 실라사이클로펜탄을 포함하나, 이로 제한되지 않는다.
본 명세서 전반에 걸쳐, 용어 "알콕시실라사이클릭"은 적어도 하나의 Si-알콕시 기, 및 하나의 실리콘 원자를 가지며 탄소-탄소 이중 결합을 갖지 않는 하나의 사이클릭 고리를 갖는 화합물을 지칭한다.
본 명세서 전반에 걸쳐, 용어 "아실옥시실라사이클릭"은 하나 이상의 Si-아실옥시 기, 및 하나의 실리콘 원자를 가지며 탄소-탄소 이중 결합을 갖지 않는 하나의 사이클릭 고리를 갖는 화합물을 지칭한다.
본 명세서 전반에 걸쳐, 용어 "산소 공급원"은 산소(O2)를 포함하는 기체, 산소와 헬륨의 혼합물, 산소와 아르곤의 혼합물, 아산화질소(nitrous oxid)(N2O), 산화질소(nitric oxide)(NO), 이산화탄소, 일산화탄소, 및 이들의 조합물을 지칭한다.
본 명세서 전반에 걸쳐, 용어 "유전체 막"은 SivOwCxHyFz(여기서, v+w+x+y+z = 100%이고, v는 10 내지 35 원자%이고, w는 10 내지 65 원자%이고, x는 5 내지 40 원자%이고, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%임)의 조성을 갖는 실리콘 및 산소 원자를 포함하는 막을 지칭한다.
화학식(I)의 특정 구체예에서, R1은 메틸, 및 에틸로 이루어진 군으로부터 선택되고, R2는 메틸, 에틸 및 이소프로필로 이루어진 군으로부터 선택되고, R4는 Si 원자와 함께, 4원, 5원, 또는 6원 포화 사이클릭 고리를 형성한다. 일부 구체예에서, Si 원자와 함께, 4원, 5원 또는 6원 포화 사이클릭 고리는 고리 구조 상에 메틸기와 같은 적어도 하나의 알킬 치환기를 가질 수 있다. 이들 구체예의 예는 다음과 같다:
Figure 112020031897431-pct00005
Figure 112020031897431-pct00006
Figure 112020031897431-pct00007
일부 구체예에서, 본원에 기술된 조성물 및 방법은 하기 구조를 갖는 구조 형성 전구체로서, 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물, 1,1-디메톡시실라사이클로펜탄(DMSCP) 또는 1,1-디에톡시실라사이클로펜탄(DESCP) 또는 1,1-디아세톡시-1-실라사이클로펜탄을 사용한다:
Figure 112020031897431-pct00008
본원에 기술되는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물 및 이를 포함하는 방법 및 조성물은 바람직하게는 하나 이상의 불순물, 예컨대, 비제한적으로 할라이드 이온 및 물을 실질적으로 함유하지 않는다. 각각의 불순물과 관련하여 본원에서 사용되는 용어 "실질적으로 함유하지 않는"은 각각의 불순물, 예컨대, 비제한적으로 클로라이드 또는 물이 100 ppm(parts per million) 이하, 50 ppm 이하, 10 ppm 이하 및 5 ppm 이하, 1 ppm 이하임을 의미한다.
일부 구체예에서, 본 발명에 따른 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물 및 본 발명에 따른 화학식(I)을 갖는 화합물을 포함하는 조성물은 바람직하게는 할라이드 이온을 실질적으로 함유하지 않는다. 예를 들어, 클로라이드(즉, 클로라이드 함유 종, 예컨대 HCl 또는 적어도 하나의 Si-Cl 결합을 갖는 실리콘 화합물, 예컨대 실리콘 테트라클로라이드, 디클로로디에톡시실란) 및 플루오라이드, 브로마이드 및 아이오다이드와 같은 할라이드 이온(또는 할라이드)과 관련하여 본원에서 사용되는 용어 "실질적으로 함유하지 않는"은 ICP-MS에 의해 측정된 5 ppm(중량 기준) 미만, 바람직하게는 ICP-MS에 의해 측정된 3 ppm 미만, 및 더욱 바람직하게는 ICP-MS에 의해 측정된 1 ppm 미만, 및 가장 바람직하게는 ICP-MS에 의해 측정된 0 ppm을 의미한다. 클로라이드는 화학식(I)을 갖는 화합물의 분해 촉매로서 작용하는 것으로 알려져 있다. 최종 생성물에서 유의한 수준의 클로라이드는 실리콘 전구체 화합물을 분해시킬 수 있다. 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물의 점진적인 분해는 막 증착 공정에 직접적으로 영향을 미쳐 반도체 제조업체가 막 사양을 충족시키기 어렵게 할 수 있다. 또한, 저장 수명 또는 안정성이 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물의 보다 높은 분해율에 의해 부정적인 영향을 받음으로써 1-2년의 저장 수명을 보장하기 어렵다. 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물은 바람직하게는 Li+, Na+, K+, Mg2+, Ca2+, Al3+, Fe2+, Fe2+, Fe3+, Ni2+, Cr3+와 같은 금속 이온을 실질적으로 함유하지 않는다. Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr과 관련하여 본원에서 사용되는 용어 금속 불순물을 "실질적으로 함유하지 않는"은 ICP-MS에 의해 측정되는 경우 5 ppm(중량 기준) 미만, 바람직하게는 3 ppm 미만, 및 더욱 바람직하게는 1 ppm 미만, 및 가장 바람직하게는 0.1 ppm을 의미한다. 일부 구체예에서, 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물은 Li+, Na+, K+, Mg2+, Ca2+, Al3+, Fe2+, Fe2+, Fe3+, Ni2+, Cr3+와 같은 금속 이온을 함유하지 않는다. Li, Na, K, Mg, Ca, Al, Fe, Ni, Cr, 귀금속, 예컨대 합성에 사용되는 루테늄 또는 백금 촉매의 휘발성 Ru 또는 Pt 착물과 관련하여 본원에서 사용되는 용어 금속 불순물을 "함유하지 않는"은 ICP-MS 또는 금속을 측정하기 위한 다른 분석 방법에 의해 측정되는 경우, 1 ppm 미만, 바람직하게는 0.1 ppm(중량 기준)을 의미한다.
할라이드를 실질적으로 함유하지 않는 본 발명에 따른 조성물은 (1) 화학적 합성 동안 클로라이드 공급원을 감소 또는 제거하고/거나 (2) 효과적인 정제 공정을 수행하여 최종 정제된 생성물이 클로라이드를 실질적으로 함유하지 않도록 미정제 생성물로부터 클로라이드를 제거함으로써 달성될 수 있다. 클로라이드 공급원은 합성 동안 할라이드, 예컨대 클로로디실란, 브로모디실란, 또는 아이오도디실란을 함유하지 않는 시약을 사용하여 감소되고, 이로써 할라이드 이온을 함유하는 부산물의 생성을 피할 수 있다. 또한, 상기 언급된 시약은 형성되는 미정제 생성물이 클로라이드 불순물을 실질적으로 함유하지 않도록 클로라이드 불순물을 실질적으로 함유하지 않아야 한다. 유사한 방식으로, 합성은 허용할 수 없을 정도로 높은 할라이드 오염 수준을 함유하는 할라이드 기반 용매, 촉매 또는 용매를 사용해서는 안된다. 미정제 생성물은 또한 최종 생성물이 할라이드, 예컨대 클로라이드를 실질적으로 함유하지 않도록 다양한 정제 방법으로 처리될 수 있다. 이러한 방법은 종래 기술에 잘 기술되어 있으며, 정제 공정, 예컨대 증류 또는 흡착을 포함할 수 있으나, 이로 제한되지 않는다. 비점의 차이를 이용하여 요망하는 생성물로부터 불순물을 분리하기 위해 증류가 일반적으로 사용된다. 흡착은 또한 최종 생성물이 할라이드를 실질적으로 함유하지 않도록 분리를 수행하기 위해 성분의 차등 흡착 성질을 이용하기 위해 사용될 수 있다. 예를 들어, 상업적으로 입수 가능한 MgO-Al2O3 블렌드와 같은 흡착제가 할라이드, 예컨대 클로라이드를 제거하기 위해 사용될 수 있다.
예를 들어 DEMS와 같은 종래 기술의 실리콘-함유 구조 형성 전구체는 반응 챔버에서 활성화되면 중합되어 폴리머 골격에 -O- 연결(예를 들어, -Si-O-Si- 또는 -Si-O-C-)을 갖는 구조를 형성하지만, 예를 들어, MESCAP 분자와 같은 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물은 중합되어 골격의 일부 -O- 브릿지가 -CH2-메틸렌 또는 -CH2CH2-에틸렌 브릿지(들)로 치환되는 구조를 형성하는 것으로 여겨진다. 탄소가 주로 말단 Si-Me 기의 형태로 존재하는 구조 형성 전구체로서 DEMS를 사용하여 증착된 막에서는, 브리징 Si-O-Si 기를 2개의 말단 Si-Me 기로 치환하는 것은, 네트워크 구조가 파괴되기 때문에 기계적 성질을 감소시키는, %Si-Me(%C와 직접 관련됨) 대 기계적 강도 간의 관련성이 있다. 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물의 경우, 사이클릭 구조는 막 증착 또는 경화 공정 동안(초기 증착 상태의 막에 함유된 포로겐 전구체의 적어도 일부 또는 실질적으로 전부를 제거하기 위해) 파괴되어 SiCH2Si 또는 SiCH2CH2Si 브리징 기를 형성하는 것으로 여겨진다. 이러한 방식으로, 브릿징 기의 형태로 탄소를 포함할 수 있어, 기계적 강도 관점에서, 막 내의 탄소 함량을 증가시킴으로써 네트워크 구조가 파괴되지 않는다. 특정 이론에 결부되고자 하지 않고, 이 특성은 막에 탄소를 첨가하여 막의 에칭, 포토레지스트의 플라즈마 애싱, 및 구리 표면의 NH3 플라즈마 처리와 같은 공정으로부터 다공성 OSG 막의 탄소 고갈에 대해 막을 보다 탄력있게 하는 것으로 여겨진다. OSG 막에서의 탄소 고갈은 막의 결함 유전율 뿐만 아니라 습식 세정 단계 동안 막 에칭 및 피처 보잉 문제, 및/또는 구리 확산 배리어를 증착할 때의 통합 문제의 증가를 야기할 수 있다.
본원에 기술된 유전체 막을 증착하기 위한 조성물은 약 5 내지 약 60 중량%의 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물(들)을 포함하는 구조 형성 전구체; 및 약 40 내지 약 95 중량%의 포로겐 전구체의 성질에 따른 포로겐 전구체를 포함한다.
본원에 포함된 방법 및 조성물의 특정 구체예에서, 구조 형성 전구체는 R1 nSi(OR2)4-n의 화학식(여기서, R1 및 R2는 상기와 같이 정의되고 n = 0, 1, 2, 3임) 을 갖는 경화 첨가제를 추가로 포함하며, 이는 기계적 강도가 증가시킬 것이다. 경화 첨가제의 예는 예를 들어 테트라에톡시실란(TEOS) 또는 테트라메톡시실란(TMOS)과 같은 R1 nSi(OR2)4-n에서 n이 0인 테트라알콕시실란을 포함한다. 경화 첨가제의 다른 예는 디알킬디알콕시실란, 예컨대 디메틸디메톡시실란, 디메틸디에톡시실란을 포함한다. 경화 첨가제가 사용되는 구체예에서, 구조 형성 부분의 조성물은 약 30 내지 약 95 중량%의, 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물(들)을 포함하는 구조 형성 전구체; 약 5 내지 약 70 중량%의 경화 첨가제; 및 전체 전구체 흐름의 약 40 내지 약 95 중량%의 포로겐 전구체를 포함한다.
전술한 바와 같이, 기체 시약은 예를 들어, 1,1-디메톡시-1-실라사이클로펜탄과 같은 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 적어도 하나의 구조 형성 전구체와 함께 반응 챔버에 도입되는 하나 이상의 포로겐 전구체를 추가로 포함한다. 다음은 본 발명에 따라 사용하기 위한 포로겐으로서 사용하기에 적합한 물질의 비제한적 예이다:
1) 일반식 CnH2n(여기서, n = 4 - 14임)의 사이클릭 탄화수소로서, 사이클릭 구조의 탄소 수는 4 내지 10이고, 사이클릭 구조 상에 치환된 복수의 단순 또는 분지형 탄화수소가 존재할 수 있는(즉, 임의로), 사이클릭 탄화수소.
예로는 사이클로헥산, 1,2,4-트리메틸사이클로헥산, 1-메틸-4-(1-메틸에틸)사이클로헥산, 사이클로옥탄, 메틸사이클로옥탄, 메틸사이클로헥산, 등을 포함한다.
2) 일반식 CnH(2n+2)-2y(여기서 n = 2-20이고, y = 0-n임)의 선형 또는 분지형, 포화, 단일 또는 다중 불포화 탄화수소.
예로는 에틸렌, 프로필렌, 아세틸렌, 네오헥산, 1,3-부타디엔, 2-메틸-1,3-부타디엔, 2,3-디메틸-2,3-부타디엔, 치환된 디엔 등을 포함한다.
3) 일반식 CnH2n-2x(여기서, x는 분자의 불포화 자리 수이고, n = 4-14임)의 단일 또는 다중 불포화 사이클릭 탄화수소로서, 사이클릭 구조의 탄소 수는 4 내지 10이고, 사이클릭 구조 상에 치환된 복수의 단순 또는 분지형 탄화수소가 존재할 수 있는, 단일 또는 다중 불포화 사이클릭 탄화수소. 불포화는 엔도사이클릭 내부 또는 사이클릭 구조에 대한 탄화수소 치환기 중 하나에 위치할 수 있다.
예로는 파라-시멘, 사이클로옥텐, 1,5-사이클로옥타디엔, 디메틸-사이클로옥타디엔, 사이클로헥센, 비닐-사이클로헥산, 디메틸사이클로헥센, 알파-테르피넨, 피넨, 리모넨, 비닐-사이클로헥센 등을 포함한다.
4) 일반식 CnH2n-2(여기서, n = 4-14임)의 바이사이클릭 탄화수소로서, 바이사이클릭 구조의 탄소 수는 4 내지 12이고, 사이클릭 구조 상에 치환된 복수의 단순 또는 분지형 탄화수소가 존재할 수 있는, 바이사이클릭 탄화수소.
예로는 노르보르난, 스피로-노난, 데카하이드로나프탈렌 등을 포함한다.
5) 일반식 CnH2n-(2+2x)(여기서, x는 분자의 불포화 자리 수이고, n = 4-14임)의 다중 불포화 바이사이클릭 탄화수소로서, 바이사이클릭 구조의 탄소 수는 4 내지 12이고, 사이클릭 구조 상에 치환된 복수의 단순 또는 분지형 탄화수소가 존재할 수 있는, 바이사이클릭 탄화수소. 불포화는 엔도사이클릭 내부에 또는 사이클릭 구조에 대한 탄화수소 치환기 중 하나에 위치할 수 있다.
예로는, 캄펜, 노르보르넨, 노르보르나디엔, 5-에틸리덴-2-노르보르넨 등을 포함한다.
6) 일반식 CnH2n-4(여기서, n = 4-14임)의 트리사이클릭 탄화수소로서, 트리사이클릭 구조의 탄소 수는 4 내지 12이고, 사이클릭 구조 상에 치환된 복수의 단순 또는 분지형 탄화수소가 존재할 수 있는, 트리사이클릭 탄화수소.
예로는 아다만탄을 포함한다.
어구 "기체 시약"이 본원에서 때때로 시약을 기술하기 위해 사용되지만, 이 어구는 기체로서 반응기로 직접 전달되고/거나, 기화된 액체, 승화된 고체로서 전달되고/거나 불활성 운반 기체에 의해 반응기 내로 전달되는 시약을 포함하는 것으로 의도된다.
또한, 시약은 별개의 공급원과 별도로 또는 혼합물로서 반응기 내로 운반될 수 있다. 시약은 반응기 시스템으로, 임의의 수의 수단에 의해, 바람직하게는 공정 반응기로의 액체의 전달을 가능하게 하는 적절한 밸브 및 피팅이 장착된 가압 가능한 스테인리스 스틸 용기를 사용하여 전달될 수 있다.
구조 형성 종 및 기공 형성 종 이외에, 증착 반응 전, 동안 및/또는 후에 추가 물질이 반응 챔버로 임의로 도입될 수 있다. 이러한 물질은, 예를 들어, 불활성 기체(예를 들어, 덜 휘발성 전구체를 위한 운반 기체로서 사용될 수 있고/거나 초기 증착 상태 물질의 경화를 촉진하고 보다 안정한 최종 막을 제공할 수 있는, He, Ar, N2, Kr, Xe 등), 및 반응성 물질, 예컨대 산소 함유 종, 예컨대, 이를테면, O2, O3 및 N2O, 기체 또는 액체 유기 물질, NH3, H2, CO2 또는 CO를 포함한다. 일 특정 구체예에서, 반응 챔버에 도입되는 반응 혼합물은 O2, N2O, NO, NO2, CO2, 물, H2O2, 오존, 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 산화제를 포함한다. 대안적인 구체예에서, 반응 혼합물은 산화제를 포함하지 않는다.
기체가 반응하여 기판 상에 막을 형성하도록 유도하기 위해 에너지가 기체 시약에 인가된다. 이러한 에너지는 예를 들어 플라즈마, 펄스 플라즈마, 헬리콘 플라즈마, 고밀도 플라즈마, 유도 결합 플라즈마, 원격 플라즈마, 고온 필라멘트 및 열적(즉, 비-필라멘트) 방법에 의해 제공될 수 있다. 2차 rf 주파수원이 기판 표면에서 플라즈마 특성을 수정하는데 사용될 수 있다. 바람직하게는, 막은 플라즈마 강화 화학 기상 증착(plasma enhanced chemical vapor deposition)("PECVD")에 의해 형성된다.
각각의 기체 시약에 대한 유량은 단일 200mm 웨이퍼 당 바람직하게는 10 내지 5000 sccm, 보다 바람직하게는 30 내지 1000 sccm의 범위이다. 개별 유량은 막에 요망하는 양의 구조 형성 및 포로겐을 제공하도록 선택된다. 필요한 실제 유량은 웨이퍼 크기 및 챔버 구성에 의존할 수 있으며, 200mm 웨이퍼 또는 단일 웨이퍼 챔버로 제한되지 않는다.
일부 구체예에서, 막은 분당 약 50 나노미터(nm)의 증착 속도로 증착된다.
증착 동안 반응 챔버 내의 압력은 약 0.01 내지 약 600 torr 또는 약 1 내지 15 torr의 범위이다.
막은 바람직하게는 0.002 내지 10 미크론의 두께로 증착되지만, 두께는 필요에 따라 변할 수 있다. 패턴화되지 않은 표면에 증착된 블랭킷(blanket) 막은 균일성이 우수하며, 합당한 에지 배제로 기판을 가로지르는 1 표준 편차에 대해 2% 미만의 두께 변화가 있으며, 이때 예를 들어 기판의 5mm 최외곽 에지는 균일성 통계 계산에 포함되지 않는다.
막의 다공성이 증가되고, 벌크 밀도가 상응하게 감소되어 물질의 유전율을 더욱 감소하게 하고 이 물질의 적용성을 다음 세대로 확장할 수 있다(예를 들어, k <2.0).
전술한 바와 같이, 초기 증착 상태의 막에 함유된 실질적으로 모든 포로겐 전구체에 대해 적어도 일부의 포로겐 전구체가 후속 제거 단계에서 제거된다. 포로겐 전구체의 제거는 열 처리, 자외선 처리, 전자 빔 처리, 감마 방사선 처리 및 이들의 조합 중 하나 이상의 처리에 의해 수행된다. 일 특정 구체예에서, 포로겐 제거 단계는 UV 처리 단계, 열 처리 단계 또는 이들의 조합에 의해 수행된다. 후자의 구체예에서, UV 처리 단계는 적어도 일부의 열 처리 동안 발생한다.
초기 증착 상태의 막 내에 함유된 실질적으로 모든 포로겐에 대해 적어도 일부의 제거는, 어닐링된 다공성 OSG와 포로겐이 첨가되지 않은 유사한 OSG 간에 원자 조성에서의 통계적으로 유의한 측정된 차이가 존재하지 않는 경우에 가정된다. 초기 증착 상태의 막에서의 포로겐 전구체의 제거에 관련하여 본원에서 사용되는 용어 "실질적으로 함유하지 않는"은 XPS 또는 다른 수단에 의해 측정되는 경우 약 2% 이하, 또는 약 1% 이하, 또는 약 50 ppm 이하 또는 약 10 ppm의 이하 또는 약 5 ppm 이하의 포로겐을 의미한다. 조성에 대한 분석 방법(예를 들어, X-선 광전자 분광법(XPS), 러더포드 후방산란/수소 전방 산란(Rutherford Backscattering/Hydroogen Forward Scattering)(RBS/HFS)) 및 공정 변동성에 대한 분석 방법의 고유한 측정 오류 둘 모두는 데이터 범위에 기여한다. XPS의 경우, 고유한 측정 오류는 대략 +/- 2 원자%이고, RBS/HFS의 경우, 이는 종에 따라 +/- 2 ~ 5 원자% 범위로, 더 클 것으로 예상된다. 공정 변동성은 데이터의 최종 범위에 +/- 2 원자% 더 기여한다.
본 발명의 바람직한 구체예는, 당업계에 공지된 다른 구조 형성 전구체를 사용하여 증착된 다른 다공성 저 k 유전체 막에 비해 유전율이 낮고 기계적 성질, 열 안정성 및 화학적 저항성(산소, 수성 산화 환경 등에 대한)이 개선된 박막 물질을 제공한다. 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭(들)을 포함하는 본원에 기재된 구조 형성 전구체는 탄소 막(바람직하게는 주로 유기 탄소, -CHx(여기서, x는 1 내지 3임)의 형태)에 보다 높은 탄소를 포함시키고, 이로써 막을 증착하는데 특정 전구체 또는 네트워크 형성 화학 물질이 사용된다. 특정 구체예에서, 막 내의 대부분의 수소가 탄소에 결합된다.
본원에 기술된 조성물 및 방법에 따라 증착된 저 k 유전체 막은 (a) 약 10 내지 약 35 원자%, 더욱 바람직하게는 약 20 내지 약 30 원자% 실리콘; (b) 약 10 내지 약 65 원자%, 더욱 바람직하게는 약 20 내지 약 45 원자% 산소; (c) 약 10 내지 약 50 원자%, 더욱 바람직하게는 약 15 내지 약 40 원자% 수소; (d) 약 5 내지 약 40 원자%, 더욱 바람직하게는 약 10 내지 약 45 원자% 탄소를 포함한다. 막은 또한 물질 성질 중 하나 이상을 개선시키기 위해 약 0.1 내지 약 15 원자%, 더욱 바람직하게는 약 0.5 내지 약 7.0 원자% 불소를 함유할 수 있다. 보다 적은 부분의 다른 요소가 또한 본 발명의 특정 막에 존재할 수 있다. OSG 물질은 이의 유전율이 업계에서 전통적으로 사용되는 표준 물질인 실리카의 유전율보다 낮기 때문에 저 k 물질인 것으로 간주된다. 본 발명의 물질은 기공 형성 종 또는 포로겐을 증착 과정에 첨가하고, 포로겐을 초기 증착 상태(즉, 예비)의 OSG 막에 포함시키고, 예비 막의 말단 Si-CH3 기 또는 브릿징 -(CH2)x-를 실질적으로 유지하면서 예비 막으로부터 실질적으로 모든 포로겐을 제거하여 생성 막을 제공함으로써 제공될 수 있다. 생성 막은 다공성 OSG이며, 예비 막의 유전율로부터 뿐만 아니라 포로겐없이 증착된 유사한 막으로부터 감소된 유전율을 갖는다. OSG의 유기 기에 의해 제공되는 소수성이 결여된 다공성 무기 SiO2와 대조적으로, 다공성 OSG로서 본 발명의 막을 구별하는 것이 중요하다.
예를 들어, CVD TEOS에 의해 제조된 실리카는 구 상당 직경(equivalent spherical diameter)이 약 0.6nm인 것으로 양전자 소멸 수명 분광법(positron annihilation lifetime spectroscopy)(PALS) 분석에 의해 결정된 고유의 자유 부피 공극 크기룰 갖는다. 소각 중성자 산란(small angle neutron scattering)(SANS) 또는 PALS에 의해 결정되는 경우 본 발명의 막의 기공 크기는 바람직하게는 구 상당 직경이 5 nm 미만, 더욱 바람직하게는 구 상당 직경이 2.5 nm 미만이다.
막의 총 다공성은 공정 조건 및 요망하는 최종 막 성질에 따라 5 내지 75%일 수 있다. 본 발명의 막은 바람직하게는 2.0 g/ml 미만, 또는 대안적으로 1.5 g/ml 미만 또는 1.25 g/ml 미만의 밀도를 갖는다. 바람직하게는, 본 발명의 막은 포로겐없이 제조된 유사한 OSG 막의 밀도보다 적어도 10% 낮은, 더욱 바람직하게는 적어도 20% 낮은 밀도를 갖는다.
막의 다공성은 막 전반에 걸쳐 균일할 필요는 없다. 특정 구체예에서, 다공성 구배 및/또는 다양한 다공성의 층들이 존재한다. 이러한 막은 예를 들어 증착 동안 포로겐 대 전구체의 비를 조정함으로써 제공될 수 있다.
본 발명의 막은 2.8 내지 3.8 범위의 유전율을 갖는 일반적인 OSG 물질에 비해 더 낮은 유전율을 갖는다. 바람직하게는, 본 발명의 막은 포로겐없이 생성된 유사한 OSG 막보다 적어도 0.3 낮은, 더욱 바람직하게는 적어도 0.5 낮은 유전율을 갖는다. 바람직하게는, 본 발명의 다공성 막의 푸리에 변환 적외선(FTIR) 스펙트럼은 임의의 포로겐 결여를 제외하고 본 방법과 실질적으로 동일한 공정에 의해 제조된 비교 막의 비교 FTIR과 실질적으로 동일하다.
본 발명의 막은 또한 무기 불소 형태(예를 들어, Si-F)의 불소를 함유할 수 있다. 불소는 존재하는 경우 바람직하게는 0.5 내지 7 원자% 범위의 양으로 함유된다.
본 발명의 막은 내화학성이 우수하면서 열적으로 안정하다. 특히, 어닐링 후 바람직한 막은 N2 하에 425℃에서 등온시 1.0 wt%/시간 미만의 평균 중량 손실을 갖는다. 또한, 막은 바람직하게는 공기 하에 425℃에서 등온시 1.0 wt%/시간 미만의 평균 중량 손실을 갖는다.
막은 다양한 용도에 적합하다. 막은 반도체 기판 상에 증착하기에 특히 적합하고, 예를 들어 절연 층, 층간 유전체 층 및/또는 금속간 유전체 층으로서 사용하기에 특히 적합하다. 막은 컨포멀 코팅(conformal coating)을 형성할 수 있다. 이들 막에 의해 나타나는 기계적 성질은 이들 막을 Al 감산 기술 및 Cu 다마신 또는 이중 다마신 기술에 사용하기에 특히 적합하게 한다.
막은 화학적 기계적 평탄화(chemical mechanical planarization)(CMP) 및 이방성 에칭과 양립 가능하고, 다양한 물질, 예컨대 실리콘, SiO2, Si3N4, OSG, FSG, 실리콘 카바이드, 수소화된 실리콘 카바이드, 실리콘 니트라이드, 수소화된 실리콘 니트라이드, 실리콘 카보니트라이드, 수소화된 실리콘 카보니트라이드, 보로니트라이드, 반사 방지 코팅, 포토레지스트, 유기 폴리머, 다공성 유기 및 무기 물질, 금속, 예컨대 구리 및 알루미늄, 및 확산 배리어 층, 예컨대, 비제한적으로 TiN, Ti(C)N, TaN, Ta(C)N, Ta, W, WN 또는 W(C)N에 부착할 수 있다. 막은 바람직하게는 ASTM D3359-95a 테이프 당김 테스트와 같은 종래의 당김 테스트를 통과하기에 충분히 상기 물질 중 적어도 하나에 부착할 수 있다. 샘플은, 식별 가능한 막 제거가 없다면 테스트를 통과한 것으로 간주된다.
따라서, 특정 구체예에서, 막은 집적 회로에서 절연 층, 층간 유전체 층, 금속간 유전체 층, 캡핑 층, 화학적 기계적 평탄화(CMP) 또는 에칭 정지 층, 배리어 층 또는 접착 층이다.
본원에 기술된 막은 균일하게 증착된 유전체 막이지만, 완전 통합 구조에 사용되는 막은 실제로 예를 들어, 바닥 또는 상단에 증착되는 포로겐을 거의 또는 전혀 포함하지 않는 얇은 층을 갖는 여러 개의 샌드위치된 층으로 이루어질 수 있거나, 층이 보다 낮은 포로겐 전구체 흐름 비가 존재하는 조건 하에서 증착될 수 있거나, 대안적으로 예를 들어, 층이 모든 포로겐 전구체가 UV 처리에 의해 제거될 수 없도록 보다 높은 플라즈마 파워(power)로 증착될 수 있다. 이들 샌드위치 층은 예를 들어 접착성, 에칭 선택성 또는 일렉트로마이그레이션 성능(electromigration performance)과 같은 이차 통합 성질을 향상시키기 위해 이용될 수 있다.
본 발명이 막을 제공하는데 특히 적합하고 본 발명의 생성물이 본원에서 주로 막으로서 기술되지만, 본 발명은 이로 제한되지 않는다. 본 발명의 생성물은 CVD에 의해 증착될 수 있는 임의의 형태, 예컨대 코팅, 다층 조립체, 및 반드시 평면형이거나 얇은 것은 아닌 다른 유형의 대상물, 및 집적 회로에 반드시 사용되는 것은 아닌 다수의 대상물로 제공될 수 있다. 바람직하게는, 기판은 반도체이다.
본 발명의 OSG 생성물에 더하여, 본 개시는 생성물을 제조하는 방법, 생성물을 사용하는 방법 및 생성물을 제조하는데 유용한 화합물 및 조성물을 포함한다. 예를 들어, 반도체 디바이스 상에 집적 회로를 제조하는 공정은 미국 특허 제6,583,049호에 개시되어 있으며, 이는 본원에 참조로 포함된다.
증착된 막의 포로겐은 반응 챔버에 도입된 포로겐과 동일한 형태일 수도 있고 아닐 수도 있다. 또한, 포로겐 제거 공정은 막으로부터 포로겐 또는 이의 분획을 유리시킬 수 있다. 본질적으로, 포로겐 시약(또는 전구체에 부착된 포로겐 치환기), 예비 막의 포로겐 및 제거되는 포로겐은 모두 포로겐 시약(또는 포로겐 치환기)으로부터 유래하는 것이 바람직하지만, 이들은 동일한 종일 수도 있고 아닐 수도 있다. 포로겐이 본 발명의 공정 전반에 걸쳐 변하지 않는 지의 여부에 관계없이, 본원에 사용된 용어 "포로겐"은 본 발명의 전체 공정 전반에 걸쳐 발견되는 임의의 형태의, 기공 형성 시약(또는 기공 형성 치환기) 및 이들의 유도체를 포함하는 것으로 의도된다.
본 발명의 조성물은, 예를 들어 포로겐, 및 알콕시실라사이클릭 또는 아실옥시실라사이클릭 전구체를 공정 반응기에 전달할 수 있도록 적절한 밸브 및 피팅이 장착된 적어도 하나의 가압 가능한 용기(바람직하게는 스테인레스 스틸로 제조된)를 추가로 포함할 수 있다. 용기(들)의 내용물은 사전 혼합될 수 있다. 대안적으로, 포로겐 및 전구체는 저장 동안 포로겐 및 전구체를 별도로 유지하기 위한 분리 수단을 갖는 별도의 용기 또는 단일 용기에서 유지될 수 있다. 이러한 용기는 또한 요망되는 경우 포로겐과 전구체를 혼합하기 위한 수단을 가질 수 있다.
포로겐은 열적 어닐링, 화학적 처리, 인 시튜(in-situ) 또는 원격 플라즈마 처리, 광 경화(예를 들어, UV) 및/또는 마이크로웨이빙(microwaving)을 포함할 수 있는 경화 단계에 의해 예비(또는 초기 증착 상태) 막으로부터 제거된다. 경도, 안정성(수축, 공기 노출, 에칭, 습식 에칭 등에 대한), 무결성, 균일성 및 접착성과 같은 물질 특성을 향상시키기 위해 다른 인 시튜 또는 증착 후 처리가 사용될 수 있다. 이러한 처리는 포로겐 제거에 사용된 동일하거나 상이한 수단을 사용하여 포로겐 제거 전, 동안 및/또는 후에 막에 적용될 수 있다. 따라서, 본원에 사용되는 용어 "후 처리"는 포로겐을 제거하고, 임의로 물질 성질을 향상시키기 위해 에너지(예를 들어, 열, 플라즈마, 광자, 전자, 마이크로파 등) 또는 화학 물질로 막을처리하는 것을 의미한다.
후 처리가 수행되는 조건은 매우 다양할 수 있다. 예를 들어, 후 처리는 고압 하에 또는 진공 분위기 하에 수행될 수 있다.
UV 어닐링은 하기 조건 하에서 수행되는 바람직한 방법이다.
환경은 비활성(예를 들어, 질소, CO2, 비활성 기체(He, Ar, Ne, Kr, Xe) 등), 산화(예를 들어, 산소, 공기, 묽은 산소 환경, 풍부한 산소 환경, 오존, 아산화질소 등) 또는 환원(희석 또는 농축 수소, 탄화수소(포화, 불포화, 선형 또는 분지형, 방향족) 등)일 수 있다. 압력은 바람직하게는 약 1 Torr 내지 약 1000 Torr, 더욱 바람직하게는 대기압이다. 그러나, 열적 어닐링 및 임의의 다른 후 처리 수단을 위해 진공 분위기가 또한 가능하다. 온도는 바람직하게는 200 내지 500℃이고, 온도 상승률은 0.1 내지 100℃/분이다. 총 UV 어닐링 시간은 바람직하게는 0.01분 내지 12시간이다.
OSG 막의 화학적 처리는 다음 조건 하에서 수행된다.
플루오르화(HF, SIF4, NF3, F2, COF2, CO2F2 등), 산화(H2O2, O3 등), 화학적 건조, 메틸화 또는 최종 물질의 성질을 향상시키는 다른 화학 처리의 사용. 이러한 처리에 사용되는 화학 물질은 고체, 액체, 기체 및/또는 초임계 유체 상태일 수 있다.
유기실리케이트 막으로부터 포로겐의 선택적 제거를 위한 초임계 유체 후 처리는 다음 조건 하에서 수행된다.
유체는 이산화탄소, 물, 아산화질소, 에틸렌, SF6 및/또는 다른 유형의 화학 물질일 수 있다. 다른 화학 물질이 공정을 향상시키기 위해 초임계 유체에 첨가될 수 있다. 화학 물질은 비활성(예를 들어, 질소, CO2, 비활성 기체(He, Ar, Ne, Kr, Xe) 등), 산화(예를 들어, 산소, 오존, 아산화질소 등) 또는 환원(예를 들어, 희석 또는 농축 탄화수소, 수소, 수소를 포함하는 플라즈마 등)일 수 있다. 온도는 바람직하게는 주위 내지 500℃이다. 화학 물질은 또한 계면 활성제와 같은 보다 큰 화학 종을 포함할 수 있다. 총 노출 시간은 바람직하게는 0.01분 내지 12시간이다.
불안정한 기의 선택적 제거 및 OSG 막의 가능한 화학적 개질을 위한 플라즈마 처리는 다음 조건 하에서 수행된다.
환경은 비활성(예를 들어, 질소, CO2, 비활성 기체(He, Ar, Ne, Kr, Xe) 등), 산화(예를 들어, 산소, 공기, 묽은 산소 환경, 풍부한 산소 환경, 오존, 아산화질소 등) 또는 환원(예를 들어, 희석 또는 농축 수소, 탄화수소(포화, 불포화, 선형 또는 분지형, 방향족) 등)일 수 있다. 플라즈마 파워는 바람직하게는 0-5000W이다. 온도는 바람직하게는 주위 내지 500℃이다. 압력은 바람직하게는 10 mtorr 내지 대기압이다. 총 경화 시간은 바람직하게는 0.01분 내지 12시간이다.
유기실리케이트 막으로부터 포로겐의 선택적 제거를 위한 UV 경화는 다음 조건 하에서 수행된다.
환경은 비활성(예를 들어, 질소, CO2, 비활성 기체(He, Ar, Ne, Kr, Xe) 등), 산화(예를 들어, 산소, 공기, 묽은 산소 환경, 풍부한 산소 환경, 오존, 아산화질소 등) 또는 환원(예를 들어, 희석 또는 농축 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게는 주위 내지 500℃이다. 파워는 바람직하게는 0 내지 5000 W이다. 파장은 바람직하게는 IR, 가시선, UV 또는 원 UV(파장 < 200nm)이다. 총 UV 경화 시간은 바람직하게는 0.01분 내지 12시간이다.
유기실리케이트 막으로부터 포로겐의 선택적 제거를 위한 마이크로파 후 처리는 다음 조건 하에서 수행된다.
환경은 비활성(예를 들어, 질소, CO2, 비활성 기체(He, Ar, Ne, Kr, Xe) 등), 산화(예를 들어, 산소, 공기, 묽은 산소 환경, 풍부한 산소 환경, 오존, 아산화질소 등) 또는 환원(예를 들어, 희석 또는 농축 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게는 주위 내지 500℃이다. 파워 및 파장은 다양하고, 특정 결합에 따라 조정 가능하다. 총 경화 시간은 바람직하게는 0.01분 내지 12시간이다.
유기실리케리트 막으로부터 포로겐 또는 특정 화학 종의 선택적 제거 및/또는 막 성질의 개선을 위한 전자 빔 후 처리는 다음 조건 하에서 수행된다.
환경은 진공, 비활성(예를 들어, 질소, CO2, 비활성 기체(He, Ar, Ne, Kr, Xe) 등), 산화(예를 들어, 산소, 공기, 묽은 산소 환경, 풍부한 산소 환경, 오존, 아산화질소 등) 또는 환원(예를 들어, 희석 또는 농축 탄화수소, 수소 등)일 수 있다. 온도는 바람직하게는 주위 내지 500℃이다. 전자 밀도 및 에너지는 다양하고, 특정 결합에 따라 조정 가능하다. 총 경화 시간은 바람직하게는 0.001분 내지 12시간이고, 연속적이거나 펄스형일 수 있다. 전자 빔의 일반적인 사용에 관한 추가 지침은 문헌(S. Chattopadhyay et al., Journal of Materials Science, 36 (2001) 4323-4330; G. Kloster et al., Proceedings of IITC, June 3-5, 2002, SF, CA; 및 미국 특허 제6,207,555호 B1, 제6,204,201호 B1 및 제6,132,814호 A1)와 같은 간행물에서 이용 가능하다. 전자 빔 처리의 사용은 매트릭스에서의 결합 형성 공정을 통한 포로겐 제거 및 막의 기계적 성질의 향상을 제공할 수 있다.
본 발명은 하기 실시예를 참조하여 더욱 상세하게 설명될 것이지만, 본 발명이 이로 제한되지 않는 것으로 이해되어야 한다.
실시예
예시적인 막 또는 200 mm 웨이퍼 가공은 다양한 여러 화학 전구체 및 공정 조건으로부터 Advance Energy 200 RF 발생기가 장착된 200 mm DxZ 반응 챔버 또는 진공 챔버에서 Applied Materials Precision-5000 시스템을 사용하여 플라즈마 강화 CVD(PECVD) 공정을 통해 형성되었다. PECVD 공정은 일반적으로 기체 흐름의 초기 설정 및 안정화, 실리콘 웨이퍼 기판 상으로의 막의 증착, 및 기판 제거 전에 챔버의 퍼지/배기의 기본 단계를 포함하였다. 증착 후, 막을 UV 어닐링 처리하였다. UV 어닐링은 광대역 UV 전구를 갖는 Fusion UV 시스템을 사용하여 수행되었으며, 웨이퍼는 <10 torr 미만의 하나 이상의 압력에서 및 <400℃의 하나 이상의 온도에서 헬륨 기체 흐름 하에서 유지되었다. 실험은 p형 Si 웨이퍼(저항률 범위 = 8 내지 12 ohm-cm)에서 수행되었다.
두께 및 굴절률은 SCI FilmTek 2000 반사계에서 측정되었다. 유전율은 중간 저항률 p형 웨이퍼(8 내지 12ohm-cm 범위)에서 Hg 프로브 기술을 사용하여 측정되었다. FTIR 스펙트럼은 Nicholet Nexxus 470 분광기를 사용하여 측정되었다. 비교 실시예 1 및 실시예 1에서, 기계적 성질은 MTS Nano Indenter를 사용하여 측정되었다. 조성 데이터는 Physical Electronics 5000LS에서 x-선 광전자 분광법(XPS)에 의해 얻어졌으며, 원자 중량%로 제공된다. 표에 보고된 원자 중량% 값은 수소를 포함하지 않는다.
비교 실시예 1: 디에톡시메틸실란(DEMS) 및 사이클로옥탄으로부터의 다공성 OSG 막의 증착
구조 형성 DEMS 및 포로겐 전구체 사이클로옥탄의 복합 층을 200 mm 가공을 위해 다음 공정 조건을 사용하여 증착시켰다. 전구체를, 200 표준 입방 센티미터(sccm) CO2 운반 기체 흐름, 10 sccm O2, 350 밀리-인치 샤워 헤드/웨이퍼 간격, 275℃ 웨이퍼 척(wafer chuck) 온도, 600W 플라즈마가 적용된 8 Torr 챔버 압력을 사용하여 960 밀리그램/분(mg/min) 사이클로옥탄 및 240 mg/min의 DMES의 유량으로 직접 액체 주입(DLI)을 통해 반응 챔버에 전달하였다. 이어서, 생성된 막을 UV 어닐링하여 사이클로옥탄 포로겐을 제거하고 막을 기계적으로 향상시켰다. 막의 다양한 특성(예를 들어, 유전율(k), 모듈러스(GPa) 및 탄소 원자 중량%(%C))을 상기 기술된 바와 같이 얻었다.
실시예 1: 후속 UV 경화와 함께 희생 포로겐 전구체로서 사이클로옥탄을 사용하는 1,1-디에톡시-1-실라사이클로펜탄(DESCP)으로부터의 다공성 OSG 막의 증착:
구조 형성 전구체로서 DESCP 및 포로겐 전구체로서 사이클로옥탄을 사용하여 복합 층을 증착시켰다. 200 mm 웨이퍼 상에 복합 막을 증착시키기 위한 증착 조건은 다음과 같았다: 전구체를, 363 밀리그램/분(mg/min)의 DESCP, 737 mg/min의 사이클로옥탄의 유량, 200 표준 입방 센티미터(sccm) 헬륨 운반 기체 흐름, 10 sccm O2, 350 밀리-인치 샤워 헤드/웨이퍼 간격, 250℃ 웨이퍼 척 온도, 600W 플라즈마가 100초 동안 적용된 8 Torr 챔버 압력으로 직접 액체 주입(DLI)을 통해 반응 챔버에 전달하였다. 생성된 초기 증착 상태의 막은 두께가 757nm였고, 굴절률(Refractive Index)(RI)이 1.47이었다. 증착 후, 복합 막을 12분의 기간 동안 UV 어닐링 단계에 노출시켰다. UV 어닐링 후, 막은 576nm 두께로 24% 수축하였고, 굴절률은 1.38이었다. 이 막의 유전율은 2.50이고, 모듈러스는 10.4 GPa이고, 경도는 1.4 GPa였다. 원소 조성은 XPS에 의해 21.4% C, 46.5% O, 32.1% Si로 분석되었다.
실시예 2: 1,1-디메톡시-1-실라사이클로펜탄(DMSCP) 및 사이클로옥탄으로부터 다공성 OSG 막의 증착(예측)
구조 형성 DMSCP 및 포로겐 전구체 사이클로옥탄의 복합 층을 200 mm 가공을 위해 다음 공정 조건을 사용하여 증착시켰다. 전구체를 960 mg/min 사이클로옥탄의 유량으로 직접 액체 주입(DLI)을 통해 반응 챔버에 전달하고, 200 sccm CO2 운반 기체 흐름, 20 sccm O2, 350 밀리-인치 샤워 헤드/웨이퍼 간격, 250℃ 웨이퍼 척 온도, 600W 플라즈마가 적용된 8 Torr 챔버 압력을 사용하여 240 mg/min의 DMSCP를 DLI을 통해 챔버에 전달하였다. 이후, 생성된 막을 UV 어닐링하여 포로겐을 제거하고 막을 기계적으로 향상시켰다. 막의 다양한 특성(예를 들어, 유전율(k), 모듈러스(GPa) 및 탄소 원자 중량%(%C))을 상기 기술된 바와 같이 얻었다.
비교 실시예 2: 후속 UV 경화와 함께 희생 포로겐 전구체로서 사이클로옥탄을 사용하는 1-메틸-1-에톡시-1-실라사이클로펜탄(MESCP)으로부터의 OSG 막의 증착:
구조 형성 전구체로서 MESCP 및 포로겐 전구체로서 사이클로옥탄을 사용하여 복합 층을 증착시켰다. 200 mm 웨이퍼 상에 복합 막을 증착시키기 위한 증착 조건은 다음과 같았다: 전구체를, 280 밀리그램/분(mg/min)의 DESCP, 800 mg/min의 사이클로옥탄의 유량, 200 표준 입방 센티미터(sccm) 헬륨 운반 기체 흐름, 25 sccm O2, 350 밀리-인치 샤워 헤드/웨이퍼 간격, 300℃ 웨이퍼 척 온도, 600W 플라즈마가 120초 동안 적용된 8 Torr 챔버 압력으로 직접 액체 주입(DLI)을 통해 반응 챔버에 전달하였다. 생성된 초기 증착 상태의 막은 두께가 567nm였고, 굴절률(RI)이 1.45였다. 증착 후, 복합 막을 12분의 기간 동안 UV 어닐링 단계에 노출시켰다. UV 어닐링 후, 막은 467nm 두께로 17% 수축하였고, 굴절률은 1.39였다. 이 막의 유전율은 2.54이고, 모듈러스는 8.6 GPa이고, 경도는 1.3 GPa였다. 원소 조성은 XPS에 의해 23.0% C, 45.6% O, 31.4% Si로 분석되었다.
비교 실시예 3: 후속 UV 경화와 함께 희생 포로겐 전구체로서 사이클로옥탄을 사용하는 디에톡시메틸실란(DEMS)으로부터의 OSG 막의 증착:
구조 형성 전구체로서 DEMS 및 포로겐 전구체로서 사이클로옥탄을 사용하여 복합 층을 증착시켰다. 200 mm 웨이퍼 상에 복합 막을 증착시키기 위한 증착 조건은 다음과 같았다: 전구체를, 220 밀리그램/분(mg/min)의 DEMS, 880 mg/min의 사이클로옥탄의 유량, 200 표준 입방 센티미터(sccm) 헬륨 운반 기체 흐름, 25 sccm O2, 350 밀리-인치 샤워 헤드/웨이퍼 간격, 250℃ 웨이퍼 척 온도, 600W 플라즈마가 80초 동안 적용된 8 Torr 챔버 압력으로 직접 액체 주입(DLI)을 통해 반응 챔버에 전달하였다. 생성된 초기 증착 상태의 막은 두께가 411nm였고, 굴절률(RI)이 1.44였다. 증착 후, 복합 막을 12분의 기간 동안 UV 어닐링 단계에 노출시켰다. UV 어닐링 후, 막은 334nm 두께로 19% 수축하였고, 굴절률은 1.36였다. 이 막의 유전율은 2.50이고, 모듈러스는 8.5 GPa이고, 경도는 1.0 GPa였다. 원소 조성은 XPS에 의해 13.2% C, 54.0% O, 32.8% Si로 분석되었다.
실시예 4: 1,1-디에톡시-1-실라사이클로펜탄의 합성
자석 교반 막대 및 환류 응축기가 구비된 삼목 2000mL 둥근 바닥 플라스크의 900mL 헥산 중 130.0g(838mmol)의 1,1-디클로로-1-실라사이클로펜탄에 내용물을 환류 하에 가열하면서 부가 깔때기를 통해 93.0g(2012mmol)의 에탄올을 첨가하였다. 첨가가 완료되면, 반응 혼합물을 추가로 30분 동안 환류시킨 후, 질소로 퍼지하여 HCl로 제거하면서 실온으로 냉각시켰다. 반응 혼합물의 GC는 요망하는 1,1-디에톡시-1-실라사이클로펜탄으로 대략 75% 전환하였음을 나타냈다. 나머지는 일치환된 1-클로로-1-에톡시-1-실라사이클로펜탄이었다. 추가의 19.0g(419mmol)의 에탄올 및 42.0g(419mmol)의 트리에틸아민을 반응 혼합물에 첨가하여 일치환된 종을 생성물로 완전히 전환시켰다. 생성된 백색 슬러리를 실온에서 밤새 교반하였다. 이후, 슬러리를 여과하였다. 주위 압력 하에서 증류에 의해 용매를 제거하였다. 생성물을 143g의 양으로 5 torr 압력 하에 46 ℃의 비점으로 진공 증류시켰다. 수율은 90%였다.
실시예 5: 1,1-디메톡시-1-실라사이클로펜탄의 합성
자석 교반 막대 및 환류 응축기가 구비된 삼목 3000mL 둥근 바닥 플라스크의 1800mL의 헥산/THF 1:1 혼합물 중 100.0g(645mmol)의 1,1-디클로로-1-실라사이클로펜탄에 260.0g(2579mmol)의 트리에틸아민을 첨가하고 이어서 62.0g(1934mmol)의 메탄올을 0℃에서 첨가하였다. 형성된 백색 슬러리를 실온으로 가온하고 16시간 동안 교반한 후, 이를 중간 다공성 필터 상에서 탁한 여액으로서 여과하였다. 여액을 추가의 20.0g(624mmol)의 메탄올로 처리하고, 수 시간 동안 교반한 후 다시 여과하였다. 무색 투명한 여액의 GC는 요망하는 1,1-디메톡시-1-실라사이클로펜탄으로 완전히 전환하였음을 나타냈다. 휘발성 물질을 50℃에서 100 Torr 진공 하에 회전 증발기에 의해 여액으로부터 제거하였다. 생성물을 90% 순도로 60g의 양으로 65 torr 압력 하에 75℃의 비점으로 진공 증류함으로써 정제하였다. 수율은 58%였다.
특정 구체적인 구체예들 및 실시예들을 참조하여 상기에서 예시되고 기술되었지만, 본 발명은 그럼에도 불구하고 제시된 세부 사항들로 제한되지 않는 것으로 의도된다. 오히려, 청구범위의 범위 및 등가의 범위 내에서 그리고 본 발명의 사상을 벗어나지 않고 세부 사항에서 다양한 수정이 이루어질 수 있다. 예를 들어, 이 문서에서 광범위하게 언급된 모든 범위는 보다 넓은 범위 내에 속하는 모든 보다 좁은 범위를 그 범위 내에 포함하는 것으로 명백하게 의도된다.

Claims (31)

  1. 화학식 SivOwCxHyFz(여기서, v+w+x+y+z = 100%이고, v는 10 내지 35 원자%이고, w는 10 내지 65 원자%이고, x는 5 내지 40 원자%이고, y는 10 내지 50 원자%이고, z는 0 내지 15 원자%임)로 표현되는 유전체 막을 생성하는 방법으로서, 상기 방법이
    기판을 반응 챔버 내에 제공하는 단계;
    알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하는 적어도 하나의 구조 형성 전구체 및 포로겐, 임의로 적어도 하나의 산소 공급원을 포함하는 기체 시약을 상기 반응 챔버에 도입하는 단계로서, 상기 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물이 하기 화학식(I)에 의해 표현되는 구조를 갖는 단계:
    Figure 112022051277482-pct00009

    (상기 식에서, X 및 Y는 독립적으로 OR1, OR2, 및 OC(O)R3으로 이루어진 군으로부터 선택되고 X 및 Y 중 적어도 하나는 OC(O)R3이고; R1-3은 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 사이클릭 알킬 기, C3 내지 C10 헤테로-사이클릭 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되고; R4는 Si 원자와 함께 4원, 5원, 또는 6원 포화 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼임);
    상기 기체 시약의 반응을 유도하도록 상기 반응 챔버 내 상기 기체 시약에 에너지를 인가하여 상기 기판 상에 예비 막을 증착시키는 단계로서, 상기 예비 막이 상기 포로겐을 함유하는 단계; 및
    상기 예비 막으로부터 적어도 일부의 상기 포로겐을 제거하여 기공을 포함하고 2.6 이하의 유전율을 갖는 다공성 유전체 막을 제공하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 구조 형성 전구체가 경화 첨가제를 추가로 포함하는 방법.
  3. 제1항에 있어서, 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물이 1-메톡시-1-아세톡시-1-실라사이클로펜탄, 1,1-디아세톡시-1-실라사이클로펜탄, 1-메톡시-1-아세톡시-1-실라사이클로부탄, 1,1-디아세톡시-1-실라사이클로부탄, 1-메톡시-1-아세톡시-1-실라사이클로헥산, 1,1-디아세톡시-1-실라사이클로헥산, 1-에톡시-1-아세톡시-1-실라사이클로펜탄, 1-에톡시-1-아세톡시-1-실라사이클로부탄, 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 방법.
  4. 제1항에 있어서, 포로겐이 사이클로옥탄인 방법.
  5. 제2항에 있어서, 경화 첨가제가 테트라에톡시실란을 포함하는 방법.
  6. 제2항에 있어서, 경화 첨가제가 테트라메톡시실란을 포함하는 방법.
  7. 제1항에 있어서, 플라즈마 강화 화학 기상 증착 방법인 방법.
  8. 제1항에 있어서, 반응 혼합물이 O2, N2O, NO, NO2, CO2, 물, H2O2, 오존, 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나의 산화제를 포함하는 방법.
  9. 제1항에 있어서, 반응 혼합물이 산화제를 포함하지 않는 방법.
  10. 제1항에 있어서, 인가 단계에서 반응 챔버가 He, Ar, N2, Kr, Xe, NH3, H2, CO2, 및 CO로 이루어진 군으로부터 선택된 적어도 하나의 기체를 포함하는 방법.
  11. 제1항에 있어서, 제거 단계가 열 처리, 자외선(UV) 처리, 전자 빔 처리, 감마 방사선 처리, 및 이들의 조합으로 이루어진 군으로부터 선택된 적어도 하나의 처리를 포함하는 방법.
  12. 제11항에 있어서, UV 처리가 적어도 일부의 열 처리 동안 일어나는 방법.
  13. 제1항에 있어서, 포로겐 전구체가
    a.) 화학식 CnH2n(여기서, n = 4 내지 14임)을 갖는 치환되거나 비치환된 사이클릭 탄화수소,
    b.) 일반식 CnH(2n+2)-2y(여기서 n = 2 내지 20이고, y = 0 내지 n이고, 여기서, n = 4 내지 14임)의 치환되거나 비치환된 탄화수소,
    c.) 화학식 CnH2n-2x(여기서, x는 분자의 불포화 자리 수이고, n = 4 내지 14임)을 갖는 치환되거나 비치환된 단일 또는 다중 불포화 사이클릭 탄화수소,
    d.) 화학식 CnH2n-2(여기서, n = 4 내지 14임)을 갖는 치환되거나 비치환된 바이사이클릭 탄화수소,
    e.) 화학식 CnH2n-(2+2x)(여기서, x는 분자의 불포화 자리 수이고, n = 4 내지 14임)을 갖는 치환되거나 비치환된 다중 불포화 바이사이클릭 탄화수소로서, 상기 바이사이클릭 구조의 탄소 수가 4 내지 12인, 치환되거나 비치환된 다중 불포화 바이사이클릭 탄화수소, 및
    f.) 화학식 CnH2n-4(여기서, n = 4 내지 14임)을 갖는 치환되거나 비치환된 트리사이클릭 탄화수소로서, 상기 트리사이클릭 구조의 탄소 수가 4 내지 12인, 치환되거나 비치환된 트리사이클릭 탄화수소로 이루어진 군으로부터 선택되는 방법.
  14. 제1항에 있어서, 제13항의 포로겐 전구체가 사이클로헥산, 1,2,4-트리메틸사이클로헥산, 1-메틸-4-(1-메틸에틸)사이클로헥산, 사이클로옥탄, 메틸사이클로옥탄, 에틸렌, 프로필렌, 아세틸렌, 네오헥산, 1,3-부타디엔, 2-메틸-1,3-부타디엔, 2,3-디메틸-2,3-부타디엔, 치환된 디엔, 파라-시멘, 사이클로옥텐, 1,5-사이클로옥타디엔, 사이클로헥센, 비닐-사이클로헥산, 디메틸사이클로헥센, 알파-테르피넨, 피넨, 리모넨, 비닐-사이클로헥센, 노르보르난, 스피로-노난, 캄펜, 노르보르넨, 노르보르나디엔, 5-에틸리덴-2-노르보르넨, 데카하이드로나프탈렌, 아다만틴, 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 방법.
  15. 유전체 막의 화학 기상 증착을 위한 조성물로서, 상기 조성물이 하기 화학식(I)을 갖는 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물을 포함하고, 상기 화합물이 할라이드, 물, 및 이들의 조합물로 이루어진 군으로부터 선택된 하나 이상의 불순물을 실질적으로 함유하지 않는 조성물:
    Figure 112022051277482-pct00010

    상기 식에서, X 및 Y는 독립적으로 OR1, OR2, 및 OC(O)R3으로 이루어진 군으로부터 선택되고 X 및 Y 중 적어도 하나는 OC(O)R3이고; R1-3은 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 사이클릭 알킬 기, C3 내지 C10 헤테로-사이클릭 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되고; R4는 Si 원자와 함께 4원, 5원, 또는 6원 포화 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼이다.
  16. 제15항에 있어서, 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물이 1-메톡시-1-아세톡시-1-실라사이클로펜탄, 1,1-디아세톡시-1-실라사이클로펜탄, 1-메톡시-1-아세톡시-1-실라사이클로부탄, 1,1-디아세톡시-1-실라사이클로부탄, 1-메톡시-1-아세톡시-1-실라사이클로헥산, 1,1-디아세톡시-1-실라사이클로헥산, 1-에톡시-1-아세톡시-1-실라사이클로펜탄, 1-에톡시-1-아세톡시-1-실라사이클로부탄, 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 조성물.
  17. 제15항에 있어서, 할라이드가 클로라이드 이온을 포함하는 조성물.
  18. 하기 화학식(I)을 갖는 기상 증착을 통해 저 k 유전체 막을 증착시키기 위한 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물의 용도:
    Figure 112022051277482-pct00011

    상기 식에서, X 및 Y는 독립적으로 OR1, OR2, 및 OC(O)R3으로 이루어진 군으로부터 선택되고 X 및 Y 중 적어도 하나는 OC(O)R3이고; R1-3은 각각 독립적으로 선형 또는 분지형 C1 내지 C10 알킬 기, 선형 또는 분지형 C2 내지 C10 알케닐 기, 선형 또는 분지형 C2 내지 C10 알키닐 기, C3 내지 C10 사이클릭 알킬 기, C3 내지 C10 헤테로-사이클릭 알킬 기, C5 내지 C10 아릴 기, 및 C3 내지 C10 헤테로-아릴 기로 이루어진 군으로부터 선택되고; R4는 Si 원자와 함께 4원, 5원, 또는 6원 포화 사이클릭 고리를 형성하는 C3 내지 C10 알킬 디-라디칼이다.
  19. 제18항에 있어서, 화합물이 할라이드, 물, 및 이들의 조합물로 이루어진 군으로부터 선택된 하나 이상의 불순물을 실질적으로 함유하지 않는 용도.
  20. 제18항에 있어서, 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물이 1-메톡시-1-아세톡시-1-실라사이클로펜탄, 1,1-디아세톡시-1-실라사이클로펜탄, 1-메톡시-1-아세톡시-1-실라사이클로부탄, 1,1-디아세톡시-1-실라사이클로부탄, 1-메톡시-1-아세톡시-1-실라사이클로헥산, 1,1-디아세톡시-1-실라사이클로헥산, 1-에톡시-1-아세톡시-1-실라사이클로펜탄, 1-에톡시-1-아세톡시-1-실라사이클로부탄, 및 이들의 조합물로 이루어진 군으로부터 선택된 적어도 하나를 포함하는 용도.
  21. 제19항에 있어서, 할라이드가 클로라이드 이온을 포함하는 용도.
  22. 제21항에 있어서, 클로라이드 이온이 50 ppm 미만인 용도.
  23. 제21항에 있어서, 클로라이드 이온이 10 ppm 미만인 용도.
  24. 제21항에 있어서, 클로라이드 이온이 5 ppm 미만인 용도.
  25. 제18항에 있어서, 기상 증착이 화학 기상 증착인 용도.
  26. 제25항에 있어서, 화학 기상 증착이 플라즈마 강화 화학 기상 증착인 용도.
  27. 제15항에 있어서, 운반 기체 및 산화제로 이루어진 군으로부터 선택된 적어도 하나의 구성원을 추가로 포함하는 조성물.
  28. 제27항에 있어서, 구성원이 헬륨 및 산소로 이루어진 군으로부터 선택된 적어도 하나의 구성원을 포함하는 조성물.
  29. 제15항에 있어서, 적어도 하나의 경화 첨가제를 추가로 포함하는 조성물.
  30. 제29항에 있어서, 경화 첨가제가 적어도 하나의 테트라알콕시실란을 포함하는 조성물.
  31. 제1항 내지 제13항 중 어느 한 항의 방법에 의해 형성된 막으로서, 막 구조가 -CH2-메틸렌 및 -CH2CH2-에틸렌 브릿지 중 적어도 하나를 포함하는 막.
KR1020207008837A 2017-08-30 2018-08-29 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물 및 이를 사용하여 막을 증착시키기 위한 방법 KR102442026B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762552040P 2017-08-30 2017-08-30
US62/552,040 2017-08-30
PCT/US2018/048580 WO2019046449A1 (en) 2017-08-30 2018-08-29 ALCOXYSILACYCLIC OR ACYLOXYSILACYCLIC COMPOUNDS AND METHODS OF DEPOSITING FILMS USING THE SAME

Publications (2)

Publication Number Publication Date
KR20200035493A KR20200035493A (ko) 2020-04-03
KR102442026B1 true KR102442026B1 (ko) 2022-09-07

Family

ID=65527919

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020207008837A KR102442026B1 (ko) 2017-08-30 2018-08-29 알콕시실라사이클릭 또는 아실옥시실라사이클릭 화합물 및 이를 사용하여 막을 증착시키기 위한 방법

Country Status (8)

Country Link
US (1) US11884689B2 (ko)
EP (1) EP3676417A4 (ko)
JP (2) JP7323511B2 (ko)
KR (1) KR102442026B1 (ko)
CN (1) CN111051568A (ko)
SG (1) SG11202001492TA (ko)
TW (1) TWI676632B (ko)
WO (1) WO2019046449A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10978293B2 (en) * 2018-03-28 2021-04-13 Meidensha Corporation Oxide film formation method
US11158498B2 (en) * 2018-06-19 2021-10-26 Versum Materials Us, Llc Silicon compounds and methods for depositing films using same

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100052115A1 (en) * 2008-09-03 2010-03-04 American Air Liquide, Inc. Volatile Precursors for Deposition of C-Linked SiCOH Dielectrics
US20150364321A1 (en) * 2014-06-16 2015-12-17 Air Products And Chemicals, Inc. Alkyl-Alkoxysilacyclic Compounds and Methods for Depositing Films Using Same

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
JP5324734B2 (ja) * 2005-01-21 2013-10-23 インターナショナル・ビジネス・マシーンズ・コーポレーション 誘電体材料とその製造方法
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
JP2011040629A (ja) * 2009-08-13 2011-02-24 Tosoh Corp スピロ構造を有する環状シロキサン化合物を用いてなる膜及びその製法
JP2011111399A (ja) * 2009-11-25 2011-06-09 Tosoh Corp スピロ型シクロトリシロキサン誘導体、その製造方法、それを用いた製膜法及び膜
KR101759891B1 (ko) * 2015-06-23 2017-07-21 (주)디엔에프 실리콘 전구체 및 이를 이용한 실리콘 함유 박막의 제조방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100052115A1 (en) * 2008-09-03 2010-03-04 American Air Liquide, Inc. Volatile Precursors for Deposition of C-Linked SiCOH Dielectrics
US20150364321A1 (en) * 2014-06-16 2015-12-17 Air Products And Chemicals, Inc. Alkyl-Alkoxysilacyclic Compounds and Methods for Depositing Films Using Same

Also Published As

Publication number Publication date
JP2020532867A (ja) 2020-11-12
WO2019046449A1 (en) 2019-03-07
TW201920212A (zh) 2019-06-01
JP2022153428A (ja) 2022-10-12
SG11202001492TA (en) 2020-03-30
EP3676417A1 (en) 2020-07-08
JP7323511B2 (ja) 2023-08-08
KR20200035493A (ko) 2020-04-03
US11884689B2 (en) 2024-01-30
TWI676632B (zh) 2019-11-11
EP3676417A4 (en) 2021-06-02
CN111051568A (zh) 2020-04-21
US20200354386A1 (en) 2020-11-12

Similar Documents

Publication Publication Date Title
KR102183028B1 (ko) 알킬-알콕시실라사이클릭 화합물 및 이를 사용하여 필름을 증착시키는 방법
US20220044928A1 (en) Silicon compounds and methods for depositing films using same
JP2022153428A (ja) アルコキシシラ環式又はアシルオキシシラ環式化合物及びそれを使用してフィルムを堆積させるための方法
US20210339280A1 (en) Silacyclic compounds and methods for depositing silicon-containing films using same
US11043374B2 (en) Silacycloalkane compounds and methods for depositing silicon containing films using same
KR102409869B1 (ko) 규소 화합물 및 이를 사용하여 막을 증착시키는 방법
CN110952074B (zh) 硅化合物和使用硅化合物沉积膜的方法
US20200048286A1 (en) Silicon compounds and methods for depositing films using same

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant