KR102435768B1 - 변조기 디바이스의 열 효율을 향상시키도록 구성된 히터 구조체 - Google Patents

변조기 디바이스의 열 효율을 향상시키도록 구성된 히터 구조체 Download PDF

Info

Publication number
KR102435768B1
KR102435768B1 KR1020200040383A KR20200040383A KR102435768B1 KR 102435768 B1 KR102435768 B1 KR 102435768B1 KR 1020200040383 A KR1020200040383 A KR 1020200040383A KR 20200040383 A KR20200040383 A KR 20200040383A KR 102435768 B1 KR102435768 B1 KR 102435768B1
Authority
KR
South Korea
Prior art keywords
waveguide
heater
upper conductor
region
pillar
Prior art date
Application number
KR1020200040383A
Other languages
English (en)
Other versions
KR20210053138A (ko
Inventor
시-웨이 린
밍 취 리우
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/733,488 external-priority patent/US11209673B2/en
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20210053138A publication Critical patent/KR20210053138A/ko
Application granted granted Critical
Publication of KR102435768B1 publication Critical patent/KR102435768B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/24Coupling light guides
    • G02B6/26Optical coupling means
    • G02B6/28Optical coupling means having data bus means, i.e. plural waveguides interconnected and providing an inherently bidirectional system by mixing and splitting signals
    • G02B6/287Structuring of light guides to shape optical elements with heat application
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/011Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  in optical waveguides, not otherwise provided for in this subclass
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B6/13Integrated optical circuits characterised by the manufacturing method
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/0147Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on thermo-optic effects
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/21Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  by interference
    • G02F1/225Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  by interference in an optical waveguide structure
    • G02F1/2257Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  by interference in an optical waveguide structure the optical waveguides being made of semiconducting material
    • GPHYSICS
    • G02OPTICS
    • G02BOPTICAL ELEMENTS, SYSTEMS OR APPARATUS
    • G02B6/00Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings
    • G02B6/10Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type
    • G02B6/12Light guides; Structural details of arrangements comprising light guides and other optical elements, e.g. couplings of the optical waveguide type of the integrated circuit kind
    • G02B2006/12133Functions
    • G02B2006/12142Modulator
    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F2203/00Function characteristic
    • G02F2203/50Phase-only modulation

Landscapes

  • Physics & Mathematics (AREA)
  • Nonlinear Science (AREA)
  • General Physics & Mathematics (AREA)
  • Optics & Photonics (AREA)
  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Optical Modulation, Optical Deflection, Nonlinear Optics, Optical Demodulation, Optical Logic Elements (AREA)

Abstract

본 개시내용의 다양한 실시예는 제1 도파로 및 히터 구조체를 포함하는 변조기 디바이스에 관한 것이다. 입력 단자가 충돌광을 수용하도록 구성된다. 제1 도파로는 제1 출력 영역 및 입력 단자에 결합된 제1 입력 영역을 갖는다. 제2 도파로가 제1 도파로에 광학적으로 결합된다. 제2 도파로는 제2 출력 영역 및 입력 단자에 결합된 제2 입력 영역을 갖는다. 출력 단자는 충돌광에 기초하여 변조되는 출사광을 제공하도록 구성된다. 출력 단자는 제1 출력 영역 및 제2 출력 영역에 결합된다. 히터 구조체는 제1 도파로 위에 놓여 있다. 히터 구조체의 저면은 제1 도파로의 저면과 정렬된다. 제1 도파로는 히터 구조체의 측벽들 사이에 측방향으로 이격되어 있다.

Description

변조기 디바이스의 열 효율을 향상시키도록 구성된 히터 구조체{A HEATER STRUCTURE CONFIGURED TO IMPROVE THERMAL EFFICIENCY IN A MODULATOR DEVICE}
[관련 출원의 참조] 본 출원은 2019년 10월 30일 출원되었고, 그 내용이 본 명세서에 그대로 참조로서 합체되어 있는 미국 가출원 제62/927,850호의 이익을 청구한다.
광학 회로는 다수의 포토닉 기능/디바이스 및 광 도파로를 포함할 수도 있다. 광 도파로는 광을 구속하여 최소 감쇠로 집적 칩(integrated chip: IC) 상의 제1 지점으로부터 IC 상의 제2 지점으로 안내하도록 구성된다. 변조기 디바이스의 광 도파로는 광 도파로를 통과하는 광의 위상, 파장, 주파수 및/또는 다른 특성을 선택적으로 변경하도록 구성될 수도 있다.
본 개시내용의 양태는 첨부 도면과 함께 숙독될 때 이하의 상세한 설명으로부터 가장 양호하게 이해된다. 산업 분야에서의 표준 실시에 따르면, 다양한 특징부는 실제 축척대로 도시되어 있지는 않다는 것이 주목된다. 실제로, 다양한 특징부의 치수는 설명의 명료화를 위해 임의로 증가되거나 감소될 수도 있다.
도 1은 도파로 구조체를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체를 포함하는 변조기 디바이스의 몇몇 실시예의 사시도.
도 2a 및 도 2b는 히터 구조체의 내부 측벽들 사이에 측방향으로 이격된 도파로 구조체의 몇몇 실시예의 단면도.
도 3a 내지 도 3c는 제1 도파로 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체를 포함하는 변조기 디바이스의 몇몇 실시예의 평면도로서, 제1 도파로는 제2 도파로에 직접 연결되어 있는 것인 평면도.
도 4a 및 도 4b는 제1 도파로 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체를 포함하는 변조기 디바이스의 몇몇 실시예의 평면도로서, 제1 도파로는 제2 도파로로부터 측방향으로 오프셋되어 있는 것인 평면도.
도 5는 변조기 디바이스, 격자 구조체, 및 기판 위에 배치된 포토다이오드를 포함하는 집적 칩의 몇몇 실시예의 단면도.
도 6 내지 도 12는 히터 구조체 및 제1 도파로를 포함하는 변조기 디바이스를 형성하기 위한 방법의 몇몇 실시예의 단면도로서, 히터 구조체는 제1 도파로 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 것인 단면도.
도 13은 도 6 내지 도 12의 방법에 대응하는 몇몇 실시예의 흐름도.
이하의 개시내용은 제공된 요지의 상이한 특징부를 구현하기 위한 다수의 상이한 실시예 또는 예를 제공한다. 구성 요소 및 장치의 특정 예가 본 개시내용을 간단화하기 위해 이하에 설명된다. 이들은 물론 단지 예일 뿐이고, 한정이 되도록 의도된 것은 아니다. 예를 들어, 이어지는 설명에서 제2 특징부 위에 또는 상에 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉하여 형성되어 있는 실시예를 포함할 수도 있고, 부가의 특징부가 제1 및 제2 특징부 사이에 형성될 수도 있어, 제1 및 제2 특징부가 직접 접촉하지 않을 수도 있게 되는 실시예를 또한 포함할 수도 있다. 게다가, 본 개시내용은 다양한 예에서 도면 숫자 및/또는 문자를 반복할 수도 있다. 이 반복은 간단화 및 명료화를 위한 것이고, 자체로 다양한 실시예 및/또는 설명된 구성 사이의 관계를 지시하는 것은 아니다.
또한, "밑", "아래", "하부", "위", "상부" 등과 같은 공간적 상대 용어는 도면에 도시되어 있는 바와 같이 다른 요소(들) 또는 특징부(들)에 대한 일 요소 또는 특징부의 관계를 설명하기 위해 용이한 설명을 위해 본 명세서에서 사용될 수도 있다. 공간적 상대 용어는 도면에 도시되어 있는 배향에 추가하여 사용 또는 동작시에 디바이스의 상이한 배향을 포함하도록 의도된다. 장치는 다른 방식으로 배향될 수도 있고(90도 회전되거나 다른 배향에 있음), 본 명세서에 사용된 공간적 상대 기술자는 마찬가지로 이에 따라 해석될 수도 있다.
전자 및 통신에서, 변조는 정보가 송신기로부터 수신기로 전송될 수 있게 하는 방식으로 주기적 파형의 하나 이상의 특성을 변화시키는 프로세스이다. 예를 들어, 진폭 변조(amplitude modulation: AM), 주파수 변조(frequency modulation: FM) 및 위상 변조는 주기적 파형을 변조하여 장거리 또는 단거리에 걸쳐 정보를 전달하는 데 사용될 수 있다.
변조기 디바이스는 입력 단자 및 출력 단자를 포함할 수도 있다. 제1 도파로 및 제2 도파로는 입력 단자로부터 분기되고 이어서 출력 단자에서 재결합할 수도 있어, 광이 변조기 디바이스를 통해 진행할 수 있는 2개의 경로 또는 채널이 존재하게 된다. 제1 도파로는 제2 도파로와 근접하거나 또는 직접 접촉할 수도 있어, 제1 및 제2 도파로가 서로 광학적으로 결합되게 된다. 변조기 디바이스의 동작 중에, 입력 광은 초기 위상으로 입력 단자에서 수용되고, 이어서 재결합되어 출력 단자에서 출력 광으로서 제공되기 전에 제1 도파로 및 제2 도파로를 따라 통과하도록 분할된다. 제1 및 제2 도파로는 광학적으로 함께 결합되기 때문에, 출력 광은 제1 및 제2 도파로로부터 발생하는 보강 또는 상쇄 간섭으로 인해 위상 시프트될 수 있다.
히터 구조체는 열을 발생하여 제1 도파로에 인가하기 위해 제1 도파로 위에, 아래에 및/또는 나란히 배열될 수도 있다. 이 열은 제1 도파로의 온도 변화를 유도할 수 있는데, 이 온도 변화는 이어서 제2 도파로의 것에 대해 제1 도파로의 굴절률, 캐리어 이동도 및/또는 다른 특성을 변화시킨다. 따라서, 제1 도파로를 통해 진행하는 광의 속도 및/또는 위상이 제2 도파로를 통해 진행하는 광의 것에 대해 시프트될 수 있어, 히터 구조체의 온도가 출력 단자에서 출사광에 부여된 위상 시프트를 제어할 수 있게 된다. 따라서, 변조기 디바이스는 히터 구조체의 온도를 제어할 수 있어, 입력 광이 그 변조가 전송될 다양한 데이터 상태에 대응하는 출력 광을 제공하도록 변조되게 된다. 그러나, 히터 구조체는 제1 도파로 위에 놓일 수도 있어서, 히터 구조체의 저면(bottom surface)은 0이 아닌 거리만큼 제1 도파로의 상부면(upper surface)으로부터 수직으로 오프셋되게 된다. 유전성 재료가 히터 구조체와 제1 도파로 사이에 배치된다. 또한, 열은 히터 구조체로부터 모든 방향으로 복사될 수도 있어서, 열이 제1 도파로를 향해 집중되지 않고 변조기 디바이스의 열 효율이 감소되게 된다. 모든 방향에서의 열 복사를 고려하기 위해, 히터 구조체에 전달되는 전력의 양은 제1 도파로로부터 방사되는 전력을 보상하기 위해 증가될 수도 있다(이에 의해 히터 구조체에 의해 발생된 열을 증가시킴). 이는 이어서, 변조기 디바이스의 전력 소비를 증가시키고 변조기 디바이스의 열 효율을 더 감소시킬 수도 있다.
본 출원의 다양한 실시예는 도파로 구조체 위에 놓여 이를 적어도 부분적으로 측방향으로 에워싸는 히터 구조체를 포함하는 변조기 디바이스에 관한 것이다. 예를 들어, 변조기 디바이스는 도파로 구조체 위에 놓여 있는 상호 접속 유전성 구조체를 포함한다. 히터 구조체는 상호 접속 유전성 구조체 내에 배치되고 도파로 구조체 위에 놓여 있다. 히터 구조체는 상부 도전체 및 히터 기둥 구조체를 포함할 수도 있다. 상부 도전체는 도파로 구조체 바로 위에 놓여 있고 상호 접속 유전성 구조체에 의해 도파로 구조체로부터 수직으로 오프셋된다. 히터 기둥 구조체는 상부 도전체의 아래에 놓여 있고 상부 도전체로부터 도파로 구조체의 상부면 아래의 지점까지 연장된다. 도파로 구조체는 히터 기둥 구조체의 내부 측벽들 사이에 측방향으로 이격되어, 히터 구조체가 도파로 구조체 바로 위에 놓여 도파로 구조체를 적어도 부분적으로 측방향으로 둘러싸게 된다. 히터 구조체는 도파로 구조체를 향해 열을 집중시키도록 구성되어, 히터 구조체에 의해 발생된 열이 도파로 구조체를 통과하는 광의 위상 시프트를 제어할 수도 있게 된다. 도파로 구조체는 히터 구조체의 내부 측벽들 사이에 측방향으로 이격되어 있기 때문에, 열은 도파로 구조체를 향해 더 직접적으로 복사될 수도 있다. 이는 부분적으로는, 변조기 디바이스의 열 효율을 증가시킬 수도 있고 변조기 디바이스의 전력 소비를 감소시킬 수도 있다.
도 1은 히터 구조체(111)의 내부 측벽들 사이에 측방향으로 이격된 제1 도파로(112)를 포함하는 변조기 디바이스(100)의 몇몇 실시예의 사시도를 도시하고 있다.
변조기 디바이스(100)는 입력 단자(101) 및 출력 단자(103)를 포함한다. 제1 도파로(112) 및 제2 도파로(115)는 입력 단자(101)로부터 분기되고, 이어서 출력 단자(103)에서 재결합되어, 이에 의해 광이 변조기 디바이스(100)를 통해 진행할 수 있는 2개의 경로 또는 채널을 제공한다. 몇몇 실시예에서, 제1 및 제2 도파로(112, 115)는 입력 단자(101)와 출력 단자(103) 사이에서 대칭적으로 분기된다. 제1 도파로(112)는 제2 도파로(115)와 근접하거나 또는 직접 접촉할 수도 있어, 제1 및 제2 도파로(112, 115)가 서로 광학적으로 결합되게 된다. 몇몇 실시예에서, 제1 도파로(112)는 입력 단자(101)에 결합된 제1 입력 영역(112i) 및 출력 단자(103)에 결합된 제1 출력 영역(112o)을 갖는다. 또한, 제2 도파로(115)는 입력 단자(101)에 결합된 제2 입력 영역(115i) 및 출력 단자(103)에 결합된 제2 출력 영역(115o)을 갖는다. 몇몇 실시예에서, 제1 도파로(112)는 반도체 재료(예를 들어, 실리콘)를 포함하고, 제1 도핑 영역(112a) 및 제2 도핑 영역(112b)을 포함하는 활성 영역을 가질 수도 있다. 몇몇 실시예에서, 제1 도핑 영역(112a)은 제1 도핑 유형(예를 들어, p-형)을 포함하고, 제2 도핑 영역(112b)은 제1 도핑 유형과 반대되는 제2 도핑 유형(예를 들어, n-형)을 포함한다. 다른 실시예에서, 제1 도핑 유형은 n-형이고, 제2 도핑 유형은 p-형이고, 또는 그 반대도 마찬가지이다.
히터 구조체(111)는 제1 도파로(112)의 활성 영역 위에 놓여 있다. 몇몇 실시예에서, 히터 구조체(111)는 상부 도전체(110) 및 히터 기둥 구조체(108)를 포함한다. 상부 도전체(110)는 제1 및 제2 도핑 영역(112a, 112b) 바로 위에 놓여 있다. 히터 기둥 구조체(108)는 상부 도전체(110)의 하부면(lower surface)으로부터 제1 도파로(112)의 상부면 아래의 지점까지 연속적으로 연장한다. 또한, 제1 도파로(112)의 활성 영역은 히터 기둥 구조체(108)의 내부 측벽들 사이에 측방향으로 이격되어, 히터 구조체(111)가 제1 도파로(112)를 적어도 부분적으로 측방향으로 둘러싸게 된다. 몇몇 실시예에서, 히터 기둥 구조체(108)의 저면은 제1 도파로(112)의 저면과 정렬된다. 더욱이, 상부 도전체(110) 및 히터 기둥 구조체(108)는 높은 열전도도를 갖는 하나 이상의 재료를 포함한다. 예를 들어, 상호 접속 유전성 구조체(도시되어 있지 않음)가 제1 도파로(112) 및 히터 구조체(111) 주위에 배치될 수도 있으며, 여기서 히터 구조체(111)의 하나 이상의 재료는 상호 접속 유전성 구조체가 구성되는 재료(들)보다 높은 열전도도를 갖는다.
몇몇 실시예에서, 변조기 디바이스(100)의 동작 중에, 충돌광(107)이 초기 위상으로 입력 단자(101)에서 수용되고, 이어서 재결합되어 출력 단자(103)에서 출사광(109)으로서 제공되기 전에, 제1 도파로(112) 및 제2 도파로(115)를 따라 통과하도록 분할된다. 제1 도파로(112)와 제2 도파로(115)는 광학적으로 결합되기 때문에, 출사광(109)은 제1 및 제2 도파로(112, 115)로부터 발생하는 보강 또는 상쇄 간섭으로 인해 위상 시프트될 수 있다. 몇몇 실시예에서, 히터 구조체(111)는 열을 발생하여 제1 도파로(112)의 활성 영역에 인가하도록 구성된다. 히터 구조체(111)에 의해 발생된 열은 제1 도파로의 온도 변화를 유도할 수 있는데, 이 온도 변화는 이어서 제2 도파로(115)의 것에 대해, 제1 도파로(112)의 굴절률, 캐리어 이동도 및/또는 다른 특성을 변화시킨다. 따라서, 제1 도파로(112)를 통해 진행하는 광의 속도 및/또는 위상이 제2 도파로(115)를 통해 진행하는 광의 것에 대해 시프트될 수 있어, 히터 구조체(111)의 온도가 출력 단자(103)에서 출사광(109)에 부여된 위상 시프트를 제어할 수 있게 된다. 또한, 변조기 디바이스(100)는 시간 내에 전송될 데이터 상태에 기초하여 시간 내에 히터 구조체(111)에 의해 발생된 열의 온도를 제어하도록 구성되어, 충돌광(107)이 그 변조가 전송될 다양한 데이터 상태에 대응하는 출사광을 제공하도록 변조되게 된다. 위상 변조를 사용하는 변조 예가 전술되었지만, 다른 실시예에서, 예를 들어 진폭 변조 또는 주파수 변조와 같은 다른 유형의 변조가 또한 사용될 수도 있다는 것이 이해될 수 있을 것이다.
제1 도파로(112)의 활성 영역 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체(111)에 의해, 히터 구조체(111)는 열을 제1 및 제2 도핑 영역(112a, 112b)을 향해 지향할 수도 있다. 이는 이어서 인접한 구조체 및/또는 유전성 재료를 향해 복사되고 그리고/또는 흡수될 수도 있는 열의 양을 감소시켜, 이에 의해 변조기 디바이스(100)의 열 효율을 증가시킬 수도 있다. 또한, 히터 구조체(111)는 높은 열전도도를 갖는 하나 이상의 재료를 포함하여, 이에 의해 변조기 디바이스(100)의 열 효율을 증가시킨다. 따라서, 히터 구조체(111)는 변조기 디바이스(100)의 전력 소비를 감소시키고 변조기 디바이스(100)의 열 효율을 증가시킬 수도 있다.
도 2a는 상부 도전체(110) 및 히터 기둥 구조체(108)를 갖는 히터 구조체(111)를 갖는 변조기 디바이스(200a)의 몇몇 실시예의 단면도를 도시하고 있다.
몇몇 실시예에서, 변조기 디바이스(200a)는 기판(202) 위에 놓여 있는 제1 도파로(112)를 포함한다. 벌크 유전성 구조체(204)가 기판(202) 위에 배열되고, 제1 도파로(112)와 기판(202) 사이에서 이격될 수도 있다. 하부 층간 유전성(inter-level dielectric: ILD) 구조체(206)가 벌크 유전성 구조체(204) 위에 놓여 있다. 몇몇 실시예에서, 제1 도파로(112)는 벌크 유전성 구조체(204) 바로 위에 배열되고 하부 ILD 구조체(206)는 제1 도파로(112)를 측방향으로 에워싼다. 몇몇 실시예에서, 벌크 유전성 구조체(204)는 예를 들어, 이산화 실리콘, 다른 적합한 산화물, 저-k 유전성 재료, 상기의 것들의 임의의 조합, 또는 다른 적합한 유전성 재료와 같은 산화물일 수도 있거나 또는 이들을 포함할 수도 있다. 다른 실시예에서, 하부 ILD 구조체(206)는, 예를 들어 실리콘 질화물, 실리콘 탄화물, 실리콘 산화물, 저-k 유전성 재료, 극저-k 유전성 재료, 상기 것들의 임의의 조합, 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 몇몇 실시예에서, 기판(202)은 예를 들어, 단결정 실리콘, 실리콘-온-절연체(silicon-on-insulator: SOI) 기판, 또는 다른 적합한 반도체 기판 재료와 같은 반도체 본체일 수도 있거나 또는 이들을 포함할 수도 있다. 다양한 실시예에서, 기판(202) 및 제1 도파로(112)는 동일한 재료(예를 들어, 실리콘)를 포함한다.
몇몇 실시예에서, 제1 도파로(112)는 광을 전달하도록 구성된 반도체 재료(예를 들어, 실리콘)를 포함한다. 제1 도파로(112)는 서로 인접하여 측방향으로 이격된 제1 도핑 영역(112a) 및 제2 도핑 영역(112b)을 가질 수도 있다. 몇몇 실시예에서, 제1 도핑 영역(112a)은 제1 도핑 유형(예를 들어, p-형)을 포함하고, 제2 도핑 영역(112b)은 제1 도핑 유형에 반대되는 제2 도핑 유형(예를 들어, n-형)을 포함한다. 다른 실시예에서, 제1 도핑 유형은 p-형이고, 제2 도핑 유형은 n-형이고, 또는 그 반대도 마찬가지이다. 또 다른 실시예에서, 제1 및/또는 제2 도핑 영역(112a, 112b)은 하부 ILD 구조체(206) 및/또는 상부 ILD 구조체(214) 내에 배치된 하나 이상의 도전성 비아 및/또는 와이어(도시되어 있지 않음)에 전기적으로 결합된다. 다양한 실시예에서, 제1 도파로(112)의 활성 영역을 통해 진행하는 광의 위상을 변경하기 위해, 제1 방향에서 도전성 비아 및/또는 와이어(도시되어 있지 않음)를 통해, 제1 도파로(112)의 제1 도핑 영역(112a) 및 제2 도핑 영역(112b)을 가로질러 바이어스가 인가된다. 이러한 실시예에서, 광은 제1 방향에 수직인 제2 방향으로 진행한다.
히터 구조체(111)는 제1 도파로(112) 위에 놓이고, 하부 ILD 구조체(206) 및/또는 상부 ILD 구조체(214) 내에 배치된다. 몇몇 실시예에서, 히터 구조체(111)는 상부 도전체(110) 및 히터 기둥 구조체(108)를 포함한다. 히터 기둥 구조체(108)는 상부 도전체(110)의 하부면으로부터 벌크 유전성 구조체(204)의 상부면으로 연속적으로 연장된다. 또한, 제1 도파로(112)는 히터 기둥 구조체(108)의 내부 측벽들 사이에 측방향으로 이격되어 있다. 다양한 실시예에서, 히터 기둥 구조체(108)는 하부 기둥 구조체(208) 및 하부 기둥 구조체(208) 위에 놓여 있는 상부 기둥 구조체(209)를 포함한다. 몇몇 실시예에서, 하부 기둥 구조체(208)는 예를 들어, 반도체 기판 재료, 실리콘, 진성 실리콘, p-도핑 실리콘, n-도핑 실리콘, 폴리실리콘, 또는 다른 적합한 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 다른 실시예에서, 하부 기둥 구조체(208)는 제1 도파로(112)와 동일한 재료(예를 들어, 실리콘)를 포함한다. 또한, 몇몇 실시예에서, 하부 기둥 구조체(208)의 저면은 제1 도파로(112)의 저면과 정렬되고, 하부 기둥 구조체(208)의 상면(top surface)은 제1 도파로(112)의 상부면과 정렬된다. 상부 기둥 구조체(209)는 상부 도전체(110)로부터 하부 기둥 구조체(208)까지 연속적으로 연장된다. 몇몇 실시예에서, 상부 기둥 구조체(209)는 히터 비아(210) 및 히터 비아(210) 위에 놓여 있는 히터 와이어(212)를 포함한다. 도전성 비아(216)는 상부 도전체(110) 위에 놓이고 히터 구조체(111)에 전기적으로 결합된다. 몇몇 실시예에서, 도전성 비아(216), 히터 비아(210), 및/또는 히터 와이어(212)는 예를 들어, 각각 텅스텐, 알루미늄, 구리, 상기 것들의 임의의 조합 등일 수도 있거나 또는 이들을 포함할 수도 있다. 또 다른 실시예에서, 상부 도전체(110)는 예를 들어, 티타늄, 탄탈, 티타늄 질화물, 탄탈 질화물, 상기 것들의 임의의 조합 등일 수도 있거나 또는 이들을 포함할 수도 있다.
또한, 적합한 신호(예를 들어, 전압, 전류 등)의 인가시에, 히터 구조체(111)는 예시적인 열파(heat wave)(220)에 의해 예시되어 있는 바와 같이, 열을 발생시키는 하나 이상의 재료를 포함한다. 히터 구조체(111)는 제1 도파로(112)에 굴절률을 변화시키기 위해 제1 도파로(112)에 열을 인가하도록 구성되어, 이에 의해 제1 도파로(112)의 활성 영역을 진행할 때 광의 위상을 변화시킨다. 동작 중에, 광의 위상의 변화는 이하의 식:
Figure 112020034578531-pat00001
에 의해 제1 도파로(112)의 온도 변화에 의존하는데, 여기서
Figure 112020034578531-pat00002
는 제1 도파로(112)의 재료의 열광학 계수이고, ΔT는 제1 도파로(112)의 온도 변화이고, L은 히터 구조체(111)에 노출될 때 광이 진행하는 길이이고, λ는 광의 파장이다. 예를 들어, 몇몇 실시예에서, 제1 도파로(112)는 켈빈(Kelvin)당 약 1.86×10-4의 열광학 계수를 갖는 실리콘을 포함하는데, 이는 실리콘의 굴절률이 매 6 켈빈(또는 섭씨 6도) 온도 변화마다 1.1×10-3만큼 변화한다는 것을 지시한다.
히터 기둥 구조체(108) 위에 놓여 있는 상부 도전체(110)에 의해, 열이 상부 도전체(110)로부터 상부 기둥 구조체(209) 및 하부 기둥 구조체(208)로 전도될 수도 있다. 상부 기둥 구조체(209) 및 하부 기둥 구조체(208)는 상부 도전체(110)보다 제1 도파로(112)에 더 근접하기 때문에, 열은 제1 도파로(112)로 더 효과적으로 지향될 수도 있다. 이는 이어서, 변조기 디바이스(100)의 열 효율을 증가시키고 변조기 디바이스(100)의 전력 소비를 감소시킬 수도 있다. 또한, 제1 도파로(112) 바로 위에 놓여 있는 상부 도전체(110) 및 제1 도파로(112)를 적어도 부분적으로 측방향으로 에워싸는 히터 기둥 구조체(108)에 의해, 히터 구조체(111)는 예시적인 열파(220)를 제1 도파로(112)의 활성 영역 주위의 영역[예를 들어, 제1 및/또는 제2 도핑 영역(112a, 112b)]으로 구속할 수도 있다. 이는 예시적인 열파(220)가 기판(202) 위에 배치된 다른 디바이스 및/또는 구조체로 복사되는 것을 방지하여, 이에 의해 변조기 디바이스(100)의 열 효율을 더 증가시킬 수도 있다. 게다가, 이는 변조기 디바이스(100)의 전력 소비를 더 감소시킬 수도 있다.
또한, 히터 구조체(111)는 주위 유전성 재료(들) 및/또는 구조체(들)보다 높은 열전도도를 갖는 재료(들)를 포함할 수도 있어, 히터 구조체(111)는 열을 효과적으로 생성하여 제1 도파로(112)의 활성 영역을 향해 지향시킬 수도 있다. 몇몇 실시예에서, 하부 기둥 구조체(208)는 제1 열전도도를 갖는 제1 재료(들)(예를 들어, 진성 실리콘)를 포함하고, 상부 기둥 구조체(209)는 제2 열전도도를 갖는 제2 재료(들)(예를 들어, 알루미늄, 구리, 텅스텐, 상기 것들의 임의의 조합 등)를 포함하고, 상부 도전체(110)는 제3 열전도도를 갖는 제3 재료(들)(예를 들어, 티타늄 질화물, 탄탈 질화물, 티타늄 등)를 포함하고, , 및 하부 ILD 구조체(206) 및/또는 상부 ILD 구조체(214)는 제4 열전도도를 갖는 유전성 재료(들)(예를 들어, 실리콘 산화물, 저-k 유전성 재료, 실리콘 탄화물, 상기 것들의 조합 등)를 포함한다. 제4 열전도도는 제1 열전도도, 제2 열전도도 및 제3 열전도도 각각보다 작아, 히터 구조체(111)에 의해 발생된 열이 상부 도전체(110)로부터 히터 기둥 구조체(108)로 효과적으로 전도될 수도 있게 된다. 히터 기둥 구조체(108)는 상부 도전체(110)보다 제1 도파로(112)에 더 근접하기 때문에, 열은 제1 도파로(112)를 향해 더 쉽게 지향될 수도 있다. 따라서, 히터 구조체(111)는 하부 ILD 구조체(206)보다 더 쉽게 제1 도파로(112)를 향해 열을 전도할 수도 있고 그리고/또는 상부 ILD 구조체(214)는 제1 도파로(112)로부터 이격하여 열을 전도할 수도 있다. 이는 이어서, 또한 변조기 디바이스(200a)의 열 효율을 증가시키고 변조기 디바이스(200a)의 전력 소비를 감소시킬 수도 있다.
몇몇 실시예에서, 하부 기둥 구조체(208)의 제1 열전도도는 약 150 미터 켈빈당 와트[W/(m*K)] 또는 약 125 내지 175 W/(m*K)의 범위 이내일 수도 있다. 몇몇 실시예에서, 상부 기둥 구조체(209)의 제2 열전도도는 약 225 W/(m*K), 약 400 W/(m*K), 또는 약 210 내지 420 W/(m*k)의 범위 이내에 있을 수도 있다. 따라서, 몇몇 실시예에서, 하부 기둥 구조체(208)의 제1 열전도도는 상부 기둥 구조체(209)의 제2 열전도도보다 작을 수도 있다. 몇몇 실시예에서, 상부 도전체(110)의 제3 열전도도는 약 12 W/(m*K), 약 12 W/(m*K), 약 60 W/(m*K), 또는 약 10 내지 100 W/(m*K)의 범위 이내에 있을 수도 있다. 다른 실시예에서, 히터 구조체(111) 및/또는 제1 도파로(112)를 둘러싸는 유전층(들) 및/또는 구조체(들)[예를 들어, 하부 ILD 구조체(206) 및/또는 상부 ILD 구조체(214)]의 제4 열전도도는 약 1 W/(m*K), 약 5 W/(m*K), 또는 약 0.5 내지 50 W/(m*K)의 범위 이내일 수도 있다.
도 2b는 제1 도파로(112) 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체(111)를 포함하는 변조기 디바이스(200b)의 몇몇 실시예의 단면도를 도시하고 있다.
몇몇 실시예에서, 제1 도파로(112)는 기판 재료(예를 들어, 실리콘)를 포함하고, 제1 도핑 영역(112a), 제2 도핑 영역(112b) 및 제1 및 제2 도핑 영역(112a, 112b) 사이에 측방향으로 배치된 중앙 미도핑 영역(112c)을 포함한다. 제1 도핑 영역(112a)은 예를 들어, 제1 도핑 유형(예를 들어, n-형)을 포함할 수도 있고, 제2 도핑 영역(112b)은 예를 들어, 제1 도핑 유형에 반대되는 제2 도핑 유형(예를 들어, p-형)을 포함할 수도 있다. 다른 실시예에서, 중앙 미도핑 영역(112c)은 진성 실리콘일 수도 있거나 또는 이들을 포함할 수도 있다. 몇몇 실시예에서, 제1 및 제2 도핑 영역(112a, 112b)은 중앙 미도핑 영역(112c)의 최상부면 아래에 배치된 최상부면을 가질 수도 있다. 몇몇 실시예에서, 제1 및 제2 도핑 영역(112a, 112b)은 하나 이상의 도전성 비아 및/또는 와이어(도시되어 있지 않음)에 직접 전기적으로 결합된다. 이러한 실시예에서, 제1 도파로(112)의 활성 영역을 통해 진행하는 광의 위상을 변화시키기 위해 제1 방향으로 제1 및 제2 도핑 영역(112a, 112b)을 가로질러 바이어스가 인가될 수도 있으며, 여기서 광은 제1 방향에 수직인 제2 방향으로 진행한다. 다른 실시예에서, 하부 기둥 구조체(208)의 최상부면은 중앙 미도핑 영역(112c)의 최상부면과 정렬된다.
도 3a는 제1 도파로(112) 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체(111)를 포함하는 변조기 디바이스의 몇몇 실시예의 평면도(300a)를 도시하고 있는데, 제1 도파로(112)는 제2 도파로(115)에 직접 연결되어 있다. 몇몇 실시예에서, 도 3a의 평면도(300a)는 도 2a의 라인 A-A'를 따라 취한 도 2a의 변조기 디바이스(200a)의 몇몇 대안 실시예에 대응한다. 다른 실시예에서, 도 2a의 단면도는 도 3a의 라인 B-B'로부터 취해져 있을 수도 있다.
제1 도파로(112)의 활성 영역(302)은 제1 도파로(112)의 제1 및 제2 도핑 영역(112a, 112b) 및 히터 구조체(111)의 적어도 일부를 포함할 수도 있다. 몇몇 실시예에서, 제1 도파로(112)의 활성 영역(302)은 위에서부터 볼 때 만곡된 직사각형 구조체와 유사하다. 활성 영역(302)은 제1 도파로(112)의 비활성 영역(304)과 연속적으로 연결될 수도 있다. 몇몇 실시예에서, 제1 도파로(112)의 비활성 영역(304)은 예를 들어, 미도핑 실리콘, 진성 실리콘 등일 수도 있거나 또는 이들을 포함할 수도 있다. 다른 실시예에서, 제2 도파로(115)는 제1 도파로(112)와 직접 접촉하고, 예를 들어 제1 도파로(112)의 비활성 영역(304)과 동일한 재료(예를 들어, 진성 실리콘)를 포함할 수도 있다. 따라서, 제1 도파로(112)는 제2 도파로(115)에 광학적으로 결합된다. 또한, 히터 구조체(111)는 활성 영역(302) 위에 놓이고, 열을 발생하여 제1 도파로(112)의 활성 영역(302)으로 지향시키도록 구성된다. 히터 구조체(111)는 상부 도전체(110) 및 히터 기둥 구조체(108)를 포함하여, 제1 도파로(112)의 활성 영역(302)이 히터 기둥 구조체(108)의 측벽들 사이에서 측방향으로 이격되게 된다. 이는 부분적으로, 히터 구조체(111)가 열을 발생하여 활성 영역(302)에 구속하는 것을 용이하게 하여, 열이 제1 도파로(112)를 통해 진행하는 광의 위상에 영향을 미칠 수도 있게 된다. 몇몇 실시예에서, 상부 도전체(110) 및/또는 히터 기둥 구조체(108)는 제1 도파로(112)의 활성 영역(302)의 형상에 합치하는 만곡된 직사각형 구조체를 각각 갖는다. 따라서, 히터 구조체(111)는 열을 발생하여 제1 도파로(112)의 활성 영역(302)에 구속하도록 구성되어, 이에 의해 변조기 디바이스의 열 효율을 증가시키고 변조기 디바이스의 전력 소비를 감소시킨다.
도 3b는 제1 도파로(112) 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체(111)를 포함하는 변조기 디바이스의 몇몇 실시예의 평면도(300b)를 도시하고 있는데, 제1 도파로(112)는 제2 도파로(115)에 직접 연결되어 있다. 몇몇 실시예에서, 도 3b의 평면도(300b)는 도 2a의 라인 A-A'를 따라 취한 도 2a의 변조기 디바이스(200a)의 몇몇 대안 실시예에 대응한다. 다른 실시예에서, 도 2a의 단면도는 도 3a의 라인 B-B'로부터 취해져 있을 수도 있다.
도 3b의 평면도(300b)에 도시되어 있는 바와 같이, 위에서부터 볼 때, 상부 도전체(110) 및 히터 기둥 구조체(108)는 제1 도파로(112)의 활성 영역(302)의 만곡된 직사각형 형상과는 상이한 직사각형 형상을 각각 갖는다. 따라서, 히터 구조체(111)는 활성 영역(302) 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸서, 히터 구조체(111)가 제1 도파로(112)의 활성 영역(302)에 열을 구속하도록 구성되게 된다.
도 3c는 히터 구조체(111) 및 제1 도파로(112)를 포함하는 변조기 디바이스의 몇몇 실시예의 평면도(300c)를 도시하고 있는데, 제1 도파로(112)는 제2 도파로(115)에 직접 연결되어 있다. 몇몇 실시예에서, 도 3c의 평면도(300c)는 도 2b의 라인 A-A'를 따라 취한 도 2b의 변조기 디바이스(200b)의 몇몇 대안 실시예에 대응한다. 다른 실시예에서, 도 2b의 단면도는 도 3c의 라인 B-B'로부터 취해져 있을 수도 있다.
제1 도파로(112)의 중앙 미도핑 영역(112c)은 제1 도파로(112)의 제1 및 제2 도핑 영역(112a, 112b) 사이에 측방향으로 개재된다. 따라서, 활성 영역(302)은 중앙 미도핑 영역(112c), 제1 도핑 영역(112a) 및 제2 도핑 영역(112b)을 포함한다. 히터 구조체(111)의 상부 도전체(110)는 제1 도파로(112)의 활성 영역(302) 위에 놓여 있다. 또한, 제1 도파로(112)의 활성 영역(302)은 히터 기둥 구조체(108)의 내부 측벽들 사이에 측방향으로 이격되어 있다.
도 4a는 제1 도파로(112) 바로 위에 놓여 있는 히터 구조체(111)를 포함하는 변조기 디바이스의 몇몇 실시예의 평면도(400a)를 도시하고 있는데, 제1 도파로(112)는 제2 도파로(115)로부터 측방향으로 오프셋되어 있다. 몇몇 실시예에서, 도 4a의 평면도(400a)는 도 2b의 라인 A-A'를 따라 취한 도 2b의 변조기 디바이스(200b)의 몇몇 대안 실시예에 대응한다. 다른 실시예에서, 도 2b의 단면도는 도 4a의 라인 B-B'로부터 취해져 있을 수도 있다. 또한, 평면도(400a)는 도 2a의 라인 A-A'를 따라 취한 도 2a의 변조기 디바이스(200a)의 몇몇 대안 실시예에 대응할 수도 있고, 여기서, 중앙 미도핑 영역(112c)은 생략되어 있고 제1 도핑 영역(112a)은 제2 도핑 영역(112b)(도시되어 있지 않음)과 직접 접촉한다. 이러한 실시예에서, 도 2a의 단면도는 도 4a의 라인 B-B'로부터 취해져 있을 수도 있다.
몇몇 실시예에서, 위에서부터 볼 때, 제1 도파로(112)는 링형 구조체와 유사하다. 제1 도파로의 활성 영역(302)은 히터 구조체(111), 제1 도핑 영역(112a), 제2 도핑 영역(112b), 및 중앙 미도핑 영역(112c)을 포함할 수도 있다. 활성 영역(302)은 제1 도파로(112)의 비활성 영역(304)과 연속적으로 연결될 수도 있다. 비활성 영역(304)은 중앙 미도핑 영역(112c)을 포함할 수도 있다. 또한, 제2 도파로(115)는 제1 도파로(112) 옆에 측방향으로 배치된다. 몇몇 실시예에서, 제2 도파로(115)는 제1 도파로(112)의 중앙 미도핑 영역(112c)과 동일한 재료를 포함한다. 몇몇 실시예에서, 제2 도파로(115)는 입력 단자(101), 제2 도파로(115), 및 출력 단자(103)가 평면 내에서 라인을 따라 동일 선상에 있도록 실질적으로 직선형일 수도 있다. 다른 실시예에서, 제2 도파로는 몇몇 만곡부(도시되어 있지 않음)를 포함할 수도 있다. 제2 도파로(115)는 광을 구속하고 전달하도록 구성된다. 제2 도파로(115)는 제1 도파로(112) 옆에 측방향으로 배열된다. 몇몇 실시예에서, 제1 및 제2 도파로(112, 115)는, 이들이 서로 직접 접촉하지 않지만, 제1 및 제2 도파로(112, 115)가 서로 광학적으로 결합되도록 서로 충분히 가깝게 배열된다. 이러한 실시예에서, 제1 도파로(112)는 0이 아닌 거리만큼 제2 도파로(115)로부터 측방향으로 오프셋된다. 몇몇 실시예에서, 제1 도파로(112)의 비활성 영역(304)은 제2 도파로(115)에 가장 가까운 제1 도파로(112)의 부분이다. 따라서, 히터 구조체(111)는 제1 도파로(112)의 비활성 영역(304)과 제2 도파로(115) 사이의 직접 광학적 결합에 간섭하지 않는다.
도 4a의 평면도(400a)에 도시되어 있는 바와 같이, 히터 구조체(111)는 제1 도파로(112)의 링형 형상에 합치하는 아치형 형상을 갖는다. 또한, 제1 도파로(112)의 활성 영역(302) 내에서, 제1 도핑 영역(112a), 제2 도핑 영역(112b), 및 중앙 미도핑 영역(112c)은 히터 기둥 구조체(108)의 측벽들 사이에 측방향으로 이격되어 있다. 이는 부분적으로 히터 구조체(111)가 제1 도파로의 활성 영역(302)으로 열을 지향하여 구속할 수도 있게 하여, 이에 의해 제2 도파로(115)를 통한 광의 전달에 영향을 미칠 수도 있는 열을 최소화시킨다.
도 4b는 제1 도파로(112)의 활성 영역(302) 내에 및/또는 주위에 배치된 히터 구조체(111)를 갖는 변조기 디바이스를 통과하는 예시적인 광 경로의 몇몇 실시예의 평면도(400b)를 도시하고 있다.
몇몇 실시예에서, 변조기 디바이스의 동작 중에, 제1 위상을 갖는 광은 제2 도파로(115)를 따라 제1 예시적인 광 경로(402)를 통해 진행한다. 제1 예시적인 광 경로(402)는, 제1 및 제2 도파로(112, 115)가 함께 광학적으로 결합되기 때문에 제1 입력 영역(112i)을 통해 제1 도파로(112)의 비활성 영역(304) 내로 진행할 수도 있다. 광은 이어서 제2 예시적인 광 경로(404)에 진입할 수도 있고, 여기서 전압은 제1 도파로(112)의 제1 도핑 영역(112a) 및 제2 도핑 영역(112b)에 각각 결합된 제1 단자(Vf) 및 제2 단자(Vs)를 가로질러 선택적으로 인가될 수도 있다. 또한, 광이 제2 예시적인 광 경로(404)를 통해 진행할 때, 히터 전압은 히터 구조체(111)에 결합된 히터 단자(Vh)에 선택적으로 인가될 수도 있어, 광은 제1 도파로(112)의 활성 영역(302) 내에 있는 동안 제1 위상으로부터 제2 위상으로 변화하게 된다. 광이 제2 예시적인 광 경로(404)를 따라 진행함에 따라, 히터 구조체(111)는 제1 도파로(112)의 활성 영역(302)으로 열을 지향하고 그리고/또는 구속할 수도 있어, 제1 위상이 정확하고 효율적으로 제2 위상으로 변화할 수도 있게 된다. 제1 도파로(112)의 활성 영역(302)을 통과한 후, 제2 예시적인 광 경로(404) 내의 광은 이어서 제1 출력 영역(112o)을 통해 제1 도파로(112)의 비활성 영역(304)을 빠져나가 제1 예시적인 광 경로(402)와 결합할 수도 있다. 그 후에, 몇몇 실시예에서, 제1 출력 영역(112o)을 통해 빠져나간 후, 광은 제3 예시적인 광 경로(406)에 진입할 수도 있고, 여기서 광은 제1 및 제2 예시적인 광 경로(402, 404)를 통해 진행하는 광 사이의 보강 및/또는 상쇄 간섭으로 인해 제3 위상을 갖는다. 따라서, 제1 도파로(112)의 활성 영역(302)이 "온" 상태(즉, 전압이 Vf, Vs 및/또는 Vh로 인가됨)일 때, 광은 광학 신호를 통해 디지털 데이터를 전송하기 위해 제1 위상으로부터 제3 위상으로 Vf, Vs, 및/또는 Vh로 인가된 전압에 따라 선택적으로 변화되거나 변조될 수도 있다.
도 3a, 도 3b, 도 3c, 도 4a 및 도 4b를 참조하면, 하부 ILD 구조체(도 2a 또는 도 2b의 206) 및/또는 상부 ILD 구조체(도 2a 또는 도 2b의 214)와 같은 도 2a 또는 도 2b의 변조기 디바이스(200a 또는 200b)로부터의 다수의 구조체 및/또는 층은 용이한 예시를 위해 도 3a, 도 3b, 도 3c, 도 4a 및 도 4b에는 생략되어 있다. 또한, 히터 구조체(111)의 상부 도전체(110)는 상부 도전체(110) 아래에 놓여 있는 층들 및/또는 구조체들의 위치/레이아웃을 더 쉽게 예시하기 위해 도 3a, 도 3b, 도 3c, 도 4a 및 도 4b에서는 적어도 부분적으로 투명하다.
도 5는 포토다이오드(502) 옆에 배치되고 기판(202) 위에 놓여 있는 변조기 디바이스(505)를 포함하는 집적 칩(500)의 몇몇 실시예의 단면도를 도시하고 있다. 몇몇 실시예에서, 변조기 디바이스(505)는 도 2a 또는 도 2b의 변조기 디바이스(200a 또는 200b)로서 구성된다.
격자 구조체(501)가 기판(202) 위에 놓여서, 포토다이오드(502)가 변조기 디바이스(505)와 격자 구조체(501) 사이에 측방향으로 배치된다. 변조기 디바이스(505)는 히터 구조체(111) 및 제1 도파로(112)를 포함한다. 격자 구조체(501)는 광원에 결합될 수도 있고, 광원으로부터 기판(202) 위에 놓여 있는 하나 이상의 다른 디바이스[예를 들어, 포토다이오드(502), 변조기 디바이스(505) 등] 내로 광을 안내할 수도 있다. 포토다이오드(502)는 광을 수용하고 광을 디지털 신호로 전송하도록 구성될 수도 있다. 몇몇 실시예에서, 하나 이상의 포토다이오드(502)가 제1 도파로(112) 및/또는 제2 도파로(도 2a 또는 도 2b의 115)에 결합될 수도 있다. 포토다이오드(502) 및/또는 격자 구조체(501)는 히터 구조체(111)로부터 각각 측방향으로 오프셋되어, 히터 구조체(111)에 의해 발생된 열이 포토다이오드(502) 및/또는 격자 구조체(501)로부터 격리되게 된다. 또한, 히터 구조체(111)가 구성되는 재료 및/또는 히터 구조체(111)의 형상에 의해, 열은 제1 도파로(112)의 활성 영역으로 구속될 수도 있다.
포토다이오드(502)는 벌크 유전성 구조체(204)와 직접 접촉하여 하부 ILD 구조체(206) 내에 배치될 수도 있다. 포토다이오드(502)는 반도체 재료를 포함할 수도 있고, 포토다이오드 p-형 측면(502a), 포토다이오드 n-형 측면(502b), 및 포토다이오드 접촉층(502f) 위에 배치된 포토다이오드 미도핑 중앙부(502c)를 포함한다. 몇몇 실시예에서, 포토다이오드 p+ 부분(502d)은 포토다이오드 p-형 측면(502a) 위에 배열될 수도 있고, 포토다이오드 n+ 부분(502e)은 포토다이오드 n-형 측면(502b) 위에 배열될 수도 있다. 포토다이오드 p+ 부분(502d) 및 포토다이오드 n+ 부분은 각각 도전성 비아(518)에 결합될 수도 있다. 제1 포토다이오드 절연체층(502h)이 포토다이오드 미도핑 중앙부(502c) 위에 배열될 수도 있고, 측벽 스페이서 구조체(502g)가 제1 포토다이오드 절연체층(502h)을 측방향으로 둘러싼다. 몇몇 실시예에서, 포토다이오드 p-형 측면(502a), 포토다이오드 n-형 측면(502b), 및 포토다이오드 미도핑 중앙부(502c)는 제1 도파로(112)와는 상이한 반도체 재료를 포함할 수도 있다. 몇몇 실시예에서, 제1 도파로(112)는 실리콘을 포함할 수도 있고, 반면 포토다이오드 p-형 측면(502a), 포토다이오드 n-형 측면(502b), 및 포토다이오드 미도핑 중앙부(502c)는 각각 게르마늄을 포함할 수도 있다.
몇몇 실시예에서, 복수의 도전성 비아(518) 및 복수의 도전성 와이어(520)가 기판(202) 위에 놓여 있는 상호 접속 구조체 내에 배치된다. 복수의 도전성 비아 및 와이어(518, 520)는 기판(202) 위에 놓여 있는 반도체 디바이스를 서로 전기적으로 결합하도록 구성된다. 다른 실시예에서, 도전성 비아(518)는 제1 도파로(112)의 제1 도핑 영역(112a)과 접촉하고 그리고/또는 전기적으로 결합되고, 도전성 비아(518)는 제1 도파로(112)의 제2 도핑 영역(112b)과 접촉하고 그리고/또는 전기적으로 결합된다. 따라서, 신호(예를 들어, 전압, 전류 등)가 도전성 비아(518) 및/또는 도전성 와이어(520)를 통해 제1 도파로(112)를 가로질러 인가될 수도 있다.
복수의 도전성 비아 및/또는 와이어(518, 520)는 기판 위에 놓여 있는 복수의 유전층 내에 배치된다. 예를 들어, 복수의 유전층은 하부 ILD 구조체(206) 위에 놓여 있는 제1 유전층(503) 및 제2 유전층(504)을 포함한다. 몇몇 실시예에서, 제1 및 제2 유전층(503, 504)은 예를 들어 포토다이오드(502)를 보호하도록 구성될 수도 있고, 각각 실리콘 탄화물, 실리콘 질화물, 이산화 실리콘 등일 수도 있거나 또는 이들을 포함할 수도 있다. 제3 유전층(506)이 제2 유전층(504) 위에 놓이고, 예를 들어 이산화 실리콘과 같은 산화물, 저-k 유전성 재료, 실리콘 산질화물 등일 수도 있거나 또는 이들을 포함할 수도 있다. 하부 에칭 정지층(508)이 제3 유전층(506) 위에 놓여 있다. 몇몇 실시예에서, 하부 에칭 정지층(508)은 예를 들어 실리콘 질화물, 실리콘 탄화물 등일 수도 있거나 또는 이들을 포함할 수도 있다. 층간 유전성(ILD) 층(509)이 하부 에칭 정지층(508) 위에 놓여 있다. 다른 실시예에서, ILD 층(509)은 예를 들어, 이산화 실리콘, 다른 산화물, 저-k 유전성 재료, 극저-k 유전성 재료 등일 수도 있거나 또는 이들을 포함할 수도 있다. 상부 에칭 정지층(510)이 ILD 층(509) 위에 놓여 있다. 다른 실시예에서, 상부 에칭 정지층(510)은 예를 들어 실리콘 질화물, 실리콘 탄화물, 실리콘 산탄화물, 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 제4 유전층(512)이 상부 에칭 정지층(510) 위에 놓여 있다. 몇몇 실시예에서, 제4 유전층(512)은 예를 들어 테트라에틸-오소실리케이트(TEOS) 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 또한, 제1 패시베이션층(522) 및 제2 패시베이션층(524)이 상부 에칭 정지층(510) 위에 배치된다. 몇몇 실시예에서, 제1 패시베이션층(522)은 예를 들어, 미도핑 실리케이트 글래스, 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 다른 실시예에서, 제2 패시베이션층(524)은 예를 들어 실리콘 질화물, 실리콘 탄화물 등일 수도 있거나 또는 이들을 포함할 수도 있다. 몇몇 실시예에서, 본드 패드(530)가 복수의 도전성 비아(518) 및 복수의 도전성 와이어(520) 위에 놓여, 본드 패드(530)가 도전성 비아 및 와이어(518, 520)를 통해 포토다이오드(502)에 전기적으로 결합되게 된다. 본드 패드(530)는 기판(202) 위에 배치된 반도체 디바이스를 다른 집적 칩(도시되어 있지 않음)에 전기적으로 결합하도록 구성될 수도 있다.
몇몇 실시예에서, 히터 구조체(111)의 상부 도전체(110)는 하부 에칭 정지층(508) 위에 놓여 있는 ILD 층(509)의 상부면을 따라 배치된다. 또한, 히터 기둥 구조체(108)의 히터 와이어(212)는 ILD 층(509) 및 하부 에칭 정지층(508)에 의해 측방향으로 에워싸인다. 더욱이, 몇몇 실시예에서, 히터 와이어(212)의 저면 및 상면은 복수의 도전성 와이어(520)의 최하층 내에 배치된 도전성 와이어(520)의 저면 및 상면과 각각 정렬된다. 몇몇 실시예에서, 복수의 도전성 와이어(520)의 최하층은 예를 들어 단일 다마신 프로세스(single damascene process) 또는 이중 다마신 프로세스(dual damascene process)에 의해 히터 와이어(212)와 동시에 형성된다. 또한, 히터 비아(210)는 히터 와이어(212)로부터 제1, 제2 및 제3 유전층(503, 504, 506)을 통해 하부 기둥 구조체(208)로 연장된다. 몇몇 실시예에서, 히터 비아(210)의 저면 및 상면은 복수의 도전성 비아(518)의 최하층 내에 배치된 도전성 비아(518)의 저면 및 상면과 각각 정렬된다. 몇몇 실시예에서, 복수의 도전성 비아(518)의 최하층은 예를 들어 단일 다마신 프로세스 또는 이중 다마신 프로세스에 의해 히터 비아(210)와 동시에 형성된다. 몇몇 실시예에서, 도전성 비아(518) 및 히터 비아(210)는 예를 들어 구리, 알루미늄, 텅스텐, 상기 것들의 임의의 조합 등과 같은 동일한 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 다른 실시예에서, 도전성 와이어(520) 및 히터 와이어(212)는 예를 들어 구리, 알루미늄, 텅스텐, 상기 것들의 임의의 조합 등과 같은 동일한 재료일 수도 있거나 또는 이들을 포함할 수도 있다.
도 6 내지 도 12는 본 개시내용에 따른 도파로 구조체 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체를 갖는 변조기 디바이스를 형성하기 위한 방법의 몇몇 실시예의 단면도(600 내지 1200)를 도시하고 있다. 도 6 내지 도 12에 도시되어 있는 단면도(600 내지 1200)는 방법을 참조하여 설명되지만, 도 6 내지 도 12 도시되어 있는 구조체들은 방법에 한정되는 것은 아니고, 오히려 방법과 별도로 자립할 수도 있다는 것이 이해될 수 있을 것이다. 도 6 내지 도 12는 일련의 동작으로서 설명되지만, 이들 동작은 동작의 순서가 다른 실시예에서 변경될 수 있다는 점에서 한정되는 것은 아니며, 개시된 방법은 다른 구조체에도 또한 적용 가능하다는 것이 이해될 수 있을 것이다. 다른 실시예에서, 도시되어 있는 그리고/또는 설명된 몇몇 동작은 전체적으로 또는 부분적으로 생략될 수도 있다.
도 6의 단면도(600)에 도시되어 있는 바와 같이, 반도체 기판 구조체(604)가 제공된다. 몇몇 실시예에서, 반도체 기판 구조체(604)는 예를 들어, 실리콘-온-절연체(SOI) 기판일 수도 있거나 또는 이를 포함할 수도 있다. 반도체 기판 구조체(604)는 기판(202), 벌크 유전성 구조체(204), 및 디바이스 층(602)을 포함할 수도 있다. 벌크 유전성 구조체(204)는 디바이스 층(602)과 기판(202) 사이에 배치된다. 다른 실시예에서, 벌크 유전성 구조체(204)는 기판(202) 위에 형성되고, 디바이스 층(602)은 벌크 유전성 구조체(204) 위에 형성된다. 몇몇 실시예에서, 벌크 유전성 구조체(204)는 예를 들어, 이산화 실리콘과 같은 산화물 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 다른 실시예에서, 기판(202) 및/또는 디바이스 층(602)은 예를 들어, 각각 진성 실리콘, 벌크 실리콘, 다른 적합한 벌크 기판 재료 등일 수도 있거나 또는 이들을 포함할 수도 있다. 몇몇 실시예에서, 디바이스 층(602)은 기판(202)과 동일한 재료를 포함한다.
도 7의 단면도(700)에 도시되어 있는 바와 같이, 디바이스 층(도 6의 602)이 패터닝되어, 이에 의해 제1 도파로(112) 및 하부 기둥 구조체(208)를 형성한다. 몇몇 실시예에서, 패터닝 프로세스는 또한 제1 도파로(112)에 광학적으로 결합된 제2 도파로(도 3a 내지 도 3c 또는 4a 및 도 4b의 115)를 형성한다. 또 다른 실시예에서, 도 7의 패터닝 프로세스 후의 제1 도파로(112) 및/또는 제2 도파로(도 3a 내지 도 3c 또는 4a 및 도 4b의 115)의 평면도 레이아웃은 도 3a 내지 도 3c 또는 도 4a 및 도 4b의 평면도(300a 내지 300c 또는 400a 내지 400b)에 대응할 수도 있다. 따라서, 몇몇 실시예에서, 제1 도파로(112), 제2 도파로(도 3a 내지 도 3c 또는 4a 및 도 4b의 115) 및 하부 기둥 구조체(208)는 동시에 형성된다. 또한, 제1 도파로(112)는 하부 기둥 구조체(208)의 내부 측벽들 사이에 측방향으로 이격되어 있는 이러한 방식으로 형성된다. 몇몇 실시예에서, 패터닝 프로세스는 디바이스 층(도 6의 602) 위에 마스킹층(도시되어 있지 않음)을 형성하는 단계; 디바이스 층(도 6의 602)의 비마스킹 영역을 하나 이상의 에칭제에 노출시켜, 이에 의해 제1 도파로(112), 하부 기둥 구조체(208), 및 제2 도파로(도 3a 내지 도 3c 또는 4a 및 도 4b의 115)를 형성하는 단계; 및 마스킹층을 제거하기 위해 제거 프로세스를 수행하는 단계를 포함할 수도 있다.
도 8의 단면도(800)에 도시되어 있는 바와 같이, 제1 도파로(112) 내에 제1 도핑 영역(112a) 및 제2 도핑 영역(112b)을 형성하기 위해 이온 주입 프로세스가 제1 도파로(112) 상에 수행된다. 몇몇 실시예에서, 제1 도핑 영역(112a)은 제1 도핑 유형(예를 들어, p-형)을 포함하고, 제2 도핑 영역(112b)은 제1 도핑 유형에 반대되는 제2 도핑 유형(예를 들어, n-형)을 포함한다. 다양한 실시예에서, 제1 도핑 유형은 n-형이고, 제2 도핑 유형은 p-형이고, 또는 그 반대도 마찬가지이다. 다른 실시예에서, 이온 주입 프로세스는 하나 이상의 마스킹층(도시되어 있지 않음)에 따라 제1 도파로(112) 내에 이온을 선택적으로 주입하는 단계를 포함한다. 예를 들어, 제1 선택적 이온 주입 프로세스가 제1 도핑 영역(112a)을 형성하기 위해 수행될 수도 있고, 제2 선택적 이온 주입 프로세스가 제2 도핑 영역(112b)을 형성하기 위해 수행될 수도 있다. 다른 실시예에서, 이온 주입 프로세스는 도 3a 내지 도 3c 또는 도 4a 및 도 4b에 도시되어 있고 그리고/또는 설명된 바와 같이 제1 도파로(112)의 활성 영역(예를 들어, 도 3a 내지 도 3c 또는 도 4a 및 도 4b의 302)을 형성한다.
도 9의 단면도(900)에 도시되어 있는 바와 같이, 유전층(902)의 스택은 벌크 유전성 구조체(204), 제1 도파로(112), 및 하부 기둥 구조체(208) 위에 형성된다. 몇몇 실시예에서, 유전층(902)의 스택은 하부 층간 유전성(ILD) 구조체(206), 제1 유전층(503), 제2 유전층(504), 제3 유전층(506), 하부 에칭 정지층(508), 및 ILD 층(509)을 포함한다. 몇몇 실시예에서, 유전층(902)의 스택 내의 층들은 예를 들어, 물리적 기상 증착(physical vapor deposition: PVD), 화학적 기상 증착(chemical vapor deposition: CVD), 원자층 증착(atomic layer deposition: ALD), 또는 다른 적합한 증착 프로세스에 의해 각각 증착될 수도 있다. 몇몇 실시예에서, 제1 및 제2 유전층(503, 504)은 예를 들어, 각각 실리콘 탄화물, 실리콘 질화물, 이산화 실리콘 등일 수도 있거나 또는 이들을 포함할 수도 있다. 다른 실시예에서, 하부 ILD 구조체(206) 및/또는 제3 유전층(506)은 예를 들어, 각각 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 이산화 실리콘, 보로실리케이트 글래스(BSG), 인산 실리케이트 글래스(PSG), 보로포스포실리케이트 글래스(BPSG), 저-k 유전성 재료, 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 또 다른 실시예에서, 하부 에칭 정지층(508)은 예를 들어 실리콘 질화물, 실리콘 탄화물 등일 수도 있거나 또는 이들을 포함할 수도 있다. 몇몇 실시예에서, ILD 층(509)은, 예를 들어 이산화 실리콘과 같은 산화물, 저-k 유전성 재료, 극저-k 유전성 재료, 상기 것들의 임의의 조합, 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다.
도 10의 단면도(1000)에 도시되어 있는 바와 같이, 상부 기둥 구조체(209)가 하부 기둥 구조체(208) 위에 형성되어, 이에 의해 히터 기둥 구조체(108)를 형성한다. 히터 기둥 구조체(108)는 하부 기둥 구조체(208) 및 상부 기둥 구조체(209)를 포함할 수도 있다. 몇몇 실시예에서, 상부 기둥 구조체(209)는 히터 비아(210) 및 히터 와이어(212)를 포함한다. 다양한 실시예에서, 히터 비아(210)는 하부 에칭 정지층(508)이 제3 유전층(506) 위에 증착되기 전에 제1, 제2 및 제3 유전층(503, 504, 506) 내에 형성될 수도 있다. 몇몇 실시예에서, 히터 비아(210)는 단일 다마신 프로세스에 의해 형성될 수 있고 그리고/또는 도전성 비아(도 5의 518)의 최하층과 동시에 형성될 수도 있다. 히터 와이어(212)는 하부 에칭 정지층(508) 및 ILD 층(509) 내에 형성된다. 몇몇 실시예에서, 히터 와이어(212)는 단일 다마신 프로세스에 의해 형성될 수 있고 그리고/또는 도전성 와이어(도 5의 520)의 최하층과 동시에 형성될 수도 있다.
몇몇 실시예에서, 히터 비아(210)를 형성하는 데 사용되는 단일 다마신 프로세스는 상부 에칭 정지층(508)이 증착되기 전에 제3 유전층(506) 위에 마스킹층(도시되어 있지 않음)을 형성하는 단계; 제1, 제2, 제3 유전층(503, 504, 506) 및 하부 ILD 구조체(206)를 패터닝하여, 이에 의해 하부 기둥 구조체(208)의 상부면을 노출시키고 복수의 히터 비아 개구를 형성하는 단계; 히터 비아 개구 내에 도전성 재료(예를 들어, 구리, 알루미늄, 텅스텐, 상기 것들의 임의의 조합 등)를 증착(예를 들어, CVD, PVD, 스퍼터링, 무전해 도금 등에 의해)하는 단계; 및 제3 유전층(506)의 상부면에 도달할 때까지 도전성 재료 내로 평탄화 프로세스[예를 들어, 화학 기계적 평탄화(chemical mechanical planarization: CMP) 프로세스]를 수행하여, 이에 의해 히터 비아(210)를 형성하는 단계를 포함할 수도 있다. 몇몇 실시예에서, 유사한 단일 다마신 프로세스가 히터 와이어(212)를 형성하기 위해 수행될 수도 있는데, 여기서 패터닝 프로세스는 하부 에칭 정지층(508) 및 ILD 층(509) 내에 복수의 히터 와이어 개구를 형성하고, 히터 비아(210)의 상부면을 노출시킨다.
또 다른 실시예에서, 히터 비아(210)와 히터 와이어(212)는 동시에 형성될 수도 있다. 이러한 실시예에서, 상부 기둥 구조체(209)를 형성하기 위한 프로세스는 ILD 층(509) 위에 마스킹층(도시되어 있지 않음)을 형성하는 단계; 마스킹층에 따라 유전층(902)의 스택을 패터닝하여, 이에 의해 상부 기둥 구조체 개구를 형성하고 하부 기둥 구조체(208)의 상부면을 노출시키는 단계; 상부 기둥 구조체 개구 내에 도전성 재료(예를 들어, 텅스텐, 알루미늄, 구리, 상기 것들의 임의의 조합 등)를 증착하는 단계; 및 ILD 층(509)의 상부면에 도달할 때까지 도전성 재료 내로 평탄화 프로세스(예를 들어, CMP 프로세스)를 수행하여, 이에 의해 히터 비아(210) 및 히터 와이어(212)를 포함하는 상부 기둥 구조체(209)를 형성하는 단계를 포함할 수도 있다.
도 11의 단면도(1100)에 도시되어 있는 바와 같이, 상부 도전체(110)가 히터 와이어(212) 및 ILD 층(509)의 상부면을 따라 형성되어, 이에 의해 히터 구조체(111)를 형성한다. 몇몇 실시예에서, 히터 구조체(111)의 평면도 레이아웃은 도 3a 내지 도 3c 및 도 4a 및 도 4b의 히터 구조체(111)의 레이아웃에 대응할 수도 있어, 히터 구조체(111)가 제1 도파로(112)의 활성 영역 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸게 된다. 다양한 실시예에서, 상부 도전체(110)는, 제1 도파로(112) 바로 위에 놓이고 그리고/또는 히터 기둥 구조체(108)의 외부 측벽들 사이에서 연속적으로 측방향으로 연장하는 이러한 방식으로 형성된다. 몇몇 실시예에서, 상부 도전체(110)를 형성하기 위한 프로세스는, ILD 층(509)의 상부면 위에 도전층(예를 들어, 티타늄 질화물, 탄탈 질화물, 티타늄 등)을 증착하는 단계(예를 들어, CVD, PVD, 스퍼터, 전기도금 등에 의해); 도전층 위에 마스킹층(도시되어 있지 않음)을 형성하는 단계; 및 마스킹층에 따라 도전층을 패터닝하여, 이에 의해 상부 도전체(110)를 형성하는 단계를 포함한다.
도 12의 단면도(1200)에 도시되어 있는 바와 같이, 상부 에칭 정지층(510)이 상부 도전체(110) 및 ILD 층(509) 위에 형성된다. 몇몇 실시예에서, 상부 에칭 정지층(510)은 예를 들어 실리콘 질화물, 실리콘 탄화물, 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 또한, 상부 에칭 정지층(510)은 상부 도전체(110)의 측벽 및 상부면을 따라 연장될 수도 있다. 제4 유전층(512)이 상부 에칭 정지층(510) 위에 형성된다. 몇몇 실시예에서, 제4 유전층(512)은 예를 들어 테트라에틸 오소실리케이트(TEOS) 또는 다른 적합한 유전성 재료일 수도 있거나 또는 이들을 포함할 수도 있다. 더욱이, 제2 ILD 층(1202)이 제4 유전층(512) 위에 형성된다. 몇몇 실시예에서, 상부 에칭 정지층(510), 제4 유전층(512) 및/또는 제2 ILD 층(1202)은 각각 예를 들어, PVD, CVD, ALD, 또는 다른 적합한 증착 프로세스에 의해 증착될 수도 있다. 다른 실시예에서, 제2 ILD 층(1202)은 ILD 층(509)과 동일한 재료를 포함한다.
도 13은 본 개시내용에 따른 아래에 놓여 있는 도파로 구조체 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싸는 히터 구조체를 포함하는 변조기 디바이스를 형성하기 위한 방법(1300)을 도시하고 있다. 방법(1300)은 일련의 동작 또는 이벤트로서 도시되어 있고 그리고/또는 설명되지만, 방법은 도시되어 있는 순서 또는 동작에 한정되지 않는다는 것이 이해될 수 있을 것이다. 따라서, 몇몇 실시예에서, 동작은 도시되어 있는 것과는 상이한 순서로 수행될 수도 있고 그리고/또는 동시에 수행될 수도 있다. 또한, 몇몇 실시예에서, 도시되어 있는 동작 또는 이벤트는 다수의 동작 또는 이벤트로 세분될 수도 있는데, 이들은 별도의 시간에 또는 다른 동작 또는 하위 동작과 동시에 수행될 수도 있다. 몇몇 실시예에서, 몇몇 도시되어 있는 동작 또는 이벤트는 생략될 수도 있고, 다른 도시되어 있지 않은 동작 또는 이벤트가 포함될 수도 있다.
동작 1302에서, 제1 도파로가 기판 위에 형성된다. 도 7은 동작 1302의 몇몇 실시예에 대응하는 단면도(700)를 도시하고 있다.
동작 1304에서, 하부 기둥 구조체가 기판 위에 형성되어, 제1 도파로가 하부 기둥 구조체의 내부 측벽들 사이에 측방향으로 이격되게 된다. 도 7은 동작 1304의 몇몇 실시예에 대응하는 단면도(700)를 도시하고 있다.
동작 1306에서, 유전성 구조체가 하부 기둥 구조체 및 제1 도파로 위에 형성된다. 도 9는 동작 1306의 몇몇 실시예에 대응하는 단면도(900)를 도시하고 있다.
동작 1308에서, 상부 기둥 구조체가 유전성 구조체 및 다른 하부 기둥 구조체 내에 형성되어, 이에 의해 히터 기둥 구조체를 형성한다. 제1 도파로는 히터 기둥 구조체의 내부 측벽들 사이에 측방향으로 이격되어 있다. 도 10은 동작 1308의 몇몇 실시예에 대응하는 단면도(1000)를 도시하고 있다.
동작 1310에서, 상부 도전체가 히터 기둥 구조체 위에 형성되어, 이에 의해 히터 구조체를 형성한다. 상부 도전체는 제1 도파로 바로 위에 놓이고 히터 기둥 구조체의 외부 측벽들 사이에서 연속적으로 측방향으로 연장된다. 도 11은 동작 1310의 몇몇 실시예에 대응하는 단면도(1100)를 도시하고 있다.
이에 따라, 몇몇 실시예에서, 본 개시내용은 히터 구조체 및 도파로 구조체를 포함하는 변조기 디바이스에 관한 것으로서, 여기서 히터 구조체는 도파로 구조체 바로 위에 놓여 이를 적어도 부분적으로 측방향으로 둘러싼다.
몇몇 실시예에서, 본 출원은 충돌광을 수용하도록 구성된 입력 단자; 제1 입력 영역 및 제1 출력 영역을 갖는 제1 도파로로서, 상기 제1 입력 영역은 상기 입력 단자에 결합되는 것인 제1 도파로; 상기 제1 도파로에 광학적으로 결합된 제2 도파로로서; 상기 제2 도파로는 제2 입력 영역 및 제2 출력 영역을 갖고, 상기 제2 입력 영역은 상기 입력 단자에 결합되는 것인 제2 도파로; 상기 충돌광에 기초하여 변조되는 출사광을 제공하도록 구성된 출력 단자로서, 출력 단자는 제1 도파로의 제1 출력 영역 및 제2 도파로의 제2 출력 영역에 결합되는 것인 출력 단자; 및 제1 도파로 위에 놓여 있는 히터 구조체로서, 히터 구조체의 저면은 제1 도파로의 저면과 정렬되고, 제1 도파로는 히터 구조체의 측벽들 사이에 측방향으로 이격되어 있는 것인 히터 구조체를 포함하는 변조기 디바이스를 제공한다.
몇몇 실시예에서, 본 출원은 기판 위에 배열되고 광을 변조하도록 구성된 활성 영역을 포함하는 제1 도파로; 기판 위에 배열되고 제1 도파로에 광학적으로 결합된 제2 도파로; 상기 제1 및 제2 도파로 위에 배열된 제1 유전성 구조체; 제1 유전성 구조체 내에 매립되고 제1 도파로의 활성 영역 위에 놓여 있는 히터 구조체로서, 히터 구조체는 제1 도파로의 활성 영역 바로 위에 놓여 있는 상부 도전체를 포함하는 것인 히터 구조체; 및 상부 도전체로부터 제1 도파로의 상부면 아래의 지점까지 연속적으로 연장되는 히터 기둥 구조체로서, 제1 도파로는 히터 기둥 구조체의 내부 측벽들 사이에 측방향으로 위치하고, 제1 도파로는 0이 아닌 거리만큼 히터 기둥 구조체의 내부 측벽으로부터 측방향으로 오프셋되는 것인 히터 기둥 구조체를 포함하는 변조기 디바이스를 제공한다.
몇몇 실시예에서, 본 출원은 변조기 디바이스를 형성하기 위한 방법을 제공하고, 이 방법은 기판 위에 제1 도파로를 형성하는 단계; 기판 위에 하부 기둥 구조체를 형성하는 단계로서, 상기 제1 도파로는 상기 하부 기둥 구조체의 내부 측벽들 사이에 측방향으로 이격되어 있는 것인 하부 기둥 구조체 형성 단계; 상기 제1 도파로 및 상기 하부 기둥 구조체 위에 유전성 구조체를 증착하는 단계; 상기 하부 기둥 구조체 위에 상부 기둥 구조체를 형성하는 단계로서, 상부 기둥 구조체는 유전성 구조체 내에 매립되는 것인 상부 기둥 구조체 형성 단계; 및 유전성 구조체의 상부면 및 상부 기둥 구조체의 상부면을 따라 상부 도전체를 형성하여, 이에 의해 히터 구조체를 형성하는 단계로서, 히터 구조체는 하부 기둥 구조체, 상부 기둥 구조체, 및 상부 도전체를 포함하고, 상부 도전체는 제1 도파로 바로 위에 놓여 있는 것인 상부 도전체 형성 단계를 포함한다.
위에서, 당 기술 분야의 숙련자들이 본 개시내용의 양태를 더 양호하게 이해할 수도 있도록 다수의 실시예의 특징을 개략 설명하였다. 당 기술 분야의 숙련자들은 이들이 본 명세서에 소개된 실시예의 동일한 목적을 수행하고 그리고/또는 동일한 장점을 성취하기 위해 다른 프로세스 및 구조를 설계하거나 수정하기 위한 기초로서 본 개시내용을 즉시 사용할 수도 있다는 것을 이해해야 한다. 당 기술 분야의 숙련자들은 또한 이러한 등가의 구성이 본 개시내용의 사상 및 범주로부터 벗어나지 않는다는 것과, 이들이 본 개시내용의 사상 및 범주로부터 벗어나지 않고 본 명세서의 다양한 변경, 치환, 및 변형을 행할 수도 있다는 것을 또한 인식해야 한다.
[실시예 1] 변조기 디바이스로서,
충돌광을 수용하도록 구성된 입력 단자;
제1 입력 영역 및 제1 출력 영역을 갖는 제1 도파로 - 상기 제1 입력 영역은 상기 입력 단자에 결합됨 - ;
상기 제1 도파로에 광학적으로 결합된 제2 도파로 - 상기 제2 도파로는 제2 입력 영역 및 제2 출력 영역을 갖고, 상기 제2 입력 영역은 상기 입력 단자에 결합됨 - ;
상기 충돌광에 기초하여 변조되는 출사광을 제공하도록 구성되고, 상기 제1 도파로의 제1 출력 영역 및 상기 제2 도파로의 제2 출력 영역에 결합되는 출력 단자; 및
상기 제1 도파로 위에 놓여 있는 히터 구조체 - 상기 히터 구조체의 저면은 상기 제1 도파로의 저면과 정렬되고, 상기 제1 도파로는 상기 히터 구조체의 측벽들 사이에 측방향으로 이격되어 있음 -
를 포함하는, 변조기 디바이스.
[실시예 2] 실시예 1에 있어서,
상기 히터 구조체는,
상기 제1 도파로 바로 위에 놓여 있는 상부 도전체; 및
상기 상부 도전체의 하부면으로부터 상기 제1 도파로의 저면과 정렬되는 지점까지 연속적으로 연장되는 히터 기둥 구조체
를 포함하는 것인, 변조기 디바이스.
[실시예 3] 실시예 2에 있어서,
상기 히터 기둥 구조체의 하부 부분과 상기 제1 도파로는 동일한 재료를 포함하는 것인, 변조기 디바이스.
[실시예 4] 실시예 2에 있어서,
상기 상부 도전체의 최대 폭은 상기 히터 기둥 구조체의 최대 폭보다 큰 것인, 변조기 디바이스.
[실시예 5] 실시예 2에 있어서,
상기 상부 도전체는 제1 재료를 포함하고 상기 히터 기둥 구조체는 상기 제1 재료와는 상이한 제2 재료를 포함하는 것인, 변조기 디바이스.
[실시예 6] 실시예 2에 있어서,
상기 상부 도전체는 0이 아닌 수직 거리만큼 상기 제1 도파로로부터 수직으로 오프셋되고, 상기 제1 도파로는 상기 히터 기둥 구조체의 내부 측벽들 사이에 측방향으로 배치되고, 상기 제1 도파로는 0이 아닌 측방향 거리만큼 상기 제1 도파로로부터 측방향으로 오프셋되는 것인, 변조기 디바이스.
[실시예 7] 실시예 1에 있어서,
상기 히터 구조체는 U자형인 것인, 변조기 디바이스.
[실시예 8] 실시예 1에 있어서,
상기 제1 도파로는 제1 도핑 영역 및 상기 제1 도핑 영역과 맞접하는 제2 도핑 영역을 포함하고, 상기 제1 도핑 영역은 제1 도핑 유형을 포함하고, 상기 제2 도핑 영역은 상기 제1 도핑 유형과 반대인 제2 도핑 유형을 포함하는 것인, 변조기 디바이스.
[실시예 9] 변조기 디바이스로서,
기판 위에 배열되고, 광을 변조하도록 구성된 활성 영역을 포함하는 제1 도파로;
상기 기판 위에 배열되고, 상기 제1 도파로에 광학적으로 결합된 제2 도파로;
상기 제1 및 제2 도파로 위에 배열된 제1 유전성 구조체; 및
상기 제1 유전성 구조체 내에 매립되고, 상기 제1 도파로의 활성 영역 위에 놓여 있는 히터 구조체
를 포함하고,
상기 히터 구조체는,
상기 제1 도파로의 활성 영역 바로 위에 놓여 있는 상부 도전체; 및
상기 상부 도전체로부터 상기 제1 도파로의 상부면 아래의 지점까지 연속적으로 연장되는 히터 기둥 구조체 - 상기 제1 도파로는 상기 히터 기둥 구조체의 내부 측벽들 사이에 측방향으로 위치하고, 상기 제1 도파로는 0이 아닌 거리만큼 상기 히터 기둥 구조체의 내부 측벽으로부터 측방향으로 오프셋됨 -
를 포함하는 것인, 변조기 디바이스.
[실시예 10] 실시예 9에 있어서,
상기 히터 기둥 구조체는 상부 기둥 구조체 및 상기 상부 기둥 구조체 아래에 놓여 있는 하부 기둥 구조체를 포함하고, 상기 하부 기둥 구조체는 상기 제1 도파로와 동일한 재료를 포함하는 것인, 변조기 디바이스.
[실시예 11] 실시예 10에 있어서,
상기 하부 기둥 구조체의 저면은 상기 제1 도파로의 저면과 정렬되고 상기 하부 기둥 구조체의 상면은 상기 제1 도파로의 상면과 정렬되는 것인, 변조기 디바이스.
[실시예 12] 실시예 10에 있어서,
상기 상부 기둥 구조체는 히터 와이어 및 상기 히터 와이어의 아래에 놓여 있는 히터 비아를 포함하고, 상기 히터 와이어는 상기 상부 도전체와 직접 접촉하는 것인, 변조기 디바이스.
[실시예 13] 실시예 10에 있어서,
상기 상부 기둥 구조체는 제1 열전도도를 갖고, 상기 하부 기둥 구조체는 상기 제1 열전도도보다 작은 제2 열전도도를 갖는 것인, 변조기 디바이스.
[실시예 14] 실시예 9에 있어서,
상기 제1 유전성 구조체는 상기 히터 구조체의 열전도도보다 작은 열전도도를 갖는 유전성 재료를 포함하는 것인, 변조기 디바이스.
[실시예 15] 실시예 9에 있어서,
상기 히터 기둥 구조체는 제1 기둥 세그먼트 및 제2 기둥 세그먼트를 포함하고, 상기 제1 및 제2 기둥 세그먼트는 상기 제1 도파로가 상기 제1 및 제2 기둥 세그먼트 사이에 측방향으로 이격되어 있도록 상기 제1 도파로의 양 측면들(opposite sides) 상에 배치되는 것인, 변조기 디바이스.
[실시예 16] 실시예 15에 있어서,
상기 상부 도전체는 상기 제1 기둥 세그먼트로부터 상기 제2 기둥 세그먼트까지 연속적으로 측방향으로 연장되는 것인, 변조기 디바이스.
[실시예 17] 실시예 9에 있어서,
상기 히터 기둥 구조체와 상기 제1 도파로 사이의 최소 거리는 상기 상부 도전체와 상기 제1 도파로 사이의 최소 거리보다 작은 것인, 변조기 디바이스.
[실시예 18] 변조기 디바이스를 형성하기 위한 방법으로서,
기판 위에 제1 도파로를 형성하는 단계;
상기 기판 위에 하부 기둥 구조체를 형성하는 단계 - 상기 제1 도파로는 상기 하부 기둥 구조체의 내부 측벽들 사이에 측방향으로 이격되어 있음 - ;
상기 제1 도파로 및 상기 하부 기둥 구조체 위에 유전성 구조체를 성막하는 단계;
상기 하부 기둥 구조체 위에 상부 기둥 구조체를 형성하는 단계 - 상기 상부 기둥 구조체는 상기 유전성 구조체 내에 매립됨 - ; 및
상기 유전성 구조체의 상부면 및 상기 상부 기둥 구조체의 상부면을 따라 상부 도전체를 형성하고, 이에 의해 히터 구조체를 규정하는 단계 - 상기 히터 구조체는 하부 기둥 구조체, 상부 기둥 구조체, 및 상부 도전체를 포함하고, 상기 상부 도전체는 상기 제1 도파로 바로 위에 놓여 있음 -
를 포함하는, 변조기 디바이스를 형성하기 위한 방법.
[실시예 19] 실시예 18에 있어서,
상기 제1 도파로 및 상기 하부 기둥 구조체를 형성하는 단계는,
상기 기판 위에 디바이스 층을 형성하는 단계 - 상기 디바이스 층은 상기 기판과 동일한 재료를 포함함 - ; 및
상기 디바이스 층을 패터닝하고, 이에 의해 상기 제1 도파로 및 상기 하부 기둥 구조체를 규정하는 단계 - 상기 제1 도파로와 상기 하부 기둥 구조체는 동시에 형성됨 -
를 포함하는 것인, 변조기 디바이스를 형성하기 위한 방법.
[실시예 20] 실시예 18에 있어서,
상기 제1 도파로 상에 이온 주입 프로세스를 수행하여 상기 제1 도파로 내에 제1 도핑 영역 및 제2 도핑 영역을 규정하는 단계를 더 포함하고, 상기 제1 도핑 영역은 제1 도핑 유형을 포함하고, 상기 제2 도핑 영역은 상기 제1 도핑 유형과 반대인 제2 도핑 유형을 포함하는 것인, 변조기 디바이스를 형성하기 위한 방법.

Claims (10)

  1. 변조기 디바이스로서,
    충돌광을 수용하도록 구성된 입력 단자;
    제1 입력 영역 및 제1 출력 영역을 갖는 제1 도파로 - 상기 제1 입력 영역은 상기 입력 단자에 결합됨 - ;
    상기 제1 도파로에 광학적으로 결합된 제2 도파로 - 상기 제2 도파로는 제2 입력 영역 및 제2 출력 영역을 갖고, 상기 제2 입력 영역은 상기 입력 단자에 결합됨 - ;
    상기 충돌광에 기초하여 변조되는 출사광을 제공하도록 구성되고, 상기 제1 도파로의 제1 출력 영역 및 상기 제2 도파로의 제2 출력 영역에 결합되는 출력 단자; 및
    상기 제1 도파로 위에 놓여 있는 히터 구조체 - 상기 제1 도파로는 상기 히터 구조체의 측벽들 사이에 측방향으로 이격되어 있고, 상기 히터 구조체는 상기 제1 도파로 바로 위에 놓여 있는 상부 도전체 및 상기 상부 도전체로부터 상기 제1 도파로의 저면과 정렬되는 지점까지 연속적으로 연장되는 히터 기둥 구조체를 포함하고, 상기 히터 기둥 구조체는 하부 기둥 부분 및 상부 기둥 부분을 포함하고, 상기 상부 도전체의 열전도도는 상기 하부 기둥 부분의 열전도도보다 낮고, 상기 히터 구조체는 상기 상부 도전체로부터 상기 상부 기둥 부분 및 상기 하부 기둥 부분을 통해 상기 제1 도파로를 향해 열을 전달하도록 구성됨 -
    를 포함하는, 변조기 디바이스.
  2. 삭제
  3. 제1항에 있어서,
    상기 히터 기둥 구조체의 하부 부분과 상기 제1 도파로는 동일한 재료를 포함하는 것인, 변조기 디바이스.
  4. 제1항에 있어서,
    상기 상부 도전체의 최대 폭은 상기 히터 기둥 구조체의 최대 폭보다 큰 것인, 변조기 디바이스.
  5. 제1항에 있어서,
    상기 상부 도전체는 제1 재료를 포함하고 상기 히터 기둥 구조체는 상기 제1 재료와는 상이한 제2 재료를 포함하는 것인, 변조기 디바이스.
  6. 제1항에 있어서,
    상기 상부 도전체는 0이 아닌 수직 거리만큼 상기 제1 도파로로부터 수직으로 오프셋되고, 상기 제1 도파로는 상기 히터 기둥 구조체의 내부 측벽들 사이에 측방향으로 배치되고, 상기 히터 기둥 구조체는 0이 아닌 측방향 거리만큼 상기 제1 도파로로부터 측방향으로 오프셋되는 것인, 변조기 디바이스.
  7. 제1항에 있어서,
    상기 히터 구조체는 U자형인 것인, 변조기 디바이스.
  8. 제1항에 있어서,
    상기 제1 도파로는 제1 도핑 영역 및 상기 제1 도핑 영역과 맞접하는 제2 도핑 영역을 포함하고, 상기 제1 도핑 영역은 제1 도핑 유형을 포함하고, 상기 제2 도핑 영역은 상기 제1 도핑 유형과 반대인 제2 도핑 유형을 포함하는 것인, 변조기 디바이스.
  9. 변조기 디바이스로서,
    기판 위에 배열되고, 광을 변조하도록 구성된 활성 영역을 포함하는 제1 도파로;
    상기 기판 위에 배열되고, 상기 제1 도파로에 광학적으로 결합된 제2 도파로;
    상기 제1 및 제2 도파로 위에 배열된 제1 유전성 구조체; 및
    상기 제1 유전성 구조체 내에 매립되고, 상기 제1 도파로의 활성 영역 위에 놓여 있는 히터 구조체
    를 포함하고,
    상기 히터 구조체는,
    상기 제1 도파로의 활성 영역 바로 위에 놓여 있는 상부 도전체 - 상기 상부 도전체는 제1 금속 재료를 포함함 -; 및
    상기 상부 도전체로부터 상기 제1 도파로의 상부면 아래의 지점까지 연속적으로 연장되는 히터 기둥 구조체 - 상기 제1 도파로는 상기 히터 기둥 구조체의 내부 측벽들 사이에 측방향으로 위치하고, 상기 제1 도파로는 0이 아닌 거리만큼 상기 히터 기둥 구조체의 내부 측벽으로부터 측방향으로 오프셋되고, 상기 히터 기둥 구조체는 히터 와이어, 상기 히터 와이어 아래에 놓여 있는 히터 비아, 및 상기 히터 비아 아래에 놓여 있는 하부 기둥 구조체를 포함하고, 상기 히터 와이어는 상기 제1 금속 재료와 상이한 제2 금속 재료를 포함하고, 상기 히터 와이어는 수직으로 상기 상부 도전체 및 상기 히터 비아 사이에 배치됨 -
    를 포함하고,
    상기 히터 구조체는 상기 상부 도전체로부터 상기 히터 와이어 및 상기 히터 비아를 통해 상기 제1 도파로의 활성 영역을 향하는 방향으로 열을 지향하도록 구성되는 것인, 변조기 디바이스.
  10. 변조기 디바이스를 형성하기 위한 방법으로서,
    기판 위에 제1 도파로를 형성하는 단계;
    상기 기판 위에 하부 기둥 구조체를 형성하는 단계 - 상기 제1 도파로는 상기 하부 기둥 구조체의 내부 측벽들 사이에 측방향으로 이격되어 있음 - ;
    상기 제1 도파로 및 상기 하부 기둥 구조체 위에 유전성 구조체를 성막하는 단계;
    상기 하부 기둥 구조체 위에 상부 기둥 구조체를 형성하는 단계 - 상기 상부 기둥 구조체는 상기 유전성 구조체 내에 매립되고, 상기 상부 기둥 구조체는 상기 하부 기둥 구조체 위의 히터 비아 및 상기 히터 비아 위의 히터 와이어를 포함함 - ; 및
    상기 유전성 구조체의 상부면 및 상기 히터 와이어의 상부면을 따라 상부 도전체를 형성하고, 이에 의해 히터 구조체를 규정하는 단계 - 상기 히터 구조체는 하부 기둥 구조체, 상부 기둥 구조체, 및 상부 도전체를 포함하고, 상기 상부 도전체는 상기 제1 도파로 바로 위에 놓여 있고, 상기 히터 와이어는 수직으로 상기 상부 도전체 및 상기 히터 비아 사이에 배치되고, 상기 상부 도전체는 제1 금속 재료를 포함하고 상기 히터 와이어는 상기 제1 금속 재료와 상이한 제2 금속 재료를 포함하고, 상기 히터 구조체는 상기 상부 도전체로부터 상기 히터 와이어 및 상기 히터 비아를 통해 상기 제1 도파로의 활성 영역을 향하는 방향으로 열을 지향하도록 구성됨 -
    를 포함하는, 변조기 디바이스를 형성하기 위한 방법.
KR1020200040383A 2019-10-30 2020-04-02 변조기 디바이스의 열 효율을 향상시키도록 구성된 히터 구조체 KR102435768B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962927850P 2019-10-30 2019-10-30
US62/927,850 2019-10-30
US16/733,488 US11209673B2 (en) 2019-10-30 2020-01-03 Heater structure configured to improve thermal efficiency in a modulator device
US16/733,488 2020-01-03

Publications (2)

Publication Number Publication Date
KR20210053138A KR20210053138A (ko) 2021-05-11
KR102435768B1 true KR102435768B1 (ko) 2022-08-23

Family

ID=75688940

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020200040383A KR102435768B1 (ko) 2019-10-30 2020-04-02 변조기 디바이스의 열 효율을 향상시키도록 구성된 히터 구조체

Country Status (2)

Country Link
KR (1) KR102435768B1 (ko)
TW (1) TWI718052B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI846490B (zh) * 2023-04-03 2024-06-21 台灣積體電路製造股份有限公司 積體晶片及其形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120087613A1 (en) * 2010-10-07 2012-04-12 Alcatel-Lucent Usa, Incorporated Thermally controlled semiconductor optical waveguide
US20120146193A1 (en) 2010-12-13 2012-06-14 Io Semiconductor, Inc. Thermal Conduction Paths for Semiconductor Structures
US20120195547A1 (en) * 2011-01-27 2012-08-02 Alcatel-Lucent Usa, Incorporated All-optical phase shifter in silicon

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6954561B1 (en) * 2001-07-16 2005-10-11 Applied Materials Inc Methods for forming thermo-optic switches, routers and attenuators
US20080044128A1 (en) * 2001-10-09 2008-02-21 Infinera Corporation TRANSMITTER PHOTONIC INTEGRATED CIRCUITS (TxPICs) AND OPTICAL TRANSPORT NETWORK SYSTEM EMPLOYING TxPICs
JP5092494B2 (ja) * 2007-03-29 2012-12-05 住友大阪セメント株式会社 光導波路素子、及び光導波路素子の温度クロストーク抑止方法
WO2009088089A1 (ja) * 2008-01-10 2009-07-16 Nippon Telegraph And Telephone Corporation 光遅延干渉回路
KR101683543B1 (ko) * 2010-02-08 2016-12-07 삼성전자 주식회사 벌크 실리콘 기판을 사용하는 변조기
TWI624705B (zh) * 2015-03-12 2018-05-21 山姆科技公司 包含矽光晶片和耦合器晶片的光學模組
CN105388637B (zh) * 2015-12-17 2018-02-13 东南大学 一种基于介质沉积型表面等离子波导的soi基mzi型1×2热光开关
US9939577B2 (en) * 2016-04-20 2018-04-10 Kabushiki Kaisha Toyota Chuo Kenkyusho Diffraction structure, diffraction grating, diffraction grating array, optical phased array, optical modulator, optical filter, laser source
CN107037532B (zh) * 2017-06-15 2019-11-08 天津大学 长周期波导光栅的制备方法
CN111566528A (zh) * 2017-11-03 2020-08-21 加利福尼亚太平洋生物科学股份有限公司 用于改进光学波导传输和对准的系统、装置和方法
CN109738989A (zh) * 2019-03-01 2019-05-10 苏州科沃微电子有限公司 基于二氧化硅平面光波导的2×2集成光开关及制造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120087613A1 (en) * 2010-10-07 2012-04-12 Alcatel-Lucent Usa, Incorporated Thermally controlled semiconductor optical waveguide
US20120146193A1 (en) 2010-12-13 2012-06-14 Io Semiconductor, Inc. Thermal Conduction Paths for Semiconductor Structures
US20120195547A1 (en) * 2011-01-27 2012-08-02 Alcatel-Lucent Usa, Incorporated All-optical phase shifter in silicon

Also Published As

Publication number Publication date
KR20210053138A (ko) 2021-05-11
TWI718052B (zh) 2021-02-01
TW202117375A (zh) 2021-05-01

Similar Documents

Publication Publication Date Title
US11226506B2 (en) Heater structure with a gas-filled isolation structure to improve thermal efficiency in a modulator device
CN105428431B (zh) 电介质平板波导的硅界面
US6501092B1 (en) Integrated semiconductor superlattice optical modulator
US10656442B2 (en) Semiconductor device and method of manufacturing same
US7844142B2 (en) Communication methods, methods of forming an interconnect, signal interconnects, integrated circuit structures, circuits, and data apparatuses
US12066659B2 (en) Protective ring structure to increase waveguide performance
US8989522B2 (en) Isolation of components on optical device
KR102435768B1 (ko) 변조기 디바이스의 열 효율을 향상시키도록 구성된 히터 구조체
US11714299B2 (en) Heater structure configured to improve thermal efficiency in a modulator device
TWI576627B (zh) 積體晶片及形成集成電介質波導的方法
US10553734B2 (en) Semiconductor device and manufacturing method thereof
US9046649B2 (en) Communication methods, methods of forming an interconnect, signal interconnects, integrated circuit structures, circuits, and data apparatuses
US12066658B2 (en) Integrated optical devices and methods of forming the same
TWI806164B (zh) 半導體結構、光電元件及其製造方法
US10416481B2 (en) Semiconductor device
CN118671990A (zh) 具有多个调制器区段的光调制器的光学模块及其方法
TW202310435A (zh) 耦合系統
JP2020144211A (ja) 半導体装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant