KR101857414B1 - Apparatus and method for calibrating a marking position - Google Patents

Apparatus and method for calibrating a marking position Download PDF

Info

Publication number
KR101857414B1
KR101857414B1 KR1020160022833A KR20160022833A KR101857414B1 KR 101857414 B1 KR101857414 B1 KR 101857414B1 KR 1020160022833 A KR1020160022833 A KR 1020160022833A KR 20160022833 A KR20160022833 A KR 20160022833A KR 101857414 B1 KR101857414 B1 KR 101857414B1
Authority
KR
South Korea
Prior art keywords
marking
screen
laser beam
transparent substrate
point
Prior art date
Application number
KR1020160022833A
Other languages
Korean (ko)
Other versions
KR20170100359A (en
Inventor
최상철
최영준
김수영
Original Assignee
주식회사 이오테크닉스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 이오테크닉스 filed Critical 주식회사 이오테크닉스
Priority to KR1020160022833A priority Critical patent/KR101857414B1/en
Priority to CN201680082198.XA priority patent/CN108701679B/en
Priority to PCT/KR2016/004390 priority patent/WO2017146300A1/en
Priority to TW105113793A priority patent/TWI627725B/en
Publication of KR20170100359A publication Critical patent/KR20170100359A/en
Application granted granted Critical
Publication of KR101857414B1 publication Critical patent/KR101857414B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/268Bombardment with radiation with high-energy radiation using electromagnetic radiation, e.g. laser radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67282Marking devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/24Optical enhancement of defects or not directly visible states, e.g. selective electrolytic deposition, bubbles in liquids, light emission, colour change

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Electromagnetism (AREA)
  • Optics & Photonics (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Laser Beam Processing (AREA)
  • Length Measuring Devices By Optical Means (AREA)

Abstract

본 발명은 마킹 위치 보정장치 및 마킹 위치 보정방법에 관한 것으로, 웨이퍼 상에 마련된 반도체 칩들에 마킹 작업을 수행하기 전에, 투명 기판의 일면에 형성된 스크린을 이용하여 마킹되는 위치를 측정 및 보정함으로써 반도체 칩 상의 정확한 위치에 마킹을 할 수 있다. 또한, 레이저 빔이 검출된 위치와 레이저 빔에 의해 스크린 상에 형성된 마킹 지점의 위치는 투명 기판의 굴절률로 인해 상이할 수 있으나, 본 발명은 투명 기판의 굴절률을 보상하여 마킹 지점의 위치를 연산하므로 마킹 위치의 보정이 정확해 질 수 있다. 본 발명의 일 실시예에 따른 마킹 위치 보정장치는 웨이퍼의 마킹(marking) 위치를 보정하는 마킹 위치 보정장치에 있어서, 투명 기판 및 상기 투명 기판 상에 마련되는 스크린을 포함하는 위치 보정용 부재, 상기 스크린에 레이저 빔을 조사하여 마킹 지점을 형성하는 레이저 헤드, 상기 레이저 빔이 상기 스크린 및 상기 투명 기판을 투과하여 형성되는 검출 지점의 위치 정보를 획득하는 비젼 카메라, 상기 비젼 카메라에 의해 획득된 상기 검출 지점의 위치 정보를 이용하여 상기 마킹 지점의 위치 정보를 계산하는 연산부 및 상기 마킹 지점의 위치 정보와 상기 레이저 헤드에 설정된 마킹 위치 정보를 비교하여 일치시키는 제어부를 포함한다.The present invention relates to a marking position correcting apparatus and a marking position correcting method. The marking position correcting apparatus and the marking position correcting method are characterized by measuring and correcting a marking position using a screen formed on one surface of a transparent substrate before performing a marking operation on semiconductor chips provided on the wafer, Marking can be performed at the correct position on the image. In addition, although the position where the laser beam is detected and the position of the marking point formed on the screen by the laser beam may be different due to the refractive index of the transparent substrate, the present invention calculates the position of the marking point by compensating the refractive index of the transparent substrate The correction of the marking position can be made correct. A marking position correcting apparatus according to an embodiment of the present invention includes a position correcting member including a transparent substrate and a screen provided on the transparent substrate, A vision camera for acquiring position information of a detection point at which the laser beam is transmitted through the screen and the transparent substrate, a detection camera for detecting a position of the detection point obtained by the vision camera, And a control unit for comparing and matching marking position information set in the laser head with the position information of the marking point.

Description

마킹 위치 보정장치 및 방법{Apparatus and method for calibrating a marking position}[0001] Apparatus and method for calibrating a marking position [0002]

본 발명은 웨이퍼의 마킹(marking) 위치를 보정하는 마킹 위치 보정장치 및 방법에 관한 것으로, 웨이퍼의 가공 전에 투명 기판의 일면에 형성된 스크린에 레이저 빔을 조사하여 마킹 위치를 보정하는 장치 및 방법에 관한 것이다.The present invention relates to a marking position correcting apparatus and method for correcting a marking position of a wafer, and an apparatus and a method for correcting a marking position by irradiating a laser beam onto a screen formed on one surface of a transparent substrate before processing a wafer will be.

반도체 장치의 제조공정에서 웨이퍼 상에 많은 칩이 형성된다. 이들 칩들을 생산 로트(lot)별로 구별하기 위해 각 칩의 표면에 문자 및/또는 숫자가 표시된다. 이러한 용도로 레이저 빔을 사용하는 레이저 마킹장치가 사용된다. 종래에는 다이싱(dicing) 후 각 칩들에 로트 번호를 마킹하였으나, 첨단기술의 발달로 집적회로(IC)의 초소형화 및 경량화가 가능해짐에 따라 작업효율을 높이고 대량생산을 위해, 웨이퍼 상에서 개별 칩에 대한 마킹을 한 후에 다이싱을 하게 되었다.Many chips are formed on the wafer in the manufacturing process of the semiconductor device. Characters and / or numbers are displayed on the surface of each chip to distinguish these chips by production lot. A laser marking apparatus using a laser beam for this purpose is used. Conventionally, a lot number is marked on each chip after dicing. However, since the development of advanced technology has enabled miniaturization and weight reduction of an integrated circuit (IC), it has become possible to increase work efficiency and increase productivity, And then dicing was performed.

웨이퍼 상의 칩에 마킹을 정확히 하기 위해서는 웨이퍼의 정렬이 중요하다. 웨이퍼의 정렬은 웨이퍼의 기하학적 특성 내지 인식표를 기준으로 하여 마킹위치에 위치시키는 것이다. 마킹 공정은 웨이퍼의 인식특징(ball array, 인식마크 등)을 광학적 방법을 이용하여 인식한 후 마킹 위치에 맞도록 마킹 데이터를 변환하여 레이저 빔을 적합한 광학계를 이용하여 마킹 위치에 조사함으로써 이루어진다. 여기에서 1 mm2 이하의 칩에 마킹을 하기 위해서는 칩의 정확한 위치 인식과 정확한 레이저 빔의 조사는 필수적이다. 그러나, 처음 마킹 작업 시 웨이퍼의 정확한 위치 인식과 정확한 빔의 조사를 달성했다 하여도 외란(진동, 열)에 의해 레이저 빔의 조사위치는 시간이 경과함에 따라 변할 수 있다. 따라서, 레이저 마킹 장치의 마킹 위치를 보정하는 작업은 웨이퍼에 마킹 작업을 하기 전에 필수적으로 수행되어야 하는 작업이다.Alignment of the wafer is important in order to accurately mark the chips on the wafer. The alignment of the wafers is performed by positioning them at the marking positions with reference to the geometric characteristics or the identification marks of the wafers. The marking process is performed by recognizing the recognition characteristics (ball array, recognition mark, etc.) of the wafer using an optical method, converting the marking data to match the marking position, and irradiating the marking position with the laser beam using a suitable optical system. In this case, accurate marking of the chip and irradiation of the laser beam are indispensable for marking a chip of 1 mm 2 or less. However, even if the accurate positional recognition of the wafer and the irradiation of the accurate beam are achieved during the initial marking operation, the irradiating position of the laser beam due to disturbance (vibration, heat) may change over time. Therefore, the operation of correcting the marking position of the laser marking apparatus is an operation that must be performed before the marking operation is performed on the wafer.

본 발명의 일 실시예에 따르면, 투명 기판 상에 형성된 스크린에 레이저 빔을 조사하고, 레이저 빔이 투명 기판을 투과하여 검출된 위치로부터 스크린 상에 형성된 마킹 지점의 위치 정보를 연산한 후, 연산된 마킹 지점의 위치 정보 및 레이저 헤드에 설정된 마킹 위치 정보를 비교하여 마킹 위치를 보정하는 장치 및 방법을 제공한다.According to an embodiment of the present invention, a laser beam is irradiated to a screen formed on a transparent substrate, position information of a marking point formed on the screen from a position where the laser beam is transmitted through the transparent substrate is calculated, An apparatus and method for correcting a marking position by comparing position information of a marking point and marking position information set in a laser head are provided.

본 발명의 일 실시예에 따른 마킹 위치 보정장치는 웨이퍼의 마킹(marking) 위치를 보정하는 마킹 위치 보정장치에 있어서, 투명 기판 및 상기 투명 기판 상에 마련되는 스크린을 포함하는 위치 보정용 부재; 상기 스크린에 레이저 빔을 조사하여 마킹 지점을 형성하는 레이저 헤드; 상기 레이저 빔이 상기 스크린 및 상기 투명 기판을 투과하여 형성되는 검출 지점의 위치 정보를 획득하는 비젼 카메라; 상기 비젼 카메라에 의해 획득된 상기 검출 지점의 위치 정보를 이용하여 상기 마킹 지점의 위치 정보를 계산하는 연산부; 및 상기 마킹 지점의 위치 정보와 상기 레이저 헤드에 설정된 마킹 위치 정보를 비교하여 일치시키는 제어부;를 포함한다.According to another aspect of the present invention, there is provided a marking position correcting apparatus for correcting a marking position of a wafer, comprising: a position correcting member including a transparent substrate and a screen provided on the transparent substrate; A laser head for irradiating the screen with a laser beam to form a marking point; A vision camera for acquiring position information of a detection point formed by transmitting the laser beam through the screen and the transparent substrate; An operation unit for calculating position information of the marking point using position information of the detection point obtained by the vision camera; And a controller for comparing and matching the position information of the marking point with the marking position information set in the laser head.

상기 비젼 카메라와 상기 레이저 헤드는 상기 위치 보정용 부재를 기준으로 서로 반대쪽에 마련될 수 있다.The vision camera and the laser head may be provided on opposite sides of the position correcting member.

상기 스크린에는 상기 레이저 빔이 조사됨으로써 상기 마킹 지점에 대응하는 이미지가 형성될 수 있다.An image corresponding to the marking point can be formed on the screen by irradiating the laser beam.

상기 검출 지점은 상기 마킹 지점에서 상기 투명 기판에 의해 굴절됨으로써 형성될 수 있다.The detection point may be formed by being refracted by the transparent substrate at the marking point.

상기 연산부는 상기 검출 지점의 위치 정보 및 상기 투명 기판의 굴절률을 이용하여 상기 마킹 지점의 위치 정보를 계산할 수 있다.The operation unit may calculate position information of the marking point by using the position information of the detection point and the refractive index of the transparent substrate.

상기 투명 기판은 유리기판 또는 아크릴기판을 포함할 수 있다.The transparent substrate may include a glass substrate or an acrylic substrate.

상기 레이저 빔은 가시광선 영역의 파장을 가질 수 있다.The laser beam may have a wavelength in the visible light region.

상기 위치 보정용 부재를 지지하는 지지대;를 더 포함할 수 있다.And a support table for supporting the position correcting member.

상기 지지대는 상기 레이저 빔이 투과하여 상기 스크린에 조사되도록 개구부(opening)를 포함할 수 있다.The support may include an opening through which the laser beam is transmitted and irradiated to the screen.

상기 위치 보정용 부재는 사각 형상을 가지며, 상기 개구부는 상기 위치 보정용 부재에 대응하는 사각 형상을 가질 수 있다.The position correcting member may have a rectangular shape, and the opening may have a rectangular shape corresponding to the position correcting member.

상기 개구부는 상기 웨이퍼 보다 큰 사이즈를 가질 수 있다.The opening may have a larger size than the wafer.

상기 비젼 카메라를 상기 검출 지점으로 이동시키는 이동 테이블;을 더 포함할 수 있다.And a movement table for moving the vision camera to the detection point.

본 발명의 일 실시예에 따른 마킹 위치 보정 방법은 웨이퍼의 마킹 위치를 보정하는 마킹 위치 보정방법에 있어서, 투명 기판 및 상기 투명 기판 상에 마련되는 스크린을 포함하는 위치 보정용 부재를 마련하는 단계; 레이저 헤드로부터 상기 스크린에 레이저 빔을 조사하여 마킹 지점을 형성하는 단계; 상기 레이저 빔이 상기 스크린 및 상기 투명 기판을 투과하여 형성되는 검출 지점의 위치 정보를 획득하는 단계; 상기 획득된 검출 지점의 위치 정보를 이용하여 상기 마킹 지점의 위치 정보를 계산하는 단계; 및 상기 마킹 지점의 위치 정보와 상기 레이저 헤드에 설정된 마킹 위치 정보를 비교하여 일치시키는 단계;를 포함한다.According to another aspect of the present invention, there is provided a marking position correcting method for correcting a marking position of a wafer, the method comprising: providing a position correcting member including a transparent substrate and a screen provided on the transparent substrate; Irradiating the laser beam onto the screen from the laser head to form a marking point; Acquiring positional information of a detection point at which the laser beam is transmitted through the screen and the transparent substrate; Calculating position information of the marking point using the position information of the obtained detection point; And comparing and matching the position information of the marking point with the marking position information set in the laser head.

상기 스크린에는 상기 레이저 빔이 조사됨으로써 상기 마킹 지점에 대응하는 이미지가 형성될 수 있다.An image corresponding to the marking point can be formed on the screen by irradiating the laser beam.

상기 검출 지점은 상기 마킹 지점에서 상기 투명 기판에 의해 굴절됨으로써 형성될 수 있다.The detection point may be formed by being refracted by the transparent substrate at the marking point.

상기 계산하는 단계는 상기 검출 지점의 위치 정보 및 상기 투명 기판의 굴절률을 이용하여 상기 마킹 지점의 위치 정보를 계산할 수 있다.The calculating step may calculate position information of the marking point using the position information of the detection point and the refractive index of the transparent substrate.

상기 위치 보정용 부재를 마련하는 단계는 상기 위치 보정용 부재를 지지대 상에 안착시키는 단계를 포함할 수 있다.The step of providing the position correcting member may include placing the position correcting member on the support.

상기 레이저 빔은 상기 지지대에 형성된 개구부를 투과하여 상기 스크린에 조사될 수 있다.The laser beam may be transmitted through an opening formed in the support and irradiated to the screen.

상기 개구부는 상기 웨이퍼 보다 큰 사이즈를 가질 수 있다.The opening may have a larger size than the wafer.

상기 검출 지점의 위치 정보를 획득하는 단계는 이동 테이블이 비젼 카메라를 상기 검출 지점으로 이동시킴으로써 수행될 수 있다.Obtaining the position information of the detection point may be performed by moving the vision table to the detection point.

전술한 본 개시의 과제 해결 수단에 의하면, 웨이퍼 상에 마련된 반도체 칩들에 마킹 작업을 수행하기 전에, 투명 기판의 일면에 형성된 스크린을 이용하여 마킹되는 위치를 측정 및 보정함으로써 반도체 칩 상의 정확한 위치에 마킹을 할 수 있다.According to the above-mentioned problem solving means of the present invention, before the marking operation is performed on the semiconductor chips provided on the wafer, the marking position is measured and corrected by using the screen formed on one surface of the transparent substrate, can do.

또한, 레이저 빔이 검출된 위치와 레이저 빔에 의해 스크린 상에 형성된 마킹 지점의 위치는 투명 기판의 굴절률로 인해 상이할 수 있으나, 본 발명은 투명 기판의 굴절률을 보상하여 마킹 지점의 위치를 연산하므로 마킹 위치의 보정이 정확해 질 수 있다.In addition, although the position where the laser beam is detected and the position of the marking point formed on the screen by the laser beam may be different due to the refractive index of the transparent substrate, the present invention calculates the position of the marking point by compensating the refractive index of the transparent substrate The correction of the marking position can be made correct.

도 1은 본 발명의 일 실시예에 따른 마킹 위치 보정장치를 개략적으로 도시한 단면도이다.
도 2는 마킹 위치 보정 시 사용되는 것으로, 도 1에 도시된 지지대의 평면도이다.
도 3은 웨이퍼에 마킹 작업을 수행 시 사용되는 지지대의 평면도이다.
도 4는 웨이퍼에 마킹 작업 수행 시 및 마킹 위치 보정 시 모두 사용될 수 있는 지지대의 평면도이다.
도 5는 레이저 빔의 검출 지점으로부터 스크린 상에 레이저 빔이 형성되는 마킹 지점을 연산하는 과정을 설명하기 위한 도면이다.
도 6은 위치 보정용 부재의 스크린 상에 형성된 마킹 지점을 도시한 평면도이다.
1 is a schematic cross-sectional view of a marking position correcting apparatus according to an embodiment of the present invention.
Fig. 2 is a plan view of the support shown in Fig. 1, which is used for marking position correction.
FIG. 3 is a plan view of a support used when a marking operation is performed on a wafer.
4 is a plan view of a support that can be used both in performing a marking operation on a wafer and in correcting a marking position.
5 is a view for explaining a process of calculating a marking point at which a laser beam is formed on a screen from a detection point of the laser beam.
6 is a plan view showing marking points formed on the screen of the position correcting member.

아래에서는 첨부한 도면을 참조하여 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본 발명의 실시예를 상세히 설명한다. 그러나 본 발명은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다. 그리고 도면에서 본 발명을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호를 붙였다. Hereinafter, embodiments of the present invention will be described in detail with reference to the accompanying drawings, which will be readily apparent to those skilled in the art. The present invention may, however, be embodied in many different forms and should not be construed as limited to the embodiments set forth herein. In order to clearly illustrate the present invention, parts not related to the description are omitted, and similar parts are denoted by like reference characters throughout the specification.

명세서 전체에서, 어떤 부분이 다른 부분과 "연결"되어 있다고 할 때, 이는 "직접적으로 연결"되어 있는 경우뿐 아니라, 그 중간에 다른 소자를 사이에 두고 "전기적으로 연결"되어 있는 경우도 포함한다. 또한 어떤 부분이 어떤 구성요소를 "포함"한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성요소를 제외하는 것이 아니라 다른 구성요소를 더 포함할 수 있는 것을 의미한다.Throughout the specification, when a part is referred to as being "connected" to another part, it includes not only "directly connected" but also "electrically connected" with another part in between . Also, when an element is referred to as "comprising ", it means that it can include other elements as well, without departing from the other elements unless specifically stated otherwise.

도 1은 본 발명의 일 실시예에 따른 마킹 위치 보정장치(100)를 개략적으로 도시한 단면도이다.1 is a cross-sectional view schematically showing a marking position correcting apparatus 100 according to an embodiment of the present invention.

도 1을 참조하면, 마킹 위치 보정장치(100)는 레이저 헤드(10), 비젼 카메라(20), 위치 보정용 부재(40)를 지지하는 지지대(30), 이동 테이블(50), 작업대(60), 연산부(70) 및 제어부(80)를 포함한다.1, the marking position correcting apparatus 100 includes a laser head 10, a vision camera 20, a support 30 for supporting the position correcting member 40, a moving table 50, a work table 60, An operation unit 70, and a control unit 80. [

레이저 헤드(10)로부터 출사된 레이저 빔의 마킹 위치를 확인하기 위한 위치 보정용 부재(40)는 투명 기판(42) 및 투명 기판(42)의 일면에 마련된 스크린(41)을 포함한다. 투명 기판(42)은 지지대(30)의 개구부에 안착되어 변형이 일어나지 않도록 일정 수준의 경도를 가지고 있을 수 있으며, 투명 기판(42)은 유리기판 또는 아크릴기판을 포함할 수 있다. 스크린(41)은 레이저 빔이 조사됨으로써 마킹 지점에 대응하는 이미지가 형성되는 곳으로, 흰색 스크린을 포함할 수 있다. 스크린(41)은 투명 기판(42)에서 레이저 빔이 입사되는 측 면에 형성될 수 있다. 또한, 위치 보정용 부재(40)는 사각 형상을 가질 수 있다.The position correcting member 40 for confirming the marking position of the laser beam emitted from the laser head 10 includes a transparent substrate 42 and a screen 41 provided on one surface of the transparent substrate 42. [ The transparent substrate 42 may have a certain degree of hardness so as not to be deformed by being seated in the opening of the support base 30. The transparent substrate 42 may include a glass substrate or an acrylic substrate. The screen 41 may include a white screen, where the image corresponding to the marking point is formed as the laser beam is irradiated. The screen 41 may be formed on the side of the transparent substrate 42 on which the laser beam is incident. Further, the position correcting member 40 may have a rectangular shape.

레이저 헤드(10)는 레이저 빔을 출사시킬 수 있다. 레이저 헤드(10)는 지지대(30)의 하방에 배치되어 지지대(30)의 개구부를 통해 노출되는 스크린(41)에 레이저 빔을 조사하여 마킹 위치 보정 작업을 수행할 수 있다. 레이저 헤드(10)를 포함하는 레이저 시스템은 레이저 빔이 발생되는 레이저 발진기(미도시), 레이저 발진기로부터 출사된 레이저 빔을 지지대(30)의 하방으로 유도하기 위해 경로를 형성해 주는 반사 미러(미도시), 레이저 빔을 소정 각도로 편향시키기 위한 갈바노미터 스캐너(미도시), 레이저 빔의 수차를 보정하기 위한 f-theta 렌즈(미도시) 등을 포함할 수 있다. 레이저 헤드(10)로부터 출사된 레이저 빔은 위치 보정용 부재(40)상에 마련된 스크린(41)에 마킹 지점을 형성할 수 있다. 마킹 지점은 웨이퍼상의 칩들에 마킹 작업을 수행할 시, 실제로 마킹이 이루어지는 지점이라고 할 수 있다. 레이저 헤드(10)로부터 출사되는 레이저 빔은 비젼 카메라(20)를 이용하여 검출하기 위해, 가시광선 영역의 파장을 갖는 레이저 빔을 사용할 수 있다. 또한, 레이저 헤드(10)는 마킹 위치 보정 작업이 완료된 후, 지지대(30)의 개구부를 통해 노출되는 웨이퍼상의 칩들에 가공용 레이저 빔을 조사하여 마킹 작업을 수행할 수 있다.The laser head 10 can emit a laser beam. The laser head 10 can be positioned below the support 30 to perform a marking position correction operation by irradiating the screen 41 exposed through the opening of the support 30 with a laser beam. The laser system including the laser head 10 includes a laser oscillator (not shown) in which a laser beam is generated, a reflection mirror (not shown) for forming a path for guiding the laser beam emitted from the laser oscillator to the lower side of the support 30 A galvanometer scanner (not shown) for deflecting the laser beam at a predetermined angle, an f-theta lens (not shown) for correcting the aberration of the laser beam, and the like. The laser beam emitted from the laser head 10 can form a marking point on the screen 41 provided on the position correcting member 40. The marking point is a point where the marking is actually performed when performing the marking operation on the chips on the wafer. The laser beam emitted from the laser head 10 can be detected by using the vision camera 20 by using a laser beam having a wavelength in the visible light region. After the marking position correcting operation is completed, the laser head 10 can perform a marking operation by irradiating the chips on the wafer exposed through the opening of the support 30 with a working laser beam.

비젼 카메라(20)는 스크린(41) 및 투명 기판(42)을 투과한 레이저 빔을 검출할 수 있다. 레이저 빔은 가시광선 영역의 파장을 갖는 레이저 빔이 사용될 수 있으며, 이는 비젼 카메라(20)에 의해 검출될 수 있다. 레이저 빔은 스크린(41)상에 마킹 지점을 형성한 후,투명 기판(42)에서 굴절되어 투명 기판(42) 상에 검출 지점을 형성한다. 비젼 카메라(20)는 레이저 빔의 검출 지점의 위치 정보를 획득할 수 있다. 또한, 비젼 카메라(20)와 레이저 헤드(10)는 위치 보정용 부재(40)를 기준으로 서로 반대쪽에 마련될 수 있다.The vision camera 20 can detect the laser beam transmitted through the screen 41 and the transparent substrate 42. The laser beam can be a laser beam having a wavelength in the visible light region, which can be detected by the vision camera 20. The laser beam forms a marking point on the screen 41 and is then refracted at the transparent substrate 42 to form a detection point on the transparent substrate 42. The vision camera 20 can acquire positional information of the detection point of the laser beam. In addition, the vision camera 20 and the laser head 10 may be provided on opposite sides of the position correcting member 40 as a reference.

이동 테이블(50)은 비젼 카메라(20)를 이동시킬 수 있다. 이동 테이블(50)은 비젼 카메라(20)를 수평방향 즉, x-y 평면과 평행인 면 상에서 이동시킬 수 있다. 이동 테이블(50)의 구동에 의해 비젼 카메라(20)는 레이저 빔이 위치 보정용 부재(40)를 통과하여 출사되는 검출 지점으로 이동될 수 있다.The moving table 50 can move the vision camera 20. The moving table 50 can move the vision camera 20 in a horizontal direction, that is, on a plane parallel to the x-y plane. By driving the movable table 50, the vision camera 20 can be moved to the detection point where the laser beam passes through the position correcting member 40 and is emitted.

마킹 위치 보정 작업이 완료된 후에는, 비젼 카메라(20)는 웨이퍼에 마련된 반도체 칩들을 촬영하여, 반도체 칩들의 위치를 인식할 수 있다. 인식된 반도체 칩들의 위치정보로부터 칩들의 위치를 파악하여 레이저 헤드(10)로부터 출사되는 레이저 빔을 이용하여 반도체 칩들에 마킹 작업을 수행할 수 있다.After the marking position correcting operation is completed, the vision camera 20 can photograph the semiconductor chips provided on the wafer and recognize the position of the semiconductor chips. The position of the chips can be determined from the position information of the recognized semiconductor chips and the marking operation can be performed on the semiconductor chips by using the laser beam emitted from the laser head 10. [

연산부(70)는 비젼 카메라(20)에 의해 획득된 검출 위치 정보를 이용하여 스크린 상에 형성되는 마킹 지점의 위치정보를 계산할 수 있다. 레이저 빔에 의해 스크린(41) 상에 형성된 마킹 지점과 비젼 카메라(20)가 획득한 검출 지점은 투명 기판(42)의 굴절률로 인해 서로 상이할 수 있다. 연산부(70)는 검출 지점의 위치 정보 및 투명 기판(42)의 굴절률 등을 이용하여 마킹 지점의 위치 정보를 계산할 수 있으며, 자세한 계산 방법은 이하 후술하기로 한다.The arithmetic unit 70 may calculate position information of a marking point formed on the screen using the detected position information obtained by the vision camera 20. [ The marking points formed on the screen 41 by the laser beam and the detection points obtained by the vision camera 20 may be different from each other due to the refractive index of the transparent substrate 42. [ The arithmetic unit 70 can calculate the position information of the marking point by using the position information of the detection point and the refractive index of the transparent substrate 42, and a detailed calculation method will be described below.

제어부(80)는 연산부(70)에 의해 계산된 마킹 지점의 위치 정보와 레이저 헤드(10)에 미리 설정되어 레이저 빔을 조사하고자 하는 설정된 마킹 위치 정보를 비교하여 그 차이가 소정 값 이상인 경우, 마킹 지점과 설정된 마킹 위치를 일치시키도록 마킹 위치 보정장치(100)를 제어할 수 있다. 제어부(80)는 갈바노미터 스캐너(미도시)의 미러(미도시)의 위치 등을 조절하여 마킹 지점과 설정된 마킹 위치를 일치시킬 수 있다.The control unit 80 compares the position information of the marking point calculated by the arithmetic unit 70 with the preset marking position information which is preset in the laser head 10 and is intended to irradiate the laser beam. If the difference is greater than or equal to a predetermined value, It is possible to control the marking position correcting apparatus 100 so as to match the point and the set marking position. The control unit 80 may adjust the position of a mirror (not shown) of the galvanometer scanner (not shown) and match the marking position with the set marking position.

도 2는 마킹 위치 보정 시 사용되는 것으로, 도 1에 도시된 지지대(30)의 평면도이다.Fig. 2 is a plan view of the support table 30 shown in Fig. 1, which is used for marking position correction.

도 2를 참조하면, 지지대(30)는 위치 보정용 부재(40)를 지지할 수 있다. 지지대는 레이저 빔이 투과하여 위치 보정용 부재(40)의 일면에 마련된 스크린(41)에 조사되도록 개구부(45)를 포함할 수 있다. 지지대(30)가 위치 보정용 부재(40)를 지지하기 위해 개구부(45)의 사이즈는 위치 보정용 부재(40)보다 작을 수 있으며, 개구부(45)는 위치 보정용 부재(40)에 대응하는 사각 형상을 가질 수 있다. 또한, 개구부(45)에 의해 노출되는 스크린(41)을 이용한 마킹 위치 보정 작업을 통해, 스크린(41)의 위치 정보에 대응되는 웨이퍼상의 모든 위치에 대해 마킹 위치 보정 작업을 수행하기 위하여, 개구부(45)는 웨이퍼 보다 큰 사이즈를 가질 수 있다. Referring to Fig. 2, the support base 30 can support the position correcting member 40. Fig. The support may include the opening 45 so that the laser beam is transmitted and irradiated onto the screen 41 provided on one surface of the position correcting member 40. The size of the opening 45 may be smaller than the size of the position correcting member 40 so that the support base 30 supports the position correcting member 40. The opening 45 may have a rectangular shape corresponding to the position correcting member 40 Lt; / RTI > In order to perform the marking position correcting operation on all the positions on the wafer corresponding to the position information of the screen 41 through the marking position correcting operation using the screen 41 exposed by the opening 45, 45 may have a larger size than the wafer.

도 3은 웨이퍼(W)에 마킹 작업을 수행 시 사용되는 지지대(30a)의 평면도이다. 도 3을 참조하면, 마킹 위치 보정 작업이 완료되면, 마킹 위치 보정 작업 시 사용된 지지대(30)는 웨이퍼(W)가 안착되어 마킹 작업이 수행되는 지지대(30a)로 교환될 수 있다. 지지대(30a)가 웨이퍼(W)를 지지하기 위해, 지지대(30a)에 형성된 개구부(45a)의 사이즈는 웨이퍼(W)보다 작을 수 있으며, 개구부(45a)는 웨이퍼(W)에 대응하는 원형의 형상을 가질 수 있다. 지지대(30a)의 개구부(45a)를 통해 노출되는 웨이퍼상의 칩들에 가공용 레이저 빔을 조사하여 마킹 작업을 수행할 수 있다.3 is a plan view of a support table 30a used for performing a marking operation on the wafer W. FIG. Referring to FIG. 3, when the marking position correcting operation is completed, the support table 30 used in the marking position correcting operation can be replaced with the support table 30a on which the wafer W is placed and the marking operation is performed. The size of the opening 45a formed in the support table 30a may be smaller than the size of the wafer W so that the support table 30a supports the wafer W. The opening 45a is a circular shape corresponding to the wafer W Shape. It is possible to perform a marking operation by irradiating the chips on the wafer exposed through the opening 45a of the support table 30a with a processing laser beam.

도 4는 마킹 위치 보정 시 및 웨이퍼에 마킹 작업 수행 시에 모두 사용될 수 있는 지지대(30b)의 평면도이다.Fig. 4 is a plan view of a support base 30b which can be used both when correcting the marking position and when performing a marking operation on the wafer.

도 4를 참조하면, 지지대(30b)는 상호 변환되는 두 개의 개구부(45, 45a)를 포함할 수 있다. 지지대(30b)는 마킹 위치 보정 작업 시에는 마킹 위치 보정용 개구부(45)를 마련한 후, 위치 보정용 부재(40)를 안착시켜 마킹 위치 보정 작업을 수행할 수 있다. 마킹 위치 보정 작업이 완료되면, 지지대(30b)는 개구부를 마킹 위치 보정용 개구부(45)에서 마킹 작업용 개구부(45a)로 변환시킬 수 있다. 변환 후, 마킹 작업용 개구부(45a)가 형성된 지지대(30b) 상에는 웨이퍼가 안착되어 마킹 작업이 수행될 수 있다. 마킹 위치 보정용 개구부(45)에서 마킹 작업용 개구부(45a)로 변환은 지지대(30b)의 기계적인 구동에 의해 수행될 수 있다. 예를 들면, 슬라이드 장치를 이용하여 개구부의 변환을 수행할 수 있으며, 이에 제한되는 것은 아니다.Referring to FIG. 4, the support 30b may include two openings 45, 45a that are mutually converted. The support base 30b can perform the marking position correcting operation by placing the position correcting member 40 after providing the marking position correcting opening 45 in the marking position correcting operation. When the marking position correcting operation is completed, the support base 30b can convert the opening from the marking position correcting opening 45 to the marking operation opening 45a. After the conversion, the wafer is seated on the support base 30b on which the opening 45a for the marking operation is formed, so that the marking operation can be performed. Conversion from the marking position correcting opening 45 to the marking operation opening 45a can be performed by mechanical driving of the support stand 30b. For example, the conversion of the opening can be performed using a slide device, but the present invention is not limited thereto.

도 5는 레이저 빔의 검출 지점(A)으로부터 스크린(41) 상에 레이저 빔이 형성되는 마킹 지점(P)을 연산하는 과정을 설명하기 위한 도면이다.5 is a view for explaining the process of calculating the marking point P at which the laser beam is formed on the screen 41 from the detection point A of the laser beam.

도 5를 참조하면, 레이저 헤드(10)로부터 출사된 레이저 빔(L)은 위치 보정용 부재(40)의 스크린(41)으로 입사된다. 스크린(41)에 경사지게 입사된 레이저 빔(L)은 스크린(41) 상에 마킹 지점(P)을 형성한다. 마킹 지점(P)은 스크린(41) 상에서 레이저 빔(L)의 이미지가 형성되는 지점이다. 레이저 빔(L)은 마킹 지점(P)을 형성한 후, 투명 기판(42) 내부로 입사되면서 굴절될 수 있다. 이는 공기중의 굴절률과 투명 기판(42)의 굴절률 사이의 차이 때문에 발생된다. 투명 기판(42)에 의해 굴절된 레이저 빔(L)은 공기 중으로 출사되면서 투명 기판(42) 상에 검출 지점(A)을 형성한다. 검출 지점(A)의 위치 정보는 비젼 카메라(20)에 의해 획득될 수 있다. 마킹 지점(P')은 스크린(41) 상에 형성된 마킹 지점(P)에 대응되는 투명 기판(42)상의 지점이며, 마킹 지점(P')은 비젼 카메라(20)에 의해 획득된 검출 지점(A)과 오차(D)가 존재한다.Referring to FIG. 5, the laser beam L emitted from the laser head 10 is incident on the screen 41 of the position correcting member 40. The laser beam L obliquely incident on the screen 41 forms a marking point P on the screen 41. The marking point P is the point at which the image of the laser beam L is formed on the screen 41. The laser beam L may be refracted while being incident on the transparent substrate 42 after forming the marking point P. [ This is caused by the difference between the refractive index in the air and the refractive index of the transparent substrate 42. The laser beam L refracted by the transparent substrate 42 is emitted into the air to form a detection point A on the transparent substrate 42. The positional information of the detection point A can be obtained by the vision camera 20. The marking point P 'is a point on the transparent substrate 42 corresponding to the marking point P formed on the screen 41 and the marking point P' A) and an error (D) exist.

오차(D)는 레이저 빔(L)이 스크린(41)에 입사되는 입사각(i), 투명 기판(42)에서 굴절되는 굴절각(r), 위치 보정용 부재(40)의 두께(H) 및 투명 기판(42)의 굴절률을 이용하여 계산될 수 있다. 공기중의 굴절률을 n1, 투명 기판의 굴절률을 n2라고 하면,The error D is a difference between the incident angle i at which the laser beam L is incident on the screen 41, the refraction angle r at which the laser beam L is refracted at the transparent substrate 42, the thickness H of the position correcting member 40, Can be calculated by using the refractive index of the light source 42. Assuming that the refractive index in air is n 1 and the refractive index of the transparent substrate is n 2 ,

Figure 112016018826972-pat00001
... 식(1)
Figure 112016018826972-pat00001
... (1)

입사각(i)은 갈바노미터스캐너(미도시)의 미러(미도시)로부터 마킹 지점(P)까지의 거리를 측정하여 계산될 수 있다. 식(1)에서 공기중의 굴절률(n1), 투명 기판의 굴절률(n2) 및 입사각(i)을 알 수 있으므로, 굴절각(r)은 계산될 수 있다.The incident angle i can be calculated by measuring the distance from the mirror (not shown) of the galvanometer scanner (not shown) to the marking point P. Since the refractive index n 1 in air, the refractive index n 2 of the transparent substrate, and the incident angle i can be known from the equation (1), the refraction angle r can be calculated.

또한 굴절각(r), 위치 보정용 부재(40)의 두께(H) 및 오차(D)간의 관계를 이용하면,Using the relationship between the refraction angle r, the thickness H of the position correcting member 40, and the error D,

Figure 112016018826972-pat00002
... 식(2)
Figure 112016018826972-pat00002
... (2)

이고, 굴절각(r) 및 위치 보정용 부재(40)의 두께(H)을 알 수 있으므로, 오차(D)는 계산될 수 있다.And the refractive index r and the thickness H of the position correcting member 40 can be known, the error D can be calculated.

비젼 카메라(20)에 의해 획득된 검출 지점(A)의 위치 정보에서 위와 같은 과정을 통해 계산된 오차(D)를 보정하면, 마킹 지점(P')의 위치 정보가 계산될 수 있으며, 위의 계산은 연산부(70)를 통해 수행될 수 있다.The position information of the marking point P 'can be calculated by correcting the error D calculated through the above process in the positional information of the detection point A obtained by the vision camera 20, The calculation can be performed through the arithmetic unit 70.

마킹 지점(P, P')의 위치 정보가 계산된 후, 제어부(80)는 마킹 지점(P, P')의 위치 정보와 레이저 헤드(10)에 미리 설정되어 레이저 빔을 조사하고자 하는 설정된 마킹 위치 정보를 비교하여 그 차이가 소정 값 이상인 경우, 마킹 지점(P, P')과 설정된 마킹 위치를 일치시키도록 마킹 위치 보정장치(100)를 제어할 수 있다. 제어부(80)는 갈바노미터 스캐너(미도시)의 미러(미도시)의 위치 등을 조절하여 마킹 지점(P, P')과 설정된 마킹 위치를 일치시킬 수 있다.After the position information of the marking points P and P 'is calculated, the controller 80 controls the position information of the marking points P and P' The marking position correcting apparatus 100 may be controlled such that the marking positions P and P 'match the set marking positions when the difference is greater than or equal to a predetermined value. The control unit 80 can adjust the position of the mirror (not shown) of the galvanometer scanner (not shown) and match the marking positions P and P 'with the set marking positions.

도 6은 위치 보정용 부재(40)의 스크린(41) 상에 형성된 마킹 지점(P)을 도시한 평면도이다.6 is a plan view showing a marking point P formed on the screen 41 of the position correcting member 40. Fig.

도 6을 참조하면, 마킹 위치 보정장치(100)를 통한 마킹 위치의 보정작업은 위치 보정용 부재(40)의 스크린(41)에서 서로 다른 위치에 복수회 수행될 수 있다. 레이저 빔은 지지대(30)의 사각 형상의 개구부(45)를 통해 노출되는 스크린(41)에 복수회 조사될 수 있으며, 레이저 빔의 조사에 의해 형성된 각각의 마킹 지점(P)에 대해 마킹 위치 보정 작업이 수행될 수 있다. 개구부(45)는 웨이퍼 보다 큰 사이즈를 가질 수 있으며, 이에 따라 스크린(41)의 위치 정보에 대응되는 웨이퍼상의 모든 위치에 대해 마킹 위치 보정 작업이 수행될 수 있다.Referring to FIG. 6, the marking position correction operation through the marking position correcting apparatus 100 may be performed plural times at different positions on the screen 41 of the position correcting member 40. The laser beam can be irradiated to the screen 41 exposed through the rectangular opening 45 of the support 30 a plurality of times, and the marking position correction for each marking point P formed by irradiation of the laser beam An operation can be performed. The opening 45 may have a larger size than the wafer, so that the marking position correcting operation can be performed on all the positions on the wafer corresponding to the position information of the screen 41. [

본 발명의 일 실시예에 따른 마킹 위치 보정장치 및 마킹 위치 보정방법에 따르면, 웨이퍼 상에 마련된 반도체 칩들에 마킹 작업을 수행하기 전에, 투명 기판의 일면에 형성된 스크린을 이용하여 마킹되는 위치를 측정 및 보정함으로써 반도체 칩 상의 정확한 위치에 마킹을 할 수 있다. 또한, 레이저 빔이 검출된 위치와 레이저 빔에 의해 스크린 상에 형성된 마킹 지점의 위치는 투명 기판의 굴절률로 인해 상이할 수 있으나, 본 발명은 투명 기판의 굴절률을 보상하여 마킹 지점의 위치를 연산하므로 마킹 위치의 보정이 정확해 질 수 있다. According to the marking position correcting apparatus and the marking position correcting method according to an embodiment of the present invention, before the marking operation is performed on the semiconductor chips formed on the wafer, the marking position is measured and measured using a screen formed on one surface of the transparent substrate. So that marking can be performed at an accurate position on the semiconductor chip. In addition, although the position where the laser beam is detected and the position of the marking point formed on the screen by the laser beam may be different due to the refractive index of the transparent substrate, the present invention calculates the position of the marking point by compensating the refractive index of the transparent substrate The correction of the marking position can be made correct.

전술한 본 발명의 설명은 예시를 위한 것이며, 본 발명이 속하는 기술분야의 통상의 지식을 가진 자는 본 발명의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 쉽게 변형이 가능하다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 예를 들어, 단일형으로 설명되어 있는 각 구성 요소는 분산되어 실시될 수도 있으며, 마찬가지로 분산된 것으로 설명되어 있는 구성 요소들도 결합된 형태로 실시될 수 있다.It will be understood by those skilled in the art that the foregoing description of the present invention is for illustrative purposes only and that those of ordinary skill in the art can readily understand that various changes and modifications may be made without departing from the spirit or essential characteristics of the present invention. will be. It is therefore to be understood that the above-described embodiments are illustrative in all aspects and not restrictive. For example, each component described as a single entity may be distributed and implemented, and components described as being distributed may also be implemented in a combined form.

본 발명의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본 발명의 범위에 포함되는 것으로 해석되어야 한다.The scope of the present invention is defined by the appended claims rather than the detailed description and all changes or modifications derived from the meaning and scope of the claims and their equivalents are to be construed as being included within the scope of the present invention do.

100 ... 마킹 위치 보정장치
10 ... 레이저 헤드
20 ... 비젼 카메라
30, 30a, 30b ... 지지대
40 ... 위치 보정용 부재
41 ... 스크린
42 ... 투명 기판
45, 45a ... 개구부
50 ... 이동 테이블
60 ... 작업대
70 ... 연산부
80 ... 제어부
P, P' ... 마킹 지점
A ... 검출 지점
D ... 오차
r ... 굴절각
i ... 입사각
L ... 레이저 빔
W ... 웨이퍼
H ... 두께
100 ... marking position correcting device
10 ... laser head
20 ... vision camera
30, 30a, 30b ... support
40 ... position correcting member
41 ... Screen
42 ... transparent substrate
45, 45a ... opening
50 ... moving table
60 ... workbench
70 ... operation unit
80 ... control unit
P, P '... marking point
A ... detection point
D ... Error
r ... angle of refraction
i ... incidence angle
L ... laser beam
W ... wafer
H ... Thickness

Claims (20)

원형 웨이퍼의 마킹(marking) 위치를 보정하는 마킹 위치 보정장치에 있어서,
투명 기판 및 상기 투명 기판 상에 마련되는 스크린을 포함하는 사각 형상의 위치 보정용 부재;
상기 스크린에 레이저 빔을 조사하여 마킹 지점을 형성하는 레이저 헤드;
상기 레이저 빔이 상기 스크린 및 상기 투명 기판을 투과하여 형성되는 검출 지점의 위치 정보를 획득하는 비젼 카메라;
상기 비젼 카메라에 의해 획득된 상기 검출 지점의 위치 정보를 이용하여 상기 마킹 지점의 위치 정보를 계산하는 연산부; 및
상기 마킹 지점의 위치 정보와 상기 레이저 헤드에 설정된 마킹 위치 정보를 비교하여 일치시키는 제어부;를 포함하며,
상기 위치 보정용 부재는 상기 웨이퍼 보다 큰 사이즈로 마련되며,
상기 연산부는
하기 식 1을 이용하여 상기 스크린에 입사된 상기 레이저 빔이 상기 투명 기판에서 굴절되는 굴절각(r)을 연산하고
Figure 112018021634852-pat00009
........(식 1)
(상기 식 1에서 i은 상기 레이저 빔이 상기 스크린에 입사되는 입사각이며, n1은 공기의 굴절률, n2는 상기 투명 기판의 굴절률이다)
하기 식 2를 이용하여 마킹 지점과 상기 검출 지점 사이의 오차(D)를 연산하는
Figure 112018021634852-pat00010
......(식 2)
(상기 식 2에서 H는 위치 보정용 부재의 두께이다)
마킹 위치 보정장치.
A marking position correcting apparatus for correcting a marking position of a circular wafer,
A square position correcting member including a transparent substrate and a screen provided on the transparent substrate;
A laser head for irradiating the screen with a laser beam to form a marking point;
A vision camera for acquiring position information of a detection point formed by transmitting the laser beam through the screen and the transparent substrate;
An operation unit for calculating position information of the marking point using position information of the detection point obtained by the vision camera; And
And a controller for comparing and matching the position information of the marking point with the marking position information set in the laser head,
Wherein the position correcting member is provided in a larger size than the wafer,
The operation unit
A refraction angle r at which the laser beam incident on the screen is refracted by the transparent substrate is calculated using Equation 1 below
Figure 112018021634852-pat00009
(1)
(Where i is an incident angle at which the laser beam is incident on the screen, n 1 is a refractive index of air, and n 2 is a refractive index of the transparent substrate)
The error D between the marking point and the detection point is calculated using the following equation (2)
Figure 112018021634852-pat00010
(Formula 2)
(Where H is the thickness of the position correcting member)
Marking position correcting device.
제 1 항에 있어서,
상기 비젼 카메라와 상기 레이저 헤드는 상기 위치 보정용 부재를 기준으로 서로 반대쪽에 마련되는 마킹 위치 보정장치.
The method according to claim 1,
Wherein the vision camera and the laser head are provided opposite to each other with respect to the position correcting member.
제 1 항에 있어서,
상기 스크린에는 상기 레이저 빔이 조사됨으로써 상기 마킹 지점에 대응하는 이미지가 형성되는 마킹 위치 보정장치.
The method according to claim 1,
Wherein the screen is irradiated with the laser beam to form an image corresponding to the marking point.
제 1 항에 있어서,
상기 검출 지점은 상기 마킹 지점에서 상기 투명 기판에 의해 굴절됨으로써 형성되는 마킹 위치 보정장치.
The method according to claim 1,
Wherein the detection point is formed by being refracted by the transparent substrate at the marking point.
삭제delete 제 1 항에 있어서,
상기 투명 기판은 유리기판 또는 아크릴기판을 포함하는 마킹 위치 보정장치.
The method according to claim 1,
Wherein the transparent substrate includes a glass substrate or an acrylic substrate.
제 1 항에 있어서,
상기 레이저 빔은 가시광선 영역의 파장을 갖는 마킹 위치 보정장치.
The method according to claim 1,
Wherein the laser beam has a wavelength in a visible light region.
제 1 항에 있어서,
상기 위치 보정용 부재를 지지하는 지지대;를 더 포함하는 마킹 위치 보정장치.
The method according to claim 1,
And a support table for supporting the position correction member.
제 8 항에 있어서,
상기 지지대는 상기 레이저 빔이 투과하여 상기 스크린에 조사되도록 개구부(opening)를 포함하는 마킹 위치 보정장치.
9. The method of claim 8,
Wherein the support includes an opening for allowing the laser beam to be transmitted and irradiated onto the screen.
제 9 항에 있어서,
상기 개구부는 상기 위치 보정용 부재에 대응하는 사각 형상을 가지는 마킹 위치 보정장치.
10. The method of claim 9,
Wherein the opening has a rectangular shape corresponding to the position correcting member.
제 9 항에 있어서,
상기 개구부는 상기 웨이퍼 보다 큰 사이즈를 가지는 마킹 위치 보정장치.
10. The method of claim 9,
Wherein the opening has a size larger than that of the wafer.
제 1 항에 있어서,
상기 비젼 카메라를 상기 검출 지점으로 이동시키는 이동 테이블;을 더 포함하는 마킹 위치 보정장치.
The method according to claim 1,
And a movement table for moving the vision camera to the detection point.
원형 웨이퍼의 마킹 위치를 보정하는 마킹 위치 보정방법에 있어서,
투명 기판 및 상기 투명 기판 상에 마련되는 스크린을 포함하는 사각 형상의 위치 보정용 부재를 마련하는 단계;
레이저 헤드로부터 상기 스크린에 레이저 빔을 조사하여 마킹 지점을 형성하는 단계;
상기 레이저 빔이 상기 스크린 및 상기 투명 기판을 투과하여 형성되는 검출 지점의 위치 정보를 획득하는 단계;
상기 획득된 검출 지점의 위치 정보를 이용하여 상기 마킹 지점의 위치 정보를 계산하는 단계; 및
상기 마킹 지점의 위치 정보와 상기 레이저 헤드에 설정된 마킹 위치 정보를 비교하여 일치시키는 단계;를 포함하며,
상기 위치 보정용 부재는 상기 웨이퍼 보다 큰 사이즈로 마련되고,
상기 획득된 검출 지점의 위치 정보를 이용하여 상기 마킹 지점의 위치 정보를 계산하는 단계에서 연산부는,
하기 식 3을 이용하여 상기 스크린에 입사된 상기 레이저 빔이 상기 투명 기판에서 굴절되는 굴절각(r)을 연산하고
Figure 112018021634852-pat00011
......(식 3)
(상기 식 3에서 i은 상기 레이저 빔이 상기 스크린에 입사되는 입사각이며, n1은 공기의 굴절률, n2는 상기 투명 기판의 굴절률이다)
하기 식 4를 이용하여 마킹 지점과 상기 검출 지점 사이의 오차(D)를 연산하는
Figure 112018021634852-pat00012
........(식 4)
(상기 식 4에서 H는 위치 보정용 부재의 두께이다)
마킹 위치 보정방법.
A marking position correcting method for correcting a marking position of a circular wafer,
Providing a rectangular position correcting member including a transparent substrate and a screen provided on the transparent substrate;
Irradiating the laser beam onto the screen from the laser head to form a marking point;
Acquiring positional information of a detection point at which the laser beam is transmitted through the screen and the transparent substrate;
Calculating position information of the marking point using the position information of the obtained detection point; And
And comparing and matching the position information of the marking point with the marking position information set in the laser head,
Wherein the position correcting member is provided in a size larger than the wafer,
In the step of calculating the position information of the marking point using the obtained position information of the detection point,
The refraction angle r at which the laser beam incident on the screen is refracted by the transparent substrate is calculated using Equation 3 below
Figure 112018021634852-pat00011
(Formula 3)
(Where i is an incident angle at which the laser beam is incident on the screen, n 1 is a refractive index of air, and n 2 is a refractive index of the transparent substrate)
The error D between the marking point and the detection point is calculated using the following equation (4)
Figure 112018021634852-pat00012
(4)
(Where H is the thickness of the position correcting member)
Marking position correction method.
제 13 항에 있어서,
상기 스크린에는 상기 레이저 빔이 조사됨으로써 상기 마킹 지점에 대응하는 이미지가 형성되는 마킹 위치 보정방법.
14. The method of claim 13,
Wherein the screen is irradiated with the laser beam to form an image corresponding to the marking point.
제 13 항에 있어서,
상기 검출 지점은 상기 마킹 지점에서 상기 투명 기판에 의해 굴절됨으로써 형성되는 마킹 위치 보정방법.
14. The method of claim 13,
Wherein the detection point is formed by being refracted by the transparent substrate at the marking point.
삭제delete 제 13 항에 있어서,
상기 위치 보정용 부재를 마련하는 단계는 상기 위치 보정용 부재를 지지대 상에 안착시키는 단계를 포함하는 마킹 위치 보정방법.
14. The method of claim 13,
Wherein the step of providing the position correcting member includes placing the position correcting member on a support.
제 17 항에 있어서,
상기 레이저 빔은 상기 지지대에 형성된 개구부를 투과하여 상기 스크린에 조사되는 마킹 위치 보정방법.
18. The method of claim 17,
Wherein the laser beam is transmitted through an opening formed in the support and irradiated to the screen.
제 18 항에 있어서,
상기 개구부는 상기 웨이퍼 보다 큰 사이즈를 가지는 마킹 위치 보정방법.
19. The method of claim 18,
Wherein the opening has a size larger than that of the wafer.
제 13 항에 있어서,
상기 검출 지점의 위치 정보를 획득하는 단계는 이동 테이블이 비젼 카메라를 상기 검출 지점으로 이동시킴으로써 수행되는 마킹 위치 보정방법.
14. The method of claim 13,
Wherein obtaining the position information of the detection point is performed by moving the vision table to the detection point.
KR1020160022833A 2016-02-25 2016-02-25 Apparatus and method for calibrating a marking position KR101857414B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020160022833A KR101857414B1 (en) 2016-02-25 2016-02-25 Apparatus and method for calibrating a marking position
CN201680082198.XA CN108701679B (en) 2016-02-25 2016-04-27 Mark position correcting device and method
PCT/KR2016/004390 WO2017146300A1 (en) 2016-02-25 2016-04-27 Marking position correcting device and method
TW105113793A TWI627725B (en) 2016-02-25 2016-05-04 Apparatus and method for calibrating a marking position

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020160022833A KR101857414B1 (en) 2016-02-25 2016-02-25 Apparatus and method for calibrating a marking position

Publications (2)

Publication Number Publication Date
KR20170100359A KR20170100359A (en) 2017-09-04
KR101857414B1 true KR101857414B1 (en) 2018-05-15

Family

ID=59686444

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020160022833A KR101857414B1 (en) 2016-02-25 2016-02-25 Apparatus and method for calibrating a marking position

Country Status (4)

Country Link
KR (1) KR101857414B1 (en)
CN (1) CN108701679B (en)
TW (1) TWI627725B (en)
WO (1) WO2017146300A1 (en)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110111383B (en) * 2018-05-08 2022-03-18 广东聚华印刷显示技术有限公司 Glass substrate offset correction method, device and system
TWI698953B (en) * 2018-07-13 2020-07-11 鈦昇科技股份有限公司 Calibration laser printing method
CN113275758B (en) * 2021-06-28 2022-12-16 苏州赛腾精密电子股份有限公司 Chip scale wafer level marking system and laser marking method
CN115179640B (en) * 2022-06-27 2023-08-15 广东华中科技大学工业技术研究院 Glass cover plate laminating equipment and laser positioning correction method for glass cover plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124142A (en) * 2006-11-09 2008-05-29 Dainippon Screen Mfg Co Ltd Position detecting method and apparatus, pattern drawing apparatus, and object to be detected

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001319846A (en) * 2000-05-02 2001-11-16 Sanee Giken Kk Aligner
JP2002310929A (en) * 2001-04-13 2002-10-23 Mitsubishi Electric Corp Defect inspecting device
KR100445974B1 (en) * 2001-12-01 2004-08-25 주식회사 이오테크닉스 Method and apparatus for calibrating the marking position with chip-scale marker
US7015418B2 (en) * 2002-05-17 2006-03-21 Gsi Group Corporation Method and system for calibrating a laser processing system and laser marking system utilizing same
FR2842131B1 (en) * 2002-07-11 2004-08-13 Commissariat Energie Atomique SYSTEM AND METHOD FOR MACHINING OBJECTS USING A LASER
KR100584840B1 (en) * 2002-12-24 2006-05-30 주식회사 이오테크닉스 Chip scale marker and method of calibrating the marking position therewith
JP4351955B2 (en) * 2004-06-03 2009-10-28 日立ビアメカニクス株式会社 Reference point position determination method
US20060243711A1 (en) * 2005-04-29 2006-11-02 Robert Paradis System and method for aligning a wafer processing system in a laser marking system
CN101106899A (en) * 2006-07-14 2008-01-16 Juki株式会社 Component mounting position correcting method and component mouting apparatus
JP5331321B2 (en) * 2007-08-31 2013-10-30 ゴールドチャームリミテッド Manufacturing method of display device
JP5259211B2 (en) * 2008-02-14 2013-08-07 ルネサスエレクトロニクス株式会社 Semiconductor device
JP2011086880A (en) * 2009-10-19 2011-04-28 Advantest Corp Electronic component mounting apparatus and method of mounting electronic component
WO2011071886A1 (en) * 2009-12-07 2011-06-16 J.P. Sercel Associates, Inc. Laser machining and scribing systems and methods
KR20110116894A (en) * 2010-04-20 2011-10-26 한미반도체 주식회사 Wafer marking system and marking method of wafer
JP5800694B2 (en) * 2011-11-30 2015-10-28 株式会社ディスコ Wafer position correction method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008124142A (en) * 2006-11-09 2008-05-29 Dainippon Screen Mfg Co Ltd Position detecting method and apparatus, pattern drawing apparatus, and object to be detected

Also Published As

Publication number Publication date
CN108701679A (en) 2018-10-23
WO2017146300A1 (en) 2017-08-31
TW201731061A (en) 2017-09-01
CN108701679B (en) 2021-10-29
KR20170100359A (en) 2017-09-04
TWI627725B (en) 2018-06-21

Similar Documents

Publication Publication Date Title
KR100914053B1 (en) Method and system for marking a workpiece such as a semiconductor wafer and laser marker for use therein
JP6286726B2 (en) Bonding apparatus and bonding method
KR100420272B1 (en) Method for measuring offset, method for detecting tool location, and a bonding apparatus
KR101857414B1 (en) Apparatus and method for calibrating a marking position
JP2005526386A5 (en)
KR101812210B1 (en) Apparatus and method for calibrating a marking position
US10585361B2 (en) Projection exposure apparatus and method
TWI228816B (en) Chip scale marker and marking method
TW201839842A (en) Laser processing method does not have reduction of hysteresis when direction of detection height is identical with direction of laser processing
JP2015190826A (en) Substrate inspection device
JP5268749B2 (en) Substrate condition inspection method, laser processing apparatus, and solar panel manufacturing method
JP2007305696A (en) Accuracy measuring method of positioning apparatus
JP4467599B2 (en) Bonding equipment
JP2007042858A (en) Projection aligner
KR101903598B1 (en) Height change measuring method according to deformation of wafer and laser processing method using the same
JP2022034109A (en) Recognition camera calibration plate and recognition camera calibration method
JP2016156745A (en) Measurement method and measurement device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant