KR101780562B1 - 탈가스 감소 및 대역외 방사선 흡수를 위한 신규 포토레지스트 첨가제 - Google Patents

탈가스 감소 및 대역외 방사선 흡수를 위한 신규 포토레지스트 첨가제 Download PDF

Info

Publication number
KR101780562B1
KR101780562B1 KR1020150176181A KR20150176181A KR101780562B1 KR 101780562 B1 KR101780562 B1 KR 101780562B1 KR 1020150176181 A KR1020150176181 A KR 1020150176181A KR 20150176181 A KR20150176181 A KR 20150176181A KR 101780562 B1 KR101780562 B1 KR 101780562B1
Authority
KR
South Korea
Prior art keywords
photoresist
additive
photosensitive layer
group
layer
Prior art date
Application number
KR1020150176181A
Other languages
English (en)
Other versions
KR20160100217A (ko
Inventor
웨이한 라이
칭유 창
찌엔웨이 왕
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160100217A publication Critical patent/KR20160100217A/ko
Application granted granted Critical
Publication of KR101780562B1 publication Critical patent/KR101780562B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D3/00Pretreatment of surfaces to which liquids or other fluent materials are to be applied; After-treatment of applied coatings, e.g. intermediate treating of an applied coating preparatory to subsequent applications of liquids or other fluent materials
    • B05D3/007After-treatment
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F18/00Homopolymers and copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and at least one being terminated by an acyloxy radical of a saturated carboxylic acid, of carbonic acid or of a haloformic acid
    • C08F18/02Esters of monocarboxylic acids
    • C08F18/04Vinyl esters
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08FMACROMOLECULAR COMPOUNDS OBTAINED BY REACTIONS ONLY INVOLVING CARBON-TO-CARBON UNSATURATED BONDS
    • C08F220/00Copolymers of compounds having one or more unsaturated aliphatic radicals, each having only one carbon-to-carbon double bond, and only one being terminated by only one carboxyl radical or a salt, anhydride ester, amide, imide or nitrile thereof
    • C08F220/02Monocarboxylic acids having less than ten carbon atoms; Derivatives thereof
    • C08F220/10Esters
    • C08F220/22Esters containing halogen
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/0046Photosensitive materials with perfluoro compounds, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0395Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having a backbone with alicyclic moieties
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/039Macromolecular compounds which are photodegradable, e.g. positive electron resists
    • G03F7/0392Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition
    • G03F7/0397Macromolecular compounds which are photodegradable, e.g. positive electron resists the macromolecular compound being present in a chemically amplified positive photoresist composition the macromolecular compound having an alicyclic moiety in a side chain
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2041Exposure; Apparatus therefor in the presence of a fluid, e.g. immersion; using fluid cooling means
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/30Imagewise removal using liquid means
    • G03F7/32Liquid compositions therefor, e.g. developers
    • G03F7/325Non-aqueous compositions
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Structural Engineering (AREA)
  • Architecture (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Materials For Photolithography (AREA)
  • Plasma & Fusion (AREA)

Abstract

기판 위에는 패턴화 가능한 층이 형성된다. 그 패턴화 가능한 층 위에는 감광성 층이 형성된다. 그 감광성 층은 첨가제를 함유한다. 그 첨가제는 부유 제어 화학물질 및 부피 제어 화학물질을 적어도 함유한다. 그 감광성 층에 대하여 스핀 건조 또는 소성 공정이 수행된다. 그 부유 제어 화학물질은 첨가제를 스핀 건조 또는 소성 공정 중에 상향으로 상승하게 한다. 이후, 극자외선(EUV) 리소그래피 공정의 부분으로서, 감광성 층이 노광된다. 노광 중에 감광성 층의 내부에 하나 이상의 탈가스 화학물질이 발생된다. 그 부피 제어 화학물질은 감광성 층 내부에 탈가스 화학물질을 포획하기에 충분한 부피 및 밀도가 있다.

Description

탈가스 감소 및 대역외 방사선 흡수를 위한 신규 포토레지스트 첨가제{NOVEL PHOTORESIST ADDITIVE FOR OUTGASSING REDUCTION AND OUT-OF-BAND RADIATION ABSORPTION}
우선권 데이타
본 출원은, 개시내용 전체가 본 명세서에 참고 인용되어 있는, 발명의 명칭이 "탈가스 감소 및 대역외 방사선 흡수를 위한 신규 포토레지스트 첨가제"인 2015년 2월 13일자 출원된 가 특허 출원 제62/115,671호를 우선권 주장의 기초 출원으한다.
기술 분야
본 발명은 탈가스 감소 및 대역외 방사선 흡수를 위한 신규 포토레지스트 첨가제에 관한 것이다.
반도체 집적 회로(IC: intergrated circuit) 산업은 급속한 성장을 겪고 있다. IC 재료 및 설계에서 기술적 진보는 각 세대가 이전 세대보다 더 작고 더 복잡한 회로를 갖는 IC 세대들을 만들어 내고 있다. 그러나, 그러한 진보는 IC 처리 및 제조의 복잡성을 증가시키고 있으며, 그러한 진보를 실현하기 위해서 IC 처리 및 제조에서의 유사한 발전이 요구된다. 집적 회로 진화의 과정에서, 기능성 밀도(즉, 칩 면적 당 상호접속된 디바이스들의 수)는 일반적으로 증가하고 있으며, 반면에 기하구조 크기(즉, 제조 공정을 이용하여 형성될 수 있는 최소 성분(또는 라인))는 감소하고 있다.
반도체 디바이스 크기가, 예를 들어 20 나노미터(nm) 노드 이하로 계속 축소되어 감에 따라, 전형적인 리소그래피 기술은 광학적 제한사항을 가지며, 이는 분해능 문제로 이어지고, 원하는 리소그래피 성능을 달성할 수 없다. 비교시, 극자외선(EUV) 리소그래피는 훨씬 더 작은 디바이스 크기를 달성할 수 있다. 그러나, EUV 리소그래피는 포토레지스트로부터의 탈가스(outgassing)와 관련된 몇 가지 단점을 여전히 갖고 있으며, 그것은 리소그래피 도구를 오염시키고 리소그래피 성능을 열화시킬 수 있다.
그러므로, 현행 포토레지스트 재료들은 일반적으로 그들의 의도된 목적에 적합하지만, 그들은 모든 측면에서 전체적으로 만족스럽지 않다.
본 개시내용의 한 실시양태는 반도체 디바이스를 제조하는 방법에 관한 것이다. 기판 위에는 층이 형성된다. 그 층 위에는 포토레지스트가 코팅된다. 그 포토레지스트는 첨가제를 함유한다. 포토레지스트는 스핀 건조 및/또는 소성(baking)된다. 그 첨가제는 포토레지스트의 스핀 건조 또는 소성 중에 포토레지스트의 상부 표면으로 부유하게 된다. 이후, 그 포토레지스트에 대하여 노광 공정이 수행됨으로써, 하나 이상의 포토레지스트 탈가스 생성물을 생성하게 된다. 포토레지스트의 상부 표면에서 부유하는 첨가제는 그 하나 이상의 탈가스 생성물이 포토레지스트로부터 배출되는 것을 방지한다.
본 개시내용의 또 다른 실시양태는 반도체 디바이스를 제조하는 방법에 관한 것이다. 기판 위에는 패턴화 가능한 층이 형성된다. 그 패턴화 가능한 층 위에는 감광성 층이 형성된다. 그 감광성 층은 첨가제를 함유한다. 그 첨가제는 부유 제어 화학물질(floating control chemical) 및 부피 제어 화학물질(volume control chemical)을 적어도 함유한다. 감광성 층은 스핀 건조 및/또는 소성된다. 그 부유 제어 화학물질은 첨가제를 스핀 건조 또는 소성 동안 상향으로 상승하게 한다. 이후, 극자외선(EUV) 리소그래피 공정의 부분으로서, 감광성 층이 노광된다. 하나 이상의 탈가스 화학물질이 노광 동안 감광성 층 내부에 발생된다. 부피 제어 화학물질은 포토레지스트 층 내부에 탈가스 화학물질을 포획하기에 충분한 부피 및 밀도를 갖는다.
본 개시내용의 또다른 실시양태는 포토레지스트 첨가제에 관한 것이다. 그 포토레지스트 첨가제는 포토레지스트 첨가제가 배치되는 포토레지스트의 상부 표면을 향해 포토레지스트 첨가제를 부유하게 하는 부유 제어 성분을 함유한다. 그 포토레지스트 첨가제는 또한 노광 공정 중에 방출되는 하나 이상의 포토레지스트 탈가스 생성물을 차단하기에 충분한 밀도 및 부피를 갖는 부피 제어 성분을 함유한다. 임의로, 포토레지스트 첨가제는 또한 약 180 나노미터 내지 약 250 나노미터의 범위에 있는 파장을 갖는 방사선을 흡수하도록 구성된 방사선 흡수 제어 성분을 함유할 수 있다.
본 개시내용의 양태들은 첨부되는 도면과 함께 이해할 때 후술하는 상세한 설명으로부터 가장 잘 이해된다. 강조하고자 하는 바는, 산업에서 표준 실시에 따라, 다양한 피처가 실제 크기로 도시되지 않는다는 점이다. 다양한 피처의 치수는 논의의 선명성을 위해 임의로 증가 또는 감소될 수 있다.
도 1, 및 도 9-10은 본 개시내용의 일부 실시양태에 따라 제조의 다양한 단계에서 반도체 디바이스의 도식적인 측단면도를 예시한 것이다.
도 2-8은 본 개시내용의 일부 실시양태에 따라 포토레지스트 재료(또는 이의 성분)의 화학식을 예시한 것이다.
도 11은 본 개시내용의 일부 실시양태에 따라 반도체 디바이스를 제조하는 방법을 예시하는 흐름도이다.
후술하는 개시내용은, 제공된 주제(subject matter)의 상이한 피처를 구현하기 위해, 많은 상이한 실시양태 또는 실시예를 제공한다. 성분 및 배열의 구체적인 실시예는 본 개시내용을 단순화하도록 하기에 기술되어 있다. 물론, 이들은 단순 실시예에 불과할 뿐 제한하고자 의도한 것이 아니다. 예를 들어, 후술하는 설명에서 제2 피처 위에 또는 상에 제1 피처의 형성은 제1 피처와 제2 피처가 직접 접촉 상태로 형성되는 실시양태를 포함할 수 있고, 또한 1 피처와 제2 피처가 직접 접촉한 상태로 존재하지 않을 수 있도록 그 제1 피처와 제2 피처 사이에 그 추가 피처가 형성될 수 있는 실시양태를 포함할 수도 있다. 게다가, 본 개시내용은 다양한 실시예에서 참조 숫자 및/또는 문자를 반복할 수 있다. 이러한 반복은 단순성 및 선명성의 목적으로 존재하고, 그 자체가 논의된 다양한 실시양태 및/또는 구성 간의 관련성을 지시하지 않는다.
추가로, 공간적으로 상대적인 용어, 예컨대 "밑에", "아래에", "하부", "위에", "상부" 등은 도면에서 예시되어 있는 바와 같이 하나의 요소 또는 피처의 또다른 요소(들) 또는 피처(들)과의 관련성을 기술하는 설명을 용이하게 하기 위해 사용될 수 있다. 그 공간적으로 상대적인 용어는 도면에 도시된 배향 이외에도 사용 또는 작동시 디바이스의 상이한 배향을 포괄하는 것으로 의도된다. 그 장치는 달리 배향될 수 있으며(90도 또는 다른 배향으로 회전될 수 있으며), 본 명세서에서 사용된 그 공간적으로 상대적인 기술어들은 마찬가지로 상응하게 해석될 수 있다.
도 1 및 도 9-10는 본 개시내용의 다양한 양태에 따른 제조의 다양한 단계에서 반도체 디바이스(35)의 도식적인 단편 측단면도이다. 그 반도체 디바이스(35)는 집적 회로(IC) 칩, 시스템 온 칩(SoC: system on chip) 또는 이의 부분을 포함할 수 있고, 다양한 수동 및 능동 마이크로일렉트로닉 디바이스, 예컨대 레지스터, 커패시터, 인덕터, 다이오드, 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET), 상보적 금속 산화물 반도체(CMOS) 트랜지스터, 바이폴러 접합 트랜지스터(BJT), LDMOS(laterally diffused MOS) 트랜지스터, 고전력 MOS 트랜지스터 또는 다른 유형의 트랜지스터를 포함할 수 있다.
극자외선(EUV) 리소그래피는, 예를 들면 20 나노미터(nm) 기술 노드 또는 그 이하의 경우, 작은 반도체 디바이스 크기를 달성할 수 있는 그의 능력으로 인하여 광범위하게 이용되고 있다. 그러나, 포토레지스트 탈가스는 종래의 EUV 리소그래피에 있어서 과제를 여전히 남겨두고 있다. 보다 상세하게, EUV 리소그래피에서 노광 공정 중(또는 후), 반도체 웨이퍼 상에 코팅된 포토레지스트 재료는 탈가스 생성물 또는 종을 생성할 수 있다. 포토레지스트로부터 배출되는 것이 허용될 때, 탈가스 생성물은 리소그래피 도구를 오염시킬 수 있고 리소그래피 성능을 열화시킬 수 있다. 다른 일 중에서도 특히, 그러한 탈가스 생성물은 광산 발생제(PAG), 보호기의 광화학 분해, 또는 광산 발생제의 분해 생성물로부터 생성될 수 있다. 비제한적인 예로서, PAG는 산 발생 동안 tert-부틸벤젠을 탈가스할 수 있으며, 포토레지스트 내의 중합체는 탈보호 반응 동안 이소부텐을 탈가스할 수 있다.
포토레지스트 탈가스 생성물을 억제하기 위해서, 포토레지스트 표면 위에는 보호층이 형성될 수 있다. 이러한 방식으로, 탈가스 생성물은 보호층에 의해 차단될 수 있으며, 이로써 포토레지스트 탈가스 생성물의 방출을 감소시키게 된다. 유감스럽게도, 이러한 접근법은 보다 높은 제조 비용(즉, 보호층을 위한 과다한 재료 및 그 보호층을 형성하는데 사용된 추가 도구로 인한 비용)을 발생시킬 뿐만 아니라 그것은 또한 리소그래피 성능에 부정적인 영향을 미칠 수 있는데, 왜냐하면 그것은 유효하게 포토레지스트 "높이"를 증가시키고, 이로써 보다 작은 공정 윈도우, 약한 붕괴 경계선, 포커스의 불량한 깊이 또는 레지스트 필름 손실과 관련된 문제를 일으키기 때문이다.
본 개시내용은 포토레지스트 탈가스를 억제하지만 보호 톱 코팅 접근법에 대하여 상기 논의된 결점으로부터 곤란을 겪지 않는 신규한 접근법을 제공한다. 본 개시내용의 다양한 양태는 도 1-11을 참조하여 보다 상세히 하기에 논의될 것이다.
도 1을 참조하면, 반도체 디바이스(35)는 기판(40)을 포함한다. 일부 실시양태에서, 그 기판(40)은 p형 도펀트, 예컨대 붕소에 의해 도핑된 규소 기판(예를 들면, p형 기판)이다. 대안으로, 그 기판(40)은 또 다른 적합한 반도체 재료일 수 있다. 예를 들어. 기판(40)은 n형 도펀트, 예컨대 인 또는 비소에 의해 도핑된 규소 기판(n형 기판)일 수 있다. 기판(40)은 다른 원소 반도체, 예컨대 게르마늄 및 다이아몬드를 포함할 수 있다. 기판(40)은 화합물 반도체 및/또는 합금 반도체를 임의로 포함할 수 있다. 추가로, 기판(40)은 에픽택셜 층(epi 층)을 포함할 수 있고, 성능 강화를 위해 변형될 수 있으며, SOI(silicon-on-insulator) 구조를 포함할 수 있다.
일부 실시양태에서, 기판(40)은 실질적으로 전도성 또는 반전도성이다. 전기 저항은 약 103 ohm-m 미만일 수 있다. 일부 실시양태에서, 기판(40)은 금속, 금속 합금 또는 화학식 MXa를 지닌 금속 질화물/황화물/셀렌화물/산화물/규화물을 함유하고, 식 중에서 M은 금속이고, X는 N, S, Se, O, Si이며, "a"는 약 0.4 내지 2.5의 범위에 있다. 예를 들면, 기판(40)은 Ti, Al, Co, Ru, TiN, WN2, 또는 TaN를 함유할 수 있다.
일부 다른 실시양태에서, 기판(40)은 유전 상수가 약 1 내지 약 40 범위에 있는 유전체 재료를 함유한다. 일부 다른 실시양태에서, 기판(40)은 Si, 화학식이 MXb인 금속 산화물 또는 금속 질화물을 함유하고, 식 중에서 M은 금속 또는 Si이고, X는 N 또는 O이며, "b"는 약 0.4 내지 2.5의 범위에 있다. 예를 들면, 기판(40)은 SiO2, 질화규소, 산화알루미늄, 산화하프늄 또는 산화란탄을 함유할 수 있다.
기판(40) 위에는 재료 층(50)이 형성된다. 그 재료 층(50)은 리소그래피 공정을 통해 패턴화될 수 있으며, 그 자체로 또한 패턴화 가능한 층이라고 칭할 수도 있다. 한 실시양태에서, 그 재료 층(50)은 유전체 재료, 예컨대 산화규소 또는 질화규소를 포함한다. 또다른 실시양태에서, 재료 층(50)은 금속을 포함한다. 또 다른 실시양태에서, 재료 층(50)은 반도체 재료를 포함한다.
일부 실시양태에서, 그 재료 층(50)은 포토레지스트와 상이한 광학 특성을 갖는다. 예를 들어, 재료층(50)은 포토레지스트와 상이한 n, k 또는 T 값을 갖는다. 일부 실시양태에서, 재료층(50)은 상이한 중합체 구조, 산 반응성 분자, PAG(광산 발생제) 로딩, 소광제 로딩, 발색단, 가교제, 또는 용매 중 하나 이상을 포함하고, 이들은 포토레지스트에 대한 상이한 n 값을 유도한다. 일부 실시양태에서, 재료층(50) 및 포토레지스트는 상이한 에칭 저항을 갖는다. 일부 실시양태에서, 재료층(50)은 에칭 저항 분자를 함유한다. 그 분자는 낮은 오니시(onishi) 수 구조, 이중 결합, 삼중 결합, 규소, 질화규소, Ti, TiN, Al, 산화알루미늄, SiON, 또는 이들의 조합을 포함한다.
다른 실시양태에서, 기판(40) 및 재료층(50)은 각각 추가의 적합한 재료 조성물을 포함할 수 있다.
그 재료층 위에는 포토레지스트 재료(60)가 형성된다. 도 1에 도시된 실시양태에서, 포토레지스트 재료(60)는 포지티브 포토레지스트를 포함하지만, 포토레지스트 재료(60)는 대안적인 실시양태에서 네가티브 포토레지스트일 수 있는 것으로 이해된다. 포토레지스트 재료(60)는 스핀-코팅 공정에 의해 형성될 수 있다. 포토레지스트 재료(60)는 성분들, 예컨대 중합체, 광산 발생제(PAG), 소광제, 발색단, 계면활성제, 가교제 등을 함유한다. 한 실시양태에서, 광산 발생제는 중합체에 결합된다. 후속 포토리소그래피 공정에서, 광자는 PAG의 분해를 유도한다. 대개, 소량의 산이 형성되고, 그것은 포토레지스트 재료(60)에서 다단계 화학 변환을 추가로 유도한다. 포토레지스트 재료(60)는 또한 임계 치수(CD) 제어를 개선하기 위해 포토레지스트 재료(60) 내에 배치되어 있는 소광제를 임의로 포함할 수 있다.
본 개시내용의 다앙한 양태에 따르면, 포토레지스트 재료(60)는 또한 용매(70) 및 첨가제(80)를 함유한다. 그 첨가제(80)는 용매(70) 중에 혼합될 수 있다. 다양한 실시양태에서, 용매(70)는 프로필렌 글리콜 모노메틸 에테르(PGME) 또는 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA)를 포함할 수 있다. 첨가제(80)는 부유 제어 단위/성분(foating control unit/component), 부피 제어 단위/성분(volume control unit/component), 및 임의로 방사선 흡수 제어 단위/성분(radiation-absorption control unit/component)을 함유한다. 첨가제(80)의 이들 제어 단위의 작용가 및 화학 조성이 이하 보다 상세히 논의되어 있다.
도 2를 참조하면, 첨가제(80)는 일부 실시양태에서 다음의 화학식(또는 화학 구조)를 갖는다.
Figure 112015121185738-pat00001
상기 예시된 첨가제(80)의 실시양태에서, Rf는 부유 제어 단위를 나타내고, R1은 부피 제어 단위를 나타내며, R2는 방사선 흡수 제어 단위를 나타낸다. 일부 실시양태에서, Xa, Xb, 및 Xc는 독립적으로 수소(H), 메틸 또는 불소이다. 바꾸어 말하면, Xa는 H, 메틸 또는 불소일 수 있다. 마찬가지로, Xb 또는 Xc는 각각 H, 메틸 또는 불소일 수 있다. 그러지만, Xa, Xb, 또는 Xc는 동일 화학으로서 반드시 실시될 수 없는 것으로 이해된다. 예를 들면, 일부 실시양태에서, Xa는 H일 수 있고, Xb는 메틸일 수 있으며, Xc는 불소일 수 있다. 다른 예시적 실시양태에서, Xa는 메틸일 수 있고, Xb는 메틸일 수 있으며, Xc는 불소일 수 있다. 또 다른 예시적 실시양태에서, Xa는 H일 수 있고, Xb는 불소일 수 있으며, Xc는 H일 수 있다. 유사하게, Ra, Rb 및 Rc는 독립적으로 CO-C7 알킬 기 또는 방향족 기를 나타낼 수 있다. 바꾸어 말하면, Ra, Rb 및 Rc는 각각 C0-C7 알킬 기로서 또는 방향족 기로서 실시될 수 있으며, Ra, Rb 및 Rc는 반드시 동일 화학으로서 실시될 필요가 없다. 일부 실시양태에서, m+n+o = 1, 0.1 < m < 0.8, 0.2 < n < 0.8, 및 0 <= o < 0.5이다. 마지막으로, A1, A2, 및 A3은 독립적으로 COO- 구조 또는 PhO- 구조를 나타낼 수 있다.
부유 제어 단위 Rf는, 특히 포토레지스트 재료(60)가 스핀-건조 공정 또는 소성 공정(이들은 하기에 논의되어 있는 바와 같이 순차적으로 수행될 것이다)을 수행함에 따라, 첨가제(80)가 포토레지스트 재료(60)의 상부 표면(90)을 향하여 부유하게 하도록(즉, 상승하게 하도록) 구성된다. 그 부유 제어 단위 Rf는 불소 또는 불소 유도체, 예를 들면 C1-C9 불소 함유 알킬 기를 함유한다. 불소는 표면 에너지를 감소시킴으로써, 포토레지스트 재료(60) 내에서 (정상 표면(90)을 향하는) 첨가제(80)의 부유를 용이하게 한다. 일부 실시양태에서, 불소 또는 불소 유도체의 비율(또는 농도)는 첨가제(80) 내에 약 10% 내지 약 80%로 존재한다. 바꾸어 말하면, 첨가제(80)의 약 10%-80%는 불소 또는 불소 유도체이다.
도 3을 참조하면, 부유 제어 단위 Rf는 일부 실시양태에 따라 A1=COO-일 때 다음의 화학식 중 하나를 갖는다:
Figure 112015121185738-pat00002
도 4를 참조하면, 부유 제어 단위 Rf는 일부 실시양태에 따라 Al=PhO-일 때 다음의 화학식 중 하나를 갖는다:
Figure 112015121185738-pat00003
부피 제어 단위 R1(또한 벌크 단위라고도 칭함)은 상기 논의된 포토레지스트 탈가스 생성물을 차단하도록 구성된다. 바꾸어 말하면, 부피 제어 단위 R1를 위한 재료 조성은 이것이 (하기에 논의된) 노광 공정 중에 방출된 포토레지스트 탈가스 생성물 대한 물리적 배리어로서 작용하도록 하는 충분한 밀도 및 부피를 갖는다. 그렇지 않고 달리 언급하자면, 부피 제어 단위 R1의 존재에 기인하여, 포토레지스트 탈가스 생성물은 첨가제(80)를 침투하여 통과할 수 없다. 일부 실시양태에서, 부피 제어 단위 R1은 첨가제(80)가 포레지스트 재료(60)의 잔부보다 더 밀도가 있다(즉, 보다 큰 밀도를 갖는다). 일부 실시양태에서, 첨가제(80)는 약 1000 내지 약 25000 범위에 있는 분자량을 갖는다. 일부 실시양태에서, 부피 제어 단위 R1은 C5-C20 알킬 기, 시클로알킬 기, 히드록실알킬 기, 알콕시 기, 알콕실 알킬 기, 아세틸 기, 아세틸알킬 기, 카르복실 기, 알킬 카르복실 기, 시클로알킬 카르복실 기, C5-C20 포화 또는 불포화 탄화수소 고리 또는 C5-C20 헤테로시클릭 기를 함유한다. 일부 실시양태에서, 부피 제어 단위 R1은 2차원 고리 구조 및/또는 3차원 십자형 구조를 포함할 수 있다, 일부 실시양태에서, 부피 제어 단위 R1의 비율(또는 농도)는 첨가제(80) 내에 약 0% 내지 약 50%로 존재한다. 바꾸어 말하면, 첨가제(80)의 약 0%-50%가 부피 제어 단위 R1이다.
도 5를 참조하면, 부피 제어 단위 R1은 일부 실시양태에 따라 A2=C00-일 때 다음의 화학식 중 하나를 갖는다:
Figure 112015121185738-pat00004
도 6을 참조하면, 부피 제어 단위 R1은 일부 실시양태에 따라 A2=PhO-일 때 다음의 화학식 중 하나를 갖는다:
Figure 112015121185738-pat00005
방사선 흡수 제어 단위 R2는 EUV 리소그래피를 위한 (대역외: 0OB) 방사선을 흡수하도록 구성된다. 예를 들어, 방사선 흡수 제어 단위 R2는 EUV 리소그래피를 위한 OOB 방사선으로 간주되는 약 180 nm 내지 약 250 nm의 범위에 있는 파장을 갖는 방사선을 흡수하도록 구성될 수 있다. 일부 실시양태에서, 방사선 흡수 제어 단위 R2는 C5-C20 벤젠, 나프탈렌, 펜안트렌 또는 펜타센퀴논 유도체를 함유한다. 일부 실시양태에서, 방사선 흡수 제어 단위 R2의 비율(또는 농도)는 첨가제(80) 내에 약 0% 내지 약 50%로 존재한다. 바꾸어 말하면, 첨가제(80)의 약 0%-50%가 방사선 흡수 제어 단위 R2이다.
도 7을 참조하면, 방사선 흡수 제어 단위 R2는 일부 실시양태에 따라 A3=C00-일 때 다음의 화학식 중 하나를 갖는다:
Figure 112015121185738-pat00006
도 8을 참조하면, 방사선 흡수 제어 단위 R2는 일부 실시양태에 따라 A3=Ph0-일 때 다음의 화학식 중 하나를 갖는다:
Figure 112015121185738-pat00007
도 9를 참조하면, 반도체 디바이스(35)에 대하여 스핀 건조 및 소성 공정(100)이 수행된다. 일부 실시양태에서, 그 공정(100)은 2개의 명확한 단계: 스핀 건조 단계 및 후속 소성 단계를 포함할 수 있는 것으로 이해된다. 그러나, 단순성의 이유로, 그 2개의 단계는 본 명세서에서 별도로 예시되어 있지 않다. 스핀 건조 단계에서, 기판(40) 및 이 기판 상에 형성된 층(포토레지스트 재료(60)를 포함함)이 스핀 건조된다. 스핀 건조 공정 동안, 첨가제(80)는 포토레지스트 재료(60)의 상부 표면을 향해 부유 또는 상승한다. 이는 상기 논의된 첨가제의 부유 제어 단위 Rf의 특성에 기인한 것이다. 소성 단계 동안, 용매(70)는 포토레지스트 재료(60)로부터 증발되고, 첨가제(80)는 포토레지스트 재료(60)의 상부 표면(90)으로 상승한다.
그 부유 첨가제(80)는 포토레지스트 재료(60)의 상부 표면(90)에서 또는 그 근방에서 보호층을 효과적으로 형성한다. 상기 논의된 첨가제(80)의 부피 제어 단위의 특성 때문에, 첨가제(80)는 포토레지스트 탈가스 생성물을 충분히 차단할 수 있다. 예를 들면, 바로 도 10을 참조하면, 노광 공정(이는 노광후 소성(PEB) 단계를 포함할 수 있다)이 EUV 리소그래피 공정의 부분으로서 포토레지스트 재료(60)에 대하여 수행된다. 그 EUV 리소그래피 공정은 약 250 nm 미만, 예를 들면 약 13.5 nm의 파장을 갖는 광원(또는 조명 공급원)을 사용할 수 있다. 일부 실시양태에서, 조명 공급원은 KrF, ArF, EUV, 또는 E-빔 중 하나 이상을 갖는다. 그 광원은 포토레지스트 재료(60)의 선결정된 영역(개방부(80)에 해당함)을 노광시키고, 반면에 포토레지스트 재료(60)의 다른 영역은 (예시되어 있지 않은) 포토마스크의 사용을 통해 보호된다.
노광 공정(120)(노광 공정 자체 또는 PEB이거나, 또는 양자임)은 다양한 포토레지스트 탈가스 생성물(140)의 발생을 유도하며, 그러한 생성물은 상기 논의된 바와 같이, 다른 무엇보다도 특히, PAG 생성물, PAG로부터의 분해 성성물, 또는 보호기의 광화학 분해에 의해 야기될 수 있다. 첨가제(80)는 포토레지스트 탈가스 생성물(140)이 첨가제(80)에 의해 형성된 보호층(80)에 의해 (예를 들어, 첨가제(80) 이면에) 포획될 정도로 충분한 부피 및 밀도가 있다. 사실, 탈가스 생성물(140)은 포토레지스트 재료(60)로부터 배출될 수 없는데, 이는 리소그래피 장비의 오염을 감소시키고 리소그래피 성능을 개선시키게 된다.
첨가제(80)에 의해 형성된 그러한 보호층은 포토레지스트 재료(60) 내에 여전히 존재하는 것으로 이해된다. 사실, 그것은 포토레지스트 재료의 높이를 부가하지 않으며, 임의의 후속 형성된 포토레지스트 패턴의 종횡비에 악영향을 미치지 않는다. 이러한 방식으로, 본 출원은 탈가스를 방지하기 위해 포토레지스트 위에 별도의 정상(top) 코팅을 형성하는 것과 관련되는 문제가 제거된다.
노광 공정(120)이 수행된 후, 패턴화 포트레지스트(단순성을 이유로 본 명세서에 예시되어 있지 않음)를 형성하기 위해 후속 리소그래피 공정(예를 들면, 현상, 세정 등)이 수행될 수 있다. 패턴화 포토레지스트를 마스크로서 사용하면, 에칭 또는 주입과 같은 추가 제조 공정이 수행될 수 있다. 이후, 그 패턴화 포토레지스트는 해당 기술 분야에 공지된 포토레지스트 제거 공정, 예컨대 스트립핑 또는 애싱(ashing) 공정에 의해 제거될 수 있다.
도 11은 본 개시내용의 다양한 양태에 따라 반도체 패턴을 형성하는 방법(200)의 흐름도이다. 그 방법(200)은 일부 실시양태에서 리소그래피 공정의 부분으로서, 예를 들면 극자외선(EUV) 리소그래피 공정의 부분으로서 수행될 수 있다.
방법(200)은 기판 위에 층을 형성하는 단계(210)를 포함한다. 일부 실시양태에서, 그 기판은 실질적으로 전도성 또는 반전도성이다. 전기 저항은 약 103 ohm-m 미만일 수 있다. 일부 실시양태에서, 기판은 금속, 금속 합금 또는 화학식이 MXa인 금속 질화물/황화물/셀렌화물/산화물/규화물을 함유하고, 식 중에서 M은 금속이고, X는 N, S, Se, O, Si이며, "a" 는 약 0.4 내지 2.5의 범위이다. 예를 들면, 기판(40)은 Ti, Al, Co, Ru, TiN, WN2, 또는 TaN를 함유할 수 있다. 일부 다른 실시양태에서, 기판은 유전 상수가 약 1 내지 약 40의 범위인 유전체 재료를 함유한다. 일부 다른 실시양태에서, 기판은 Si, 화학식이 MXb인 금속 산화물, 또는 금속 질화물을 함유하고, 식 중에서 M은 금속 또는 Si이고, X는 N 또는 0이며, "b"는 약 0.4 내지 2.5의 범위에 있다. 예를 들면, 기판은 SiO, 질화규소, 산화알루미늄, 산화하프늄 또는 산화란탄을 함유할 수 있다.
기판 위에 형성된 층은 포토레지스트와 상이한 광학 특성을 갖는다. 예를 들어, 그 층은 포토레지스트와 상이한 n, k 또는 T 값을 갖는다. 일부 실시양태에서, 그 층은 상이한 중합체 구조, 산 반응성 분자, PAG(광산 발생제) 로딩, 소광제 로딩, 발색단, 가교제 또는 용매 중 하나 이상을 포함하며, 이들은 포토레지스트와 상이한 n 값을 유도한다. 일부 실시양태에서, 그 층 및 포토레지스트는 상이한 에칭 저항을 갖는다. 일부 실시양태에서, 그 층은 에칭 저항 분자를 함유한다. 그 분자는 낮은 오니시 수 구조, 이중 결합, 삼중 결합, 규소, 질화규소, Ti, TiN, Al, 산화알루미늄, SiON 또는 이들의 조합을 포함한다.
방법(200)은 그 재료 층 위에 포토레지스트를 코팅하는 단계(220)를 포함한다. 그 포토레지스트는 첨가제를 함유한다. 일부 실시양태에서, 첨가제는 이 첨가제가 포토레지스트의 상부 표면을 향하여 부유하도록 구성된 부유 제어 단위 뿐만 아니라 포토레지스트 탈가스 생성물을 차단하도록 구성된 부피 제어 단위를 함유한다. 일부 실시양태에서. 그 부유 제어 단위는 불소 또는 C1-C9의 불소 함유 알킬 기를 함유한다. 일부 실시양태에서, 그 부피 제어 단위는 C5-C20 알킬 기, 시클로알킬 기, 히드록실알킬 기, 알콕시 기, 알콕실 알킬 기, 아세틸 기, 아세틸알킬 기, 카르복실 기. 알칼 카르복실 기, 시클로알킬 카르복실 기, C5-C20 포화 또는 불포화 탄화수소 고리 또는 C5-C20 헤테로시클릭 고리를 함유한다.
일부 실시양태에서, 첨가제는 약 180 나노미터 내지 약 250 나노미터의 범위에 있는 파장을 갖는 방사선을 흡수하도록 구성된 방사선 흡수 제어 단위를 더 함유한다. 일부 실시양태에서, 그 방사선 흡수 제어 단위는 C5-C20 벤젠, 나프탈렌, 펜안트렌 또는 펜타센퀴논 유도체를 함유한다.
방법(200)은 포토레지스트를 스핀 건조 또는 소성하는 단계(230)를 포함한다. 첨가제는 포토레지스트의 스핀 건조 또는 소성 동안 포토레지스트의 상부 표면으로 부유함으로써, 포토레지스트의 상부 표면에 보호층을 형성하게 된다.
방법(200)은 포토레지스트에 대한 노광 공정을 수행하는 단계(240)를 포함함으로써, 하나 이상의 포토레지스트 탈가스 생성물을 생성한다. 그 노광 공정은 EUV 리소그래피 공정의 부분으로서 수행될 수 있고, 노광 단계 및 노광후 소성(PEB) 단계를 포함할 수 있다. 그 포토레지스트 탈가스 생성물은 노광 단계 및/또는 PEB 단계 동안 생성될 수 있다. 포토레지스트의 상부 표면에 부유하는 첨가제는 하나 이상의 포토레지스트 탈가스 생성물이 포토레지스트로부터 배출되는 것을 방지한다.
반도체 다비이스의 제조를 완성하기 위해서 방법(200)의 단계(210)-단계(240) 전에, 중에 또는 후에 추가 공정이 수행될 수 있는 것으로 이해된다. 예를 들면, 방법(200)은 포토레지스트를 패턴화하는 공정, 및 이어서 후속 에칭 또는 이온 주입 공정을 위한 마스크로서 패턴화 포토레지스트를 이용하는 공정을 추가로 포함할 수 있다. 또 다른 예로서, 본 명세서에서 논의된 노광 공정은 제1 파장을 갖는 방사선을 사용하여 수행할 수 있으며, 그 포토레지스트는 나중에 제2 파장을 갖는 방사선에 의해 노광될 수 있다(예를 들면, 이중 패턴화 공정의 부분으로서). 단순성의 이유로, 이들 추가 단계는 본 명세서에서 상세히 논의되어 있지 않다.
상기 논의들에 근거하여, 본 개시내용은 종래 방법에 비하여 이점을 제공한다는 점을 알 수 있다. 그러나, 다른 실시양태들은 추가 이점을 제공할 수 있으며, 모든 이점은 본 명세서에 반드시 개시되어 있지 않다는 것으로 이해되고, 구체적인 이점이 모든 실시양태에 요구되지 않는 것으로 이해된다. 한가지 이점은 본 명세서에서 포토레지스트 첨가제가 효과적으로 포토레지스트 탈가스를 감소시킬 수 있다는 점이다. 보다 상세히, 첨가제의 부유 제어 단위는 그 첨가제를 스핀 건조 및/또는 소성 공정 중에 포토레지스트의 정상 표면으로 부유하게 한다. 첨가제의 부피 제어 단위는 EUV 리소그래피를 위한 후속 노광 공정 중에 생성된 포토레지스트 탈가스 생성물에 대한 배리어로서 작용하도록 충분한 밀도 및 부피를 갖는다. 바꾸어 말하면, EUV 노광에 의해 생성된 포토레지스트 탈가스 생성물은 포토레지스트의 정상 표면에서 부유하는 포토레지스트 첨가제 이면에 포확된다. 포토레지스트 탈가스 생성물이 실질적으로 포토레지스트 자체 내에 포획되기 때문에, 리소그래피 도구는 오염되지 않으며(포토레지스트 탈가스 생성물이 리소그래피 도구를 오염시킬 수 없으며), 리소그래피 성능은 또한 개선될 수 있다.
또다른 이점은 본 개시내용의 다양한 양태가 제조 비용을 증가시키는 일 없이 실시될 수 있다는 점이다. 그 정상 코팅은 포토레지스트 외부에 있는 추가 물질에 의해라기 보다는 포토레지스트 첨가제 의해 형성된다. 그러므로, 그 재료 비용은 다소 동일하게 유지되는데, 이는 포토레지스트 내부에 첨가제를 실시하는 것이 비싸지 않기 때문이다. 게다가, (포토레지스트 첨가제로 이루어진) 정상 코팅의 형성은 추가 또는 별도 제조 공정을 요구하지 않는다. 대신, 표준 제조 공정 흐름은 정상 코팅이 형성되게 하고, 이로써 본 명세서에서 정상 코팅의 형성은 제조 장비 또는 제조 가공 시간의 관점에서 제조 비용을 증가시키지 않는다.
또 다른 이점은 본 명세서에서 정상 코팅이 포토레지스트 자체 "내부"에(정상 표면에 또는 그 부근에) 형성되기 때문에, 그것이 포토레지스트의 높이를 증가시키지 않는다는 점이다. 이는 증가된 포토레지스트 높이(외부 정상 코팅이 포토레지스트의 정상 상에 형성되는 경우)가 종횡비를 증가시키고 보다 악화된 공정 윈도우를 유발하고/하거나 패턴화 포토레지스트가 붕괴되게 하기 때문에 유익하다. 비교시, 본 명세서에서 포토레지스트는 첨가제를 함유하지 않은 종래의 포토레지스트와 동일한 높이를 갖는다. 그러므로, 보다 악화된 가공 윈도우 또는 포토레지스트 붕괴에 관한 염려가 전혀 없다.
하나 더 이점은 본 명세서에서 첨가제가 EUV에서 대역외(OOB) 방사선을 흡수하도록 임의로 구성될 수 있다는 점이다. OOB 방사선은 열화된 반도체 리소그래피 성능을 초래하므로 바람직하지 못하다. 여기서, 방사선 흡수 제어 단위는 그러한 OBB 방사선을 흡수할 수 있고, 그 결과로서 EUV 리소그래피 성능을 개선시킬 수 있다.
전술한 내용은 해당 기술 분야의 당업자가 전술되는 상세한 설명을 보다 잘 이해할 수 있도록 여러 실시양태의 특색을 요약 정리한 것이다. 해당 기술 분야의 당업자라면, 그들이 본 명세서에서 도입된 실시양태들의 동일 목적을 수행하고/하거나 그 실시양태들의 동일 이점을 달성하기 위해 다른 공정 및 구조를 설계 또는 변형하기 위한 기본으로서 본 개시내용을 용이하게 이용할 수 있다는 점을 이해해야 한다. 해당 기술 분야의 당업자라면, 또한 그러한 균등론적 구성은 본 개시내용의 기술적 사상 및 영역으로부터 벗어나지 않는다는 점, 및 그러한 구성은 본 개시내용의 기술적 사상 및 영역으로부터 벗어나는 일 없이 본 명세서에서 다양한 변경예, 대체예 및 대안예를 만들어 낼 수 있다는 점을 이해해야 한다.

Claims (10)

  1. 반도체 디바이스의 제조 방법으로서,
    기판 위에 층을 형성하는 단계,
    상기 층 위에 포토레지스트를 코팅하는 단계로서, 상기 포토레지스트는 첨가제 및 용매를 함유하는 것인 단계,
    상기 포토레지스트를 스핀 건조하는 단계로서, 상기 첨가제는 스핀 건조 중에 상기 포토레지스트의 상부 표면으로 부유하는 것인 단계,
    스핀 건조 후 상기 포토레지스트를 소성(baking)하는 단계로서, 상기 용매가 소성 중에 상기 포토레지스트 밖으로 증발되고, 그에 의해 상기 첨가제가 상기 포토레지스트의 상부 표면에서 또는 그 근방에서 보호층으로서 남게 되는 것인 단계, 및
    소성 후 상기 포토레지스트에 대하여 노광 공정을 수행하여, 하나 이상의 포토레지스트 탈가스(outgassing) 생성물을 생성하는 단계
    를 포함하고, 상기 포토레지스트의 상부 표면에서의 첨가제는 상기 하나 이상의 포토레지스트 탈가스 생성물이 상기 포토레지스트로부터 배출되는 것을 방지하는 것인 반도체 디바이스의 제조 방법.
  2. 제1항에 있어서, 상기 첨가제는
    상기 첨가제가 상기 포토레지스트의 상부 표면을 향하여 부유하도록 구성된 부유 제어 단위(floating control unit), 및
    상기 하나 이상의 포토레지스트 탈가스 생성물을 차단하도록 구성된 부피 제어 단위(volume control unit)
    를 함유하는 것인 반도체 디바이스의 제조 방법.
  3. 제2항에 있어서,
    상기 부유 제어 단위는 불소 또는 C1-C9 불소 함유 알킬 기를 함유하고,
    상기 부피 제어 단위는 C5-C20 알킬 기, 시클로알킬 기, 히드록실알킬 기, 알콕시 기, 알콕실 알킬 기, 아세틸 기, 아세틸알킬 기, 카르복실 기, 알킬 카르복실 기, 시클로알킬 카르복실 기, C5-C20 포화 또는 불포화 탄화수소 고리, 또는 C5-C20 헤테로시클릭 기를 함유하는 것인 반도체 디바이스의 제조 방법.
  4. 제2항에 있어서, 상기 첨가제는 180 nm 내지 250 nm의 범위에 있는 파장을 갖는 방사선을 흡수하도록 구성된 방사선 흡수 제어 단위(radiation-absorption control unit)를 더 함유하는 것인 반도체 디바이스의 제조 방법.
  5. 제4항에 있어서, 상기 방사선 흡수 제어 단위는 C5-C20 벤젠, 나프탈렌, 펜안트렌, 또는 펜타센퀴논 유도체를 함유하는 것인 반도체 디바이스의 제조 방법.
  6. 제1항에 있어서, 상기 첨가제는 상기 포토레지스트의 잔부보다 더 큰 밀도를 갖는 것인 반도체 디바이스의 제조 방법.
  7. 반도체 디바이스의 제조 방법으로서,
    기판 위에 패턴화 가능한 층을 형성하는 단계,
    상기 패턴화 가능한 층 위에 감광성 층을 형성하는 단계로서, 상기 감광성 층은 첨가제 및 용매를 함유하고, 상기 첨가제는 적어도 부유 제어 화학물질(floating control chemical) 및 부피 제어 화학물질(volume control chemical)을 함유하는 것인 단계,
    상기 감광성 층을 스핀 건조하는 단계로서, 상기 부유 제어 화학물질은 상기 첨가제를 스핀 건조 중에 상향으로 상승하게 하는 것인 단계,
    스핀 건조 후 상기 감광성 층을 소성(baking)하는 단계로서, 상기 용매가 소성 중에 상기 감광성 층 밖으로 증발되고, 그에 의해 상기 첨가제가 상기 감광성 층의 상부 표면에서 또는 그 근방에서 보호층으로서 남게 되는 것인 단계, 및
    소성 후, 극자외선(EUV) 리소그래피 공정의 부분으로서, 상기 감광성 층을 노광하는 단계로서, 하나 이상의 탈가스 화학물질이 상기 노광 중에 상기 감광성 층 내부에서 발생되고, 상기 부피 제어 화학물질은 상기 감광성 층 내부에서 상기 탈가스 화학물질을 포획하기에 충분한 부피 및 밀도를 갖는 것인 단계
    를 포함하는 반도체 디바이스의 제조 방법.
  8. 제7항에 있어서, 상기 노광 전에, 상기 첨가제는 상기 첨가제를 상향으로 상승하게 하는 부유 제어 화학물질의 결과로서 상기 감광성 층의 정상 표면에 코팅을 형성하는 것인 반도체 디바이스의 제조 방법.
  9. 제7항에 있어서, 상기 첨가제는 상기 감광성 층의 잔부보다 더 높은 밀도를 갖고, 1000 내지 25000의 범위에 있는 분자량을 갖는 것인 반도체 디바이스의 제조 방법.
  10. 삭제
KR1020150176181A 2015-02-13 2015-12-10 탈가스 감소 및 대역외 방사선 흡수를 위한 신규 포토레지스트 첨가제 KR101780562B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562115671P 2015-02-13 2015-02-13
US62/115,671 2015-02-13
US14/876,879 US9958779B2 (en) 2015-02-13 2015-10-07 Photoresist additive for outgassing reduction and out-of-band radiation absorption
US14/876,879 2015-10-07

Publications (2)

Publication Number Publication Date
KR20160100217A KR20160100217A (ko) 2016-08-23
KR101780562B1 true KR101780562B1 (ko) 2017-09-21

Family

ID=56621043

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150176181A KR101780562B1 (ko) 2015-02-13 2015-12-10 탈가스 감소 및 대역외 방사선 흡수를 위한 신규 포토레지스트 첨가제

Country Status (4)

Country Link
US (1) US9958779B2 (ko)
KR (1) KR101780562B1 (ko)
CN (1) CN105895509B (ko)
TW (1) TWI633124B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10503070B2 (en) 2015-12-10 2019-12-10 Taiwan Semiconductor Manufacturing Co., Ltd. Photosensitive material and method of lithography
US10573519B2 (en) 2017-09-08 2020-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. Method for performing a photolithography process
US10768527B2 (en) * 2018-08-13 2020-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Resist solvents for photolithography applications
CN111736432A (zh) * 2020-06-15 2020-10-02 上海集成电路研发中心有限公司 一种阻隔光阻放气污染的装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012517612A (ja) 2009-02-10 2012-08-02 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション ポリシラザンを用いたリバーストーン画像の形成のためのハードマスク方法
US20130059252A1 (en) 2011-09-06 2013-03-07 Jsr Corporation Method for forming resist pattern and composition for forming protective film

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1720072B1 (en) * 2005-05-01 2019-06-05 Rohm and Haas Electronic Materials, L.L.C. Compositons and processes for immersion lithography
US8518628B2 (en) 2006-09-22 2013-08-27 Taiwan Semiconductor Manufacturing Company, Ltd. Surface switchable photoresist
JP5186255B2 (ja) * 2007-03-20 2013-04-17 富士フイルム株式会社 レジスト表面疎水化用樹脂、その製造方法及び該樹脂を含有するポジ型レジスト組成物
US8580117B2 (en) 2007-03-20 2013-11-12 Taiwan Semiconductor Manufactuing Company, Ltd. System and method for replacing resist filter to reduce resist filter-induced wafer defects
US8039194B2 (en) * 2008-01-08 2011-10-18 Internatinal Business Machines Corporation Photoacid generators for extreme ultraviolet lithography
KR20100125381A (ko) * 2008-04-04 2010-11-30 다이셀 가가꾸 고교 가부시끼가이샤 포토레지스트용 고분자 화합물
US8084193B2 (en) * 2008-07-12 2011-12-27 International Business Machines Corporation Self-segregating multilayer imaging stack with built-in antireflective properties
JP5417150B2 (ja) * 2008-12-18 2014-02-12 富士フイルム株式会社 感活性光線性又は感放射線性樹脂組成物、レジスト膜、それを用いたパターン形成方法、及び樹脂
US8216767B2 (en) 2009-09-08 2012-07-10 Taiwan Semiconductor Manufacturing Company, Ltd. Patterning process and chemical amplified photoresist with a photodegradable base
JP5618557B2 (ja) * 2010-01-29 2014-11-05 富士フイルム株式会社 感活性光線性または感放射線性樹脂組成物、及び該組成物を用いたパターン形成方法
US8841058B2 (en) * 2010-08-03 2014-09-23 Taiwan Semiconductor Manufacturing Company, Ltd. Photolithography material for immersion lithography processes
US8323870B2 (en) 2010-11-01 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method and photoresist with zipper mechanism
US8647796B2 (en) 2011-07-27 2014-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Photoactive compound gradient photoresist
KR101969595B1 (ko) 2011-09-06 2019-04-16 제이에스알 가부시끼가이샤 레지스트 패턴 형성 방법 및 보호막 형성용 조성물
JP5991325B2 (ja) * 2011-11-11 2016-09-14 Jsr株式会社 レジスト上層膜形成用組成物、レジストパターン形成方法、化合物、化合物の製造方法及び重合体
US8741551B2 (en) 2012-04-09 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method and composition of a dual sensitive resist
US9213234B2 (en) 2012-06-01 2015-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Photosensitive material and method of lithography
JP2013254109A (ja) * 2012-06-07 2013-12-19 Az Electronic Materials Mfg Co Ltd 上層膜形成用組成物およびそれを用いたレジストパターン形成方法
US9851636B2 (en) 2012-07-05 2017-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Materials and methods for improved photoresist performance
US20140017615A1 (en) 2012-07-11 2014-01-16 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for resist coating and developing
US9256133B2 (en) 2012-07-13 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for developing process
US9028915B2 (en) 2012-09-04 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a photoresist layer
JP6048345B2 (ja) * 2012-09-05 2016-12-21 信越化学工業株式会社 レジスト材料及びこれを用いたパターン形成方法
JP5742806B2 (ja) * 2012-09-14 2015-07-01 信越化学工業株式会社 レジスト保護膜材料及びパターン形成方法
US8906595B2 (en) 2012-11-01 2014-12-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving resist pattern peeling
US9012132B2 (en) 2013-01-02 2015-04-21 Taiwan Semiconductor Manufacturing Company, Ltd. Coating material and method for photolithography
US8936903B2 (en) 2013-03-09 2015-01-20 Taiwan Semiconductor Manufacturing Company, Ltd. Photo-resist with floating acid
US9223220B2 (en) 2013-03-12 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photo resist baking in lithography process
US8932799B2 (en) 2013-03-12 2015-01-13 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9146469B2 (en) 2013-03-14 2015-09-29 Taiwan Semiconductor Manufacturing Company, Ltd. Middle layer composition for trilayer patterning stack

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012517612A (ja) 2009-02-10 2012-08-02 エイゼット・エレクトロニック・マテリアルズ・ユーエスエイ・コーポレイション ポリシラザンを用いたリバーストーン画像の形成のためのハードマスク方法
US20130059252A1 (en) 2011-09-06 2013-03-07 Jsr Corporation Method for forming resist pattern and composition for forming protective film

Also Published As

Publication number Publication date
CN105895509A (zh) 2016-08-24
US9958779B2 (en) 2018-05-01
TWI633124B (zh) 2018-08-21
TW201630951A (zh) 2016-09-01
US20160238934A1 (en) 2016-08-18
KR20160100217A (ko) 2016-08-23
CN105895509B (zh) 2018-12-14

Similar Documents

Publication Publication Date Title
US12019375B2 (en) Photosensitive material and method of lithography
US20210103213A1 (en) Extreme Ultraviolet Photolithography Method With Developer Composition
US9146469B2 (en) Middle layer composition for trilayer patterning stack
KR101780562B1 (ko) 탈가스 감소 및 대역외 방사선 흡수를 위한 신규 포토레지스트 첨가제
US9459536B1 (en) Negative tone developer composition for extreme ultraviolet lithography
US9612536B2 (en) Developer for lithography
US10082734B2 (en) Composition and method for lithography patterning
US10394123B2 (en) Blocking layer material composition and methods thereof in semiconductor manufacturing
KR101904589B1 (ko) 실리콘계 중간층 조성물
US9159559B2 (en) Lithography layer with quenchers to prevent pattern collapse
US20170242341A1 (en) Lithography Patterning Technique
US9927707B2 (en) Developer for lithography
US9978594B1 (en) Formation method of semiconductor device structure using patterning stacks
KR101861898B1 (ko) 네거티브 톤 현상 프로세스에서의 리소그래피 개선을 위한 새로운 화학적 처리
US11728161B2 (en) Spin on carbon composition and method of manufacturing a semiconductor device
US20230028006A1 (en) Photoresist composition and methods of use
KR102481142B1 (ko) Euv 리소그래피를 위한 네가티브 톤 포토레지스트
US20220334482A1 (en) Photoresist top coating material for etching rate control
TW202411780A (zh) 製造半導體裝置的方法及光阻劑組成物

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X701 Decision to grant (after re-examination)