KR101713629B1 - Substrate processing system, and Transfer module therefor - Google Patents

Substrate processing system, and Transfer module therefor Download PDF

Info

Publication number
KR101713629B1
KR101713629B1 KR1020110124163A KR20110124163A KR101713629B1 KR 101713629 B1 KR101713629 B1 KR 101713629B1 KR 1020110124163 A KR1020110124163 A KR 1020110124163A KR 20110124163 A KR20110124163 A KR 20110124163A KR 101713629 B1 KR101713629 B1 KR 101713629B1
Authority
KR
South Korea
Prior art keywords
substrate
processing space
module
space
transport
Prior art date
Application number
KR1020110124163A
Other languages
Korean (ko)
Other versions
KR20130058251A (en
Inventor
조생현
양호식
안성일
Original Assignee
주식회사 원익아이피에스
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 원익아이피에스 filed Critical 주식회사 원익아이피에스
Priority to KR1020110124163A priority Critical patent/KR101713629B1/en
Publication of KR20130058251A publication Critical patent/KR20130058251A/en
Application granted granted Critical
Publication of KR101713629B1 publication Critical patent/KR101713629B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K51/00Other details not peculiar to particular types of valves or cut-off apparatus
    • F16K51/02Other details not peculiar to particular types of valves or cut-off apparatus specially adapted for high-vacuum installations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67745Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber characterized by movements or sequence of movements of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

본 발명은 기판처리시스템에 관한 것으로서, 보다 상세하게는 기판처리를 수행하는 반송모듈 및 그를 가지는 기판처리시스템에 관한 것이다.
본 발명은 기판처리의 수행을 위한 처리공간이 형성된 복수의 공정모듈들과; 상기 복수의 공정모듈들이 결합되는 하나 이상의 반송모듈을 포함하며, 상기 반송모듈의 반송챔버는 상기 공정모듈들 중 적어도 일부에 대응되며, 상기 공정모듈의 처리공간과 연통되어 상기 처리공간을 확장하는 처리공간확장부가 형성된 것을 특징으로 하는 기판처리시스템을 개시한다.
BACKGROUND OF THE INVENTION 1. Field of the Invention [0002] The present invention relates to a substrate processing system, and more particularly, to a substrate processing system having the substrate processing module.
The present invention relates to a method of manufacturing a semiconductor device, comprising: a plurality of process modules in which a process space for performing substrate processing is formed; Wherein the transfer chamber of the transfer module corresponds to at least a part of the process modules and is connected to the process space of the process module to expand the process space And a space expanding portion is formed.

Description

기판처리시스템 및 그에 사용되는 반송모듈 {Substrate processing system, and Transfer module therefor}[0001] The present invention relates to a substrate processing system and a transfer module used therefor,

본 발명은 기판처리시스템에 관한 것으로서, 보다 상세하게는 기판처리를 수행하는 기판처리시스템 및 그에 사용되는 반송모듈에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a substrate processing system, and more particularly, to a substrate processing system for performing substrate processing and a conveyance module used therein.

기판처리시스템이란 기판에 대하여 증착, 식각 등 기판처리를 수행하는 시스템을 말하며, 소위 클러스터 타입의 기판처리시스템으로서, 기판처리를 수행하는 복수의 공정모듈들과, 공정모듈들이 결합되는 반송모듈을 포함하여 구성될 수 있다.The substrate processing system refers to a system that performs substrate processing such as deposition and etching on a substrate. The substrate processing system includes a plurality of process modules for performing substrate processing and a transport module to which process modules are coupled .

여기서 반송모듈들에 결합되는 공정모듈의 수는 기판처리의 종류, 특성 등에 따라서 다양하게 결정될 수 있다.Here, the number of process modules coupled to the transport modules can be variously determined depending on the type, characteristics, and the like of the substrate processing.

한편 종래의 기판처리시스템은 반송모듈에 결합되는 공정모듈의 숫자의 증가, 기판처리의 종류, 기판의 크기의 증가로 그 크기가 커지면서 시스템이 차지하는 공간 및 배치에 많은 제약을 주는 문제점이 있다.On the other hand, the conventional substrate processing system has a problem that the space and the arrangement occupied by the system are limited due to an increase in the number of process modules coupled to the transport module, an increase in the number of types of substrate processing, and a size of the substrate.

특히 시스템이 설치되는 공간의 증가는 클린환경을 요하는 기판처리인 점을 고려하여 기판처리를 위한 전체비용의 증가로 직결되는바 공간 및 배치를 효율화할 수 있는 시스템의 구조 및 배치가 필요하다.In particular, considering the fact that an increase in the space in which the system is installed is a substrate processing requiring a clean environment, it is necessary to structure and arrange a system capable of efficiently realizing the bar space and arrangement, which is directly related to the increase in the overall cost for the substrate processing.

본 발명의 목적은 상기와 같은 필요성을 인식하여, 시스템의 구조 및 배치를 효율화하여 시스템의 설치환경에 대한 제약을 최소화할 수 있는 기판처리시스템 및 그에 사용되는 반송모듈을 제공하는데 있다.It is an object of the present invention to provide a substrate processing system capable of minimizing the constraint on the installation environment of the system by making the structure and arrangement of the system efficient, recognizing the necessity as described above, and a transport module used therefor.

본 발명은 상기와 같은 본 발명의 목적을 달성하기 위하여 창출된 것으로서, 본 발명은 기판처리의 수행을 위한 처리공간이 형성된 복수의 공정모듈들과; 상기 복수의 공정모듈들이 결합되며 기판을 상기 공정모듈로 도입하거나 상기 공정모듈로부터 반출하는 반송로봇을 포함하는 하나 이상의 반송모듈을 포함하며, 상기 반송모듈의 반송챔버는 상기 공정모듈들 중 적어도 일부에 대응되며, 상기 공정모듈의 처리공간과 연통되어 상기 처리공간을 확장하는 처리공간확장부가 형성된 것을 특징으로 하는 기판처리시스템을 개시한다.The present invention has been made in order to accomplish the above-mentioned object of the present invention, and it is an object of the present invention to provide a method of manufacturing a substrate processing apparatus, Wherein the transfer chamber of the transfer module includes at least a part of the process modules, and the transfer chamber of the transfer module comprises at least one of the process modules, And a processing space extension is formed in communication with the processing space of the processing module to expand the processing space.

상기 처리공간확장부는 하나 이상의 격벽부재에 의하여 상기 반송챔버의 내부공간을 구획 형성되고, 상기 반송챔버에 형성된 개방부를 통하여 상기 처리공간과 연통될 수 있다.The processing space expanding portion may be formed by partitioning the internal space of the transfer chamber by at least one partition wall member and communicating with the processing space through an opening formed in the transfer chamber.

상기 반송챔버는 상기 공정모듈과의 기판전달을 위한 게이트가 상기 개방부보다 상측에 형성될 수 있다.The transfer chamber may have a gate for transferring a substrate with the process module on the upper side of the opening.

상기 공정챔버 및 상기 개방부 사이에는 그 개폐를 위한 게이트밸브가 추가로 설치될 수 있다.A gate valve for opening and closing the processing chamber may be additionally provided between the process chamber and the opening.

상기 반송챔버는 상측에서 본 수평형상이 원 및 다각형 중 어느 하나의 형상을 가질 수 있다.The horizontal direction of the transfer chamber may be a circle or a polygonal shape.

상기 처리공간확장부는 상기 반송챔버의 둘레방향을 따라서 복수개로 형성될 수 있다.The processing space expanding portion may be formed in a plurality of directions along the circumferential direction of the transfer chamber.

상기 복수의 처리공간확장부들은 적어도 일부가 서로 연통될 수 있다.At least a part of the plurality of processing space expansions may communicate with each other.

상기 처리공간확장부는 이웃하는 처리공간확장부와 적어도 일부가 상하로 중첩되도록 설치될 수 있다.The processing space expanding unit may be installed so that at least a part of the processing space expanding unit overlaps with the neighboring processing space expanding unit.

상기 복수의 처리공간확장부들은 서로 간섭되는 것을 방지하도록 상기 반송챔버의 수평둘레방향을 따라서 번갈아가면서 상하 2층으로 배치될 수 있다.The plurality of processing space expanding portions may be arranged in two layers vertically alternately along the horizontal circumferential direction of the transport chamber to prevent them from interfering with each other.

상기 공정모듈은 기판지지부를 상기 반송챔버와의 결합방향으로의 이동을 가이드하는 하나 이상의 가이드부재가 설치되며, 상기 기판지지부는 처리공간에 설치된 가스분사부에 대하여 상기 공정모듈이 반송챔버와 결합되는 결합방향으로 이동될 수 있다.Wherein the process module is provided with at least one guide member for guiding movement of the substrate support in the direction of coupling with the transport chamber, wherein the substrate support is configured such that the process module is coupled to the transport chamber Can be moved in the coupling direction.

상기 처리공간확장부는 상기 공정모듈의 가이드부재에 대응되는 위치에 상기 기판지지부을 안내하는 가이드부재가 설치될 수 있다.The processing space expander may be provided with a guide member for guiding the substrate support at a position corresponding to the guide member of the process module.

상기 반송모듈은 복수개로 설치되며, 이웃하는 상기 반송모듈들은 서로 연결모듈에 의하여 연결될 수 있다.A plurality of the transport modules may be provided, and neighboring transport modules may be connected to each other by a connection module.

본 발명은 또한 상기 기판처리시스템의 반송모듈을 개시한다.The present invention also discloses a transport module of the substrate processing system.

본 발명에 따른 기판처리시스템 및 그에 사용되는 반송모듈은 기판처리를 위한 공정모듈의 처리공간을 확장할 수 있는 확장공간을 반송챔버에 형성함으로써 공정모듈의 길이를 감소시켜 궁극적으로 기판처리시스템이 차지하는 설치면적을 감소시키게 되어 시스템의 설치환경에 대한 제약을 최소화할 수 있는 이점이 있다.The substrate processing system and the transport module used therefor according to the present invention reduce the length of the process module by forming an expansion space in the transport chamber that can expand the process space of the process module for substrate processing, There is an advantage that it is possible to minimize the installation area of the system by reducing the installation area.

또한 본 발명에 따른 기판처리시스템 및 그에 사용되는 반송모듈은 반송챔버의 내부공간을 공정모듈의 처리공간을 확장하는 공간으로 활용하여 공정모듈의 길이를 감소시킴으로써 궁극적으로 기판처리시스템이 차지하는 면적을 감소시켜 시스템의 설치환경에 대한 제약을 최소화할 수 있는 이점이 있다.Further, the substrate processing system and the transport module used in the present invention reduce the length of the process module by utilizing the internal space of the transport chamber as a space for expanding the process space of the process module, thereby ultimately reducing the area occupied by the substrate processing system Thereby minimizing restrictions on the installation environment of the system.

도 1은 본 발명에 따는 기판처리시스템을 보여주는 평면도이다.
도 2a는 도 1에서 Ⅱ-Ⅱ 방향의 수평단면도이며, 도 2b는 도 1의 기판처리시스템의 변형례를 보여주는 수평단면도이다.
도 3은 도 1의 기판처리시스템의 변형례를 보여주는 평면도이다.
도 4는 도 3에서 Ⅲ-Ⅲ 방향의 수평단면도이다.
도 5는 도 3의 기판처리시스템의 변형례를 보여주는 평면도이다.
도 6은 본 발명에 따른 기판처리시스템의 다른 예를 보여주는 평면도이다.
1 is a plan view showing a substrate processing system according to the present invention.
FIG. 2A is a horizontal sectional view in the II-II direction in FIG. 1, and FIG. 2B is a horizontal sectional view showing a modification of the substrate processing system in FIG.
3 is a plan view showing a modification of the substrate processing system of FIG.
Fig. 4 is a horizontal sectional view in the III-III direction in Fig. 3. Fig.
5 is a plan view showing a modification of the substrate processing system of FIG.
6 is a plan view showing another example of the substrate processing system according to the present invention.

이하 본 발명에 따른 기판처리시스템 및 그에 사용되는 반송모듈에 관하여 첨부된 도면을 참조하여 상세히 설명하면 다음과 같다.Hereinafter, a substrate processing system according to the present invention and a transport module used therefor will be described in detail with reference to the accompanying drawings.

도 1은 본 발명에 따는 기판처리시스템을 보여주는 평면도이고, 도 2a는 도 1에서 Ⅱ-Ⅱ 방향의 수평단면도이며, 도 2b는 도 1의 기판처리시스템의 변형례를 보여주는 수평단면도이고, 도 3은 도 1의 기판처리시스템의 변형례를 보여주는 평면도이고, 도 4는 도 3에서 Ⅲ-Ⅲ 방향의 수평단면도이고, 도 5는 도 3의 기판처리시스템의 변형례를 보여주는 평면도이고, 도 6은 본 발명에 따른 기판처리시스템의 다른 예를 보여주는 평면도이다.1 is a horizontal cross-sectional view taken along the line II-II in FIG. 1, FIG. 2B is a horizontal sectional view showing a modification of the substrate processing system of FIG. 1, and FIG. 3 3 is a horizontal cross-sectional view in the III-III direction in Fig. 3, Fig. 5 is a plan view showing a modification of the substrate processing system of Fig. 3, and Fig. 6 is a plan view showing a modification of the substrate processing system of Fig. Fig. 6 is a plan view showing another example of the substrate processing system according to the present invention.

본 발명에 따른 기판처리시스템은 도 1 및 도 2a에 도시된 바와 같이, 기판처리의 수행을 위한 처리공간(S)이 형성된 복수의 공정모듈(101~107)들과; 복수의 공정모듈(101~107)들이 결합되는 하나 이상의 반송모듈(200)을 포함한다.The substrate processing system according to the present invention includes a plurality of process modules 101 to 107 having a processing space S for performing substrate processing, as shown in Figs. 1 and 2A; And one or more transport modules 200 to which a plurality of process modules 101 to 107 are coupled.

상기 공정모듈(101~107)은 식각, 증착 등 기판처리를 수행하는 구성으로서, 기판처리의 종류에 따라서 그 수 및 배치 등 다양한 구성이 가능하다.The process modules 101 to 107 are configured to perform substrate processing such as etching and vapor deposition, and may have various configurations such as the number and arrangement thereof according to the type of substrate processing.

여기서 기판처리의 대상은 반도체기판, LCD 패널용 유리기판, OLED 기판, 태양전지 기판 등이 있다.Here, substrates to be processed include semiconductor substrates, glass substrates for LCD panels, OLED substrates, and solar cell substrates.

상기 공정모듈(101~107)은 후술하는 반송모듈(200) 사이에서의 기판(10)의 입출을 위한 게이트(190)가 형성된 공정챔버(110)를 포함할 수 있다.The process modules 101 to 107 may include a process chamber 110 in which a gate 190 for entering and exiting the substrate 10 is formed between the transport modules 200 to be described later.

상기 공정챔버(110)는 도 1 및 도 2a에 도시된 바와 같이, 기판처리의 수행을 위한 처리공간(S)이 형성되며 기판처리에 따라서 다양한 구조가 가능하다.As shown in FIGS. 1 and 2A, the process chamber 110 is formed with a processing space S for performing substrate processing, and various structures are possible according to the substrate processing.

한편 상기 공정챔버(110)는 일예로서, 도 1 및 도 2a에 도시된 바와 같이, 후술하는 반송로봇(300)에 의하여 반송된 기판(10)을 지지함과 아울러 승하강하는 리프트핀(120)과, 리프트핀(120)의 하강에 의하여 기판(10)을 지지하는 기판지지부(130)와, 기판지지부(130)의 상측에 설치되어 기판처리를 위한 가스를 분사하는 가스분사부(미도시)가 설치될 수 있다.1 and 2A, the process chamber 110 supports the substrate 10 transported by a transport robot 300, which will be described later, and lifts and drops the lift pins 120, A substrate supporting part 130 for supporting the substrate 10 by the lowering of the lift pins 120 and a gas injecting part (not shown) installed on the upper side of the substrate supporting part 130 for injecting gas for substrate processing, Can be installed.

상기 리프트핀(120)은 반송로봇(300)과의 기판(10) 전달을 위한 구성으로서, 기판(10)을 지지하여 상하로 이동시킬 수 있는 구성이면 어떠한 구성도 가능하다.The lift pins 120 are configured to transfer the substrate 10 to the carrier robot 300 and may have any structure as long as it can support the substrate 10 and move it up and down.

여기서 상기 리프트핀(120)은 공정챔버(110) 내 또는 외부에 설치된 구동부(121)에 의하여 구동되며 공정챔버(110)의 밀폐를 위한 구조, 즉 벨로우즈 등은 설명의 편의상 생략한다.Here, the lift pin 120 is driven by a driving unit 121 installed in the process chamber 110 or outside, and a structure for sealing the process chamber 110, that is, a bellows or the like is omitted for convenience of explanation.

또한 상기 공정챔버(110)는 처리공간(S) 내의 압력제어, 가스배기를 위하여 가스배기관이 연결될 수 있다.Further, the process chamber 110 may be connected to a gas exhaust pipe for pressure control and gas exhaust in the process space S.

상기 기판지지부(130)는 기판처리의 수행을 위하여 기판(10)을 지지하기 위한 구성으로서 다양한 구성이 가능하다.The substrate supporter 130 may have various configurations for supporting the substrate 10 for performing the substrate processing.

한편 상기 기판지지부(130)와 관련하여, 공정챔버(110)는 반송챔버(210)와의 기판전달을 위한 게이트(190)가 형성되는 상부영역과, 상부영역보다 하측에 설치되며 기판(10)을 지지하는 기판지지대(130)가 설치된 하부영역을 포함할 수 있다.The process chamber 110 includes an upper region where a gate 190 is formed for transferring a substrate to the transfer chamber 210 and a lower region located below the upper region, And a lower region in which a substrate support 130 for supporting is installed.

일예로서, 상기 기판지지부(130)는 가스분사부에 대하여 수평방향으로 이동하면서 기판처리가 수행되는 경우 수평방향 이동이 가능하도록 공정챔버(110) 내, 특히 하부영역에 설치되며 이때 기판지지부(130)의 수평방향 이동을 위한 하나 이상의 가이드부재(150)가 공정챔버(110) 내에 설치될 수 있다.For example, the substrate support 130 may be installed in the process chamber 110, particularly in the lower region, so that the substrate support 130 may move horizontally when the substrate processing is performed while moving horizontally relative to the gas ejection unit, One or more guide members 150 for horizontal movement of the process chamber 110 may be installed in the process chamber 110.

여기서 후술하는 처리공간확장부(400)는 공정모듈(101~107)의 가이드부재(150)에 대응되는 위치에 기판지지부(130)의 이동을 안내하는 가이드부재가 추가로 설치될 수 있다.The processing space expansion unit 400 described later may further include a guide member for guiding the movement of the substrate support unit 130 at a position corresponding to the guide member 150 of the process modules 101 to 107.

이때 상기 기판지지부(130)의 수평방향 이동방향은 반송모듈(200)과의 결합방향으로 이동되는 것이 바람직하며, 기판지지부(130)의 이동은 다양한 방식에 의하여 구동될 수 있다.At this time, the horizontal movement direction of the substrate support 130 is preferably moved in a direction of coupling with the transport module 200, and the movement of the substrate support 130 may be driven by various methods.

또한 상기 가이드부재(150)가 설치된 공정챔버(110)는 상부영역에서 반송모듈(200)로부터 기판(10)을 전달받고, 하부영역에서 가이드부재(150)가 설치되어 기판지지부(130)의 수평이동에 의하여 기판처리를 수행하도록 그 수직단면형상이 뒤집어진 'T'자 형상을 가질 수 있다.The process chamber 110 in which the guide member 150 is installed receives the substrate 10 from the transport module 200 in the upper region and the guide member 150 is installed in the lower region, Quot; T " shape whose inverted vertical cross-sectional shape is inverted to perform substrate processing by movement.

상기 가스분사부는 기판처리 수행을 위하여 처리공간(S), 특히 기판(10) 상에 처리가스를 분사하기 위한 구성으로서, 기판(10)의 전면에 대응되어 구성되거나, 기판지지부(130)가 가스분사부에 대하여 수평방향으로 이동하면서 기판처리가 수행되는 경우 기판(10)의 일부 영역에 대하여 가스가 분사되도록 구성될 수 있다.The gas injection unit is configured to correspond to the front surface of the substrate 10 as a configuration for injecting the process gas onto the process space S, particularly, the substrate 10 for performing substrate processing, A gas may be injected to a part of the substrate 10 when the substrate processing is performed while moving in the horizontal direction with respect to the ejection portion.

한편 상기 공정모듈(101~107)은 기판처리에 따라서 다양한 형태의 전원이 인가될 수 있다.Meanwhile, various types of power may be applied to the process modules 101 to 107 according to the substrate process.

또한 상기 복수의 공정모듈(101~107)은 동일한 기판처리를 수행하거나, 각기 서로 다른 기판처리를 수행하는 등 기판처리를 기준으로 다양한 조합이 가능하다.In addition, the plurality of process modules 101 to 107 can perform various combinations based on substrate processing, such as performing the same substrate processing or performing different substrate processing.

상기 반송모듈(200)은 각 공정모듈(101~107)의 처리공간(S) 내에 기판(10)을 전달하거나 반출하는 구성으로서 다양한 구성이 가능하다.The transport module 200 can be configured in various ways as a structure for transferring or transporting the substrate 10 in the process space S of each process module 101 to 107.

상기 반송모듈(200)은 복수의 공정모듈(101~107)이 결합되는 반송챔버(210)를 포함한다. The transport module 200 includes a transport chamber 210 to which a plurality of process modules 101 to 107 are coupled.

이때 상기 반송챔버(210)는 기판(10)을 처리공간(S)에 도입하거나 공정모듈(101~107)로부터 반출하는 반송로봇(300)이 설치된다.At this time, the transfer chamber 210 is provided with a transfer robot 300 for introducing the substrate 10 into the process space S or taking out the process modules 101 to 107.

상기 반송로봇(300)은 공정모듈(101~107)로 기판(10)을 전달하거나 반출할 수 있는 구성이면 레일방식, 다관절로봇 등 어떠한 구성도 가능하다.The conveying robot 300 may have any configuration such as a rail system, a jointed robot, or the like, provided that the substrate 10 can be transferred or carried out by the process modules 101 to 107.

상기 반송챔버(210)는 각 공정모듈(101~107)의 처리공간(S) 내에 기판(10)을 전달하거나 반출하기 위하여 각 공정모듈(101~107)에 대응되는 위치에 게이트밸브(220)에 의하여 개폐되는 게이트(211)가 형성된다.The transfer chamber 210 is provided with a gate valve 220 at a position corresponding to each process module 101 to 107 for transferring or transferring the substrate 10 into the process space S of each process module 101 to 107, A gate 211 opened and closed is formed.

그리고 상기 반송챔버(210)는 상측에서 본 수평형상이 다양한 형상을 가질 수 있으며, 예로서, 원 및 다각형 중 어느 하나의 형상을 가질 수 있으며, 내부공간(IS) 내에 소정의 진공압 형성을 위한 배기관(미도시)이 연결될 수 있다.The horizontal direction of the transport chamber 210 may have various shapes, for example, a circular shape or a polygonal shape. The transport chamber 210 may have a shape for forming a predetermined vacuum pressure in the inner space IS An exhaust pipe (not shown) may be connected.

한편 상기 공정모듈(101~107)은 가스분사부에 대하여 수평방향으로 이동하면서 기판처리가 수행되는 경우, 특히 기판지지부(130)가 반송모듈(200)에 대한 공정모듈(101~107)의 결합방향으로 수평방향 이동되는 경우 공정모듈(101~107)의 수평방향 길이가 길어져 시스템의 설치면적이 커지는 문제점이 있다.In particular, when the substrate processing unit 101 is moved in the horizontal direction with respect to the gas injection unit, the process modules 101 to 107 may be mounted on the substrate processing unit 101, The length of the process modules 101 to 107 in the horizontal direction becomes long, which increases the installation area of the system.

따라서 본 발명에 따른 기판처리시스템은 공정모듈(101~107)의 수평방향 길이의 증가에 따른 시스템의 설치면적의 증가를 방지할 필요가 있다.Therefore, the substrate processing system according to the present invention needs to prevent an increase in the installation area of the system in accordance with an increase in the horizontal length of the process modules 101 to 107.

이에 본 발명은 공정모듈(101~107)들 중 적어도 일부에 대응되며, 공정모듈(101~107)의 처리공간(S)과 연통되어 처리공간(S)을 확장하는 처리공간확장부(400)를 반송챔버(210)에 추가로 형성함으로써 상기와 같은 문제점을 해결함을 특징으로 한다.The present invention is applicable to at least a part of the process modules 101 to 107 and includes a process space expanding unit 400 for expanding the process space S by communicating with the process space S of the process modules 101 to 107, Is further formed in the transport chamber 210 to solve the above-described problems.

즉, 상기 반송모듈(200)은 반송챔버(210) 내에 공정모듈(101~107)의 처리공간(S)을 확장하기 위한 처리공간확장부(400)를 형성하고, 처리공간확장부(400)를 처리공간(S)과 연통시킴으로써 공정모듈(101~107)의 수평방향 길이의 증가없이 가스분사부에 대하여 수평방향으로 이동하면서 기판처리의 수행을 가능하게 할 수 있다.That is, the transport module 200 forms a process space expander 400 for expanding the process space S of the process modules 101 to 107 in the transport chamber 210, It is possible to perform the substrate processing while moving in the horizontal direction with respect to the gas injection portion without increasing the horizontal length of the process modules 101 to 107. [

한편 상기 처리공간확장부(400)는 처리공간(S)을 확장할 수 있는 확장공간(S2)을 형성할 수 있으면 어떠한 구성도 가능하며, 일예로서, 도 2a에 도시된 바와 같이, 하나 이상의 격벽부재(410, 420)에 의하여 반송챔버(210)의 내부공간(IS)을 구획하여 형성될 수 있다. 2A, the processing space expanding unit 400 may have any configuration as long as it can form an expansion space S2 capable of expanding the processing space S. For example, as shown in FIG. 2A, And may be formed by dividing the inner space IS of the transport chamber 210 by the members 410 and 420.

그리고 상기 처리공간확장부(400)는 반송챔버(210)에 형성된 개방부(230)를 통하여 처리공간(S)과 연통된다.The processing space expanding part 400 is communicated with the processing space S through an opening part 230 formed in the transporting chamber 210.

여기서 상기 반송챔버(210)는 공정모듈(101~107)과의 기판전달을 위한 게이트(211)가 개방부(230)보다 상측에 형성된다.Here, the transfer chamber 210 is formed with a gate 211 for transferring a substrate with the process modules 101 to 107 above the opening 230.

한편 기판처리의 종류, 기판처리 시점 등에 따라서 처리공간확장부(400)의 확장공간(S2)이 불필요할 수 있으며 이 경우 공정챔버(110) 내의 처리공간(S)에서의 진공압 형성, 배기 등을 고려하여 처리공간(S)과 격리가 필요하다.On the other hand, the extended space S2 of the processing space expanding part 400 may be unnecessary depending on the type of the substrate processing and the substrate processing time. In this case, vacuum pressure formation in the processing space S in the processing chamber 110, And isolation from the processing space S is required.

따라서 상기 처리공간확장부(400) 및 공정챔버(110) 사이에는 도 2b에 도시된 바와 같이, 그 개폐를 위한 별도의 게이트밸브(240)이 추가로 설치될 수 있다. Therefore, as shown in FIG. 2B, a separate gate valve 240 may be additionally provided between the process space expander 400 and the process chamber 110 for opening and closing the process space expander 400 and the process chamber 110.

여기서 상기 공정챔버(110)에는 게이트밸브(240)에 의하여 개폐되는 게이트(180)가 추가로 형성된다.A gate 180 is further formed in the process chamber 110 to be opened and closed by the gate valve 240.

그리고 상기 공정챔버(110) 내에 가이드부재(150)가 설치된 경우, 가이드부재(150)는 처리공간확장부(400) 내에 분리되어 설치될 수 있다.When the guide member 150 is installed in the process chamber 110, the guide member 150 may be separately installed in the process space expansion unit 400.

한편 상기 공정모듈(101~107)들이 복수개로 반송챔버(210)의 둘레방향을 따라서 배치될 수 있는바, 이에 대응되어 상기 처리공간확장부(400)는 반송챔버(210)의 둘레방향을 따라서 복수개로 형성될 수 있다.A plurality of the process modules 101 to 107 may be disposed along the circumferential direction of the transfer chamber 210 so that the process space expander 400 may move along the circumferential direction of the transfer chamber 210 And may be formed in plurality.

이때 상기 처리공간확장부(400)가 복수개로 설치되는 경우 이웃하는 처리공간확장부(400)와 간섭되는바 이를 방지하기 위하여 반송챔버(210)의 수평크기가 상대적으로 크게 형성되는 문제점이 있다.In this case, when the plurality of process space expanders 400 are installed, the horizontal size of the transfer chamber 210 is relatively increased to prevent interference with the neighboring process space expander 400.

따라서 이의 개선을 위하여 상기 처리공간확장부(400)는 도 3 및 도 4에 도시된 바와 같이, 이웃하는 처리공간확장부(400)와 적어도 일부가 상하로 중첩되도록 설치됨이 보다 바람직하다.Therefore, as shown in FIGS. 3 and 4, it is preferable that the processing space expanding unit 400 is installed so as to overlap with at least a part of the adjacent processing space expanding unit 400 vertically.

상기 복수개의 처리공간확장부(300)들은 도 4에 도시된 바와 같이, 가장 하측에 위치된 처리공간확장부(300)를 기준으로 바로 이웃하는 처리공간확장부(400)가 수직방향으로 더 상측에 위치될 수 있다.As shown in FIG. 4, the plurality of processing space expansions 300 are arranged such that the processing space expansions 400 immediately adjacent to the bottommost processing space expanse 300 are vertically Lt; / RTI >

또한 상기 복수의 처리공간확장부(300)들은 도 4에 도시된 바와 같이, 서로 간섭되는 것을 방지하도록 2층으로 적절하게 배치, 예를 들면, 반송챔버(210)의 수평둘레방향을 따라서 번갈아가면서 상하 2층으로 배치될 수 있다. As shown in FIG. 4, the plurality of processing space expansions 300 may be appropriately arranged in two layers to prevent interference with each other, for example, alternately along the horizontal circumferential direction of the transport chamber 210 And can be arranged as two upper and lower layers.

이때 상기 반송챔버(210)는 내부공간(IS)의 불필요한 증가-내부공간(IS)이 증가하는 경우 소정의 진공압 형성을 위한 부하 및 시간이 증가하는 문제점이 있다-를 방지하기 위하여 하측에 위치된 처리공간확장부(400)의 상부공간, 상측에 위치된 처리공간확장부(400)의 하부공간이 내부공간(IS)이 제외되도록 형성됨이 보다 바람직하다.At this time, in order to prevent the unnecessary increase of the internal space IS, that is, the internal space IS is increased, there is a problem that load and time for forming a predetermined vacuum pressure increases. It is preferable that the upper space of the processing space expanding part 400 and the lower space of the processing space expanding part 400 located on the upper side are formed so that the inner space IS is excluded.

한편 상기 복수의 처리공간확장부(400)들은 도 5에 도시된 바와 같이, 다른 공정모듈의 기판처리에 영향을 주지 않는 경우 적어도 일부가 서로 연통될 수도 있다.Meanwhile, as shown in FIG. 5, the plurality of processing space expansions 400 may communicate with each other at least partially if they do not affect substrate processing of other process modules.

물론 상기 복수의 처리공간확장부(400)들은 안정적인 기판처리의 수행을 위하여 격벽부재들에 의하여 반송챔버(210)의 내부공간(IS) 및 다른 처리공간확장부(400)의 확장공간(S2)과 격리되는 것이 바람직하다.Of course, the plurality of processing space expansions 400 may be formed by dividing the inner space IS of the transfer chamber 210 and the extended space S2 of the other processing space expansions 400, .

한편 상기 반송모듈(200)은 도 1 및 도 3에 도시된 바와 같이, 외부로부터 기판(10)을 전달받거나 배출하기 위한 로드락모듈(910)과 연결되거나, 도 6에 도시된 바와 같이, 외부로부터 기판(10)을 전달받기 위한 로드락모듈(910) 및 외부로 배출하기 위한 언로락모듈(920)이 연결될 수 있다.1 and 3, the transport module 200 may be connected to a load lock module 910 for receiving and discharging the substrate 10 from the outside, A load lock module 910 for receiving the substrate 10 from the substrate 10 and an unlock lock module 920 for discharging the substrate 10 to the outside can be connected.

상기 로드락모듈(910) 및 언로드락모듈(920)은 반송모듈(200) 및 외부 사이에서 대기압 및 진공압 사이로 압력변환을 하면서 반송모듈(200)로 기판(10)을 전달하거나 외부로 배출하기 위한 구성으로서 다양한 구성이 가능하다.The load lock module 910 and the unloading lock module 920 transfer the substrate 10 to or from the transfer module 200 while performing pressure conversion between the transfer module 200 and the outside between atmospheric pressure and vacuum pressure. Various configurations are possible.

한편 상기와 같은 구성을 가지는 기판처리시스템은 도 6에 도시된 바와 같이, 복수의 반송모듈(210)들을 포함하며, 이웃하는 반송모듈(210)들은 서로 연결모듈(930)에 의하여 연결되어 기판(10)을 전달받을 수 있다.6, the substrate processing system having a configuration as described above includes a plurality of transport modules 210, and neighboring transport modules 210 are connected to each other by a connection module 930, 10).

여기서 상기 연결모듈(930)은 단순히 기판전달을 수행하거나, 증착, 식각 등의 기판처리를 수행하거나, 기판(10)을 임시로 저장하는 등 다양한 구성이 가능하다.
Here, the connection module 930 may have various configurations such as simply transferring a substrate, performing substrate processing such as deposition, etching, or temporarily storing the substrate 10.

이상은 본 발명에 의해 구현될 수 있는 바람직한 실시예의 일부에 관하여 설명한 것에 불과하므로, 주지된 바와 같이 본 발명의 범위는 위의 실시예에 한정되어 해석되어서는 안 될 것이며, 위에서 설명된 본 발명의 기술적 사상과 그 근본을 함께 하는 기술적 사상은 모두 본 발명의 범위에 포함된다고 할 것이다.It will be apparent to those skilled in the art that various modifications and variations can be made in the present invention without departing from the spirit or scope of the invention as defined in the appended claims. It is to be understood that both the technical idea and the technical spirit of the invention are included in the scope of the present invention.

100 : 공정모듈 200 : 반송모듈
300 : 반송로봇 400 : 처리공간확장부
100: Process module 200: Return module
300: conveying robot 400: processing space expanding part

Claims (18)

기판처리의 수행을 위한 처리공간이 형성된 복수의 공정모듈들과; 상기 복수의 공정모듈들이 결합되며 기판을 상기 공정모듈로 도입하거나 상기 공정모듈로부터 반출하는 반송로봇을 포함하는 하나 이상의 반송모듈을 포함하며,
상기 반송모듈의 반송챔버는 상기 공정모듈들 중 적어도 일부에 대응되며, 상기 공정모듈의 처리공간과 연통되어 상기 처리공간을 확장하는 처리공간확장부가 형성되며,
상기 처리공간확장부는 하나 이상의 격벽부재에 의하여 상기 반송챔버의 내부공간을 구획 형성되고, 상기 반송챔버에 형성된 개방부를 통하여 상기 처리공간과 연통되며,
상기 반송챔버는 상기 공정모듈과의 기판전달을 위한 게이트가 상기 개방부보다 상측에 형성된 것을 특징으로 하는 기판처리시스템.
A plurality of process modules in which a processing space for performing substrate processing is formed; And at least one transport module including a plurality of process modules coupled to each other and a transport robot for introducing the substrate into or out of the process module,
Wherein the transfer chamber of the transfer module corresponds to at least a part of the process modules, and a process space expanding part that communicates with the process space of the process module to expand the process space is formed,
Wherein the processing space expanding part is formed by partitioning an internal space of the transfer chamber by at least one partition wall member, communicates with the processing space through an opening formed in the transfer chamber,
Wherein the transfer chamber is formed with a gate for transferring a substrate with the process module on an upper side than the opening.
삭제delete 삭제delete 청구항 1에 있어서,
상기 공정모듈 및 상기 개방부 사이에는 그 개폐를 위한 게이트밸브가 추가로 설치된 것을 특징으로 하는 기판처리시스템.
The method according to claim 1,
And a gate valve for opening and closing is additionally provided between the process module and the opening.
청구항 1 에 있어서,
상기 반송챔버는 상측에서 본 수평형상이 원 및 다각형 중 어느 하나의 형상을 가지는 것을 특징으로 하는 기판처리시스템.
The method according to claim 1,
Wherein the transfer chamber has a shape of a horizontal or a polygonal shape as viewed from above.
청구항 1, 청구항 4 및 청구항 5 중 어느 하나의 항에 있어서,
상기 처리공간확장부는 상기 반송챔버의 둘레방향을 따라서 복수개로 형성된 것을 특징으로 하는 기판처리시스템.
The method according to any one of claims 1, 4, and 5,
Wherein the processing space expanding portions are formed in a plurality of along the circumferential direction of the transfer chamber.
청구항 6에 있어서,
상기 복수의 처리공간확장부들은 적어도 일부가 서로 연통되는 것을 특징으로 하는 기판처리시스템.
The method of claim 6,
Wherein at least a part of the plurality of processing space expansions communicate with each other.
청구항 6에 있어서,
상기 처리공간확장부는 이웃하는 처리공간확장부와 적어도 일부가 상하로 중첩되도록 설치된 것을 특징으로 하는 기판처리시스템.
The method of claim 6,
Wherein the processing space expanding portion is installed so that at least a part of the processing space expanding portion is overlapped with the adjacent processing space expanding portion.
청구항 6에 있어서,
상기 복수의 처리공간확장부들은 서로 간섭되는 것을 방지하도록 상기 반송챔버의 수평둘레방향을 따라서 번갈아가면서 상하 2층으로 배치된 것을 특징으로 하는 기판처리시스템.
The method of claim 6,
Wherein the plurality of processing space expanding portions are alternately arranged in the upper and lower two layers along the horizontal circumferential direction of the transfer chamber to prevent interference with each other.
청구항 6에 있어서,
상기 공정모듈은
기판을 지지하는 기판지지부를 상기 반송챔버와의 결합방향으로의 이동을 가이드하는 하나 이상의 가이드부재가 설치되며,
상기 기판지지부는 처리공간에 설치된 가스분사부에 대하여 상기 공정모듈이 반송챔버와 결합되는 결합방향으로 이동되는 것을 특징으로 하는 기판처리시스템.
The method of claim 6,
The process module
Wherein at least one guide member for guiding the movement of the substrate supporting portion for supporting the substrate in the direction of engagement with the transport chamber is provided,
Wherein the substrate support portion is moved in a direction of engagement with the gas ejection portion provided in the process space, wherein the process module is coupled with the transfer chamber.
청구항 1, 청구항 4 및 청구항 5 중 어느 하나의 항에 있어서,
상기 공정모듈은
기판을 지지하는 기판지지부를 상기 반송챔버와의 결합방향으로의 이동을 가이드하는 하나 이상의 가이드부재가 설치되며,
상기 기판지지부는 처리공간에 설치된 가스분사부에 대하여 상기 공정모듈이 반송챔버와 결합되는 결합방향으로 이동되는 것을 특징으로 하는 기판처리시스템.
The method according to any one of claims 1, 4, and 5,
The process module
Wherein at least one guide member for guiding the movement of the substrate supporting portion for supporting the substrate in the direction of engagement with the transport chamber is provided,
Wherein the substrate support portion is moved in a direction of engagement with the gas ejection portion provided in the process space, wherein the process module is coupled with the transfer chamber.
청구항 11에 있어서,
상기 처리공간확장부는 상기 공정모듈의 가이드부재에 대응되는 위치에 상기 기판지지부의 이동을 안내하는 가이드 부재가 설치된 것을 특징으로 하는 기판처리시스템.
The method of claim 11,
Wherein the processing space expanding portion is provided with a guide member for guiding movement of the substrate supporting portion at a position corresponding to the guide member of the process module.
청구항 1, 청구항 4 및 청구항 5 중 어느 하나의 항에 있어서,
상기 반송모듈은 복수개로 설치되며,
이웃하는 상기 반송모듈들은 서로 연결모듈에 의하여 연결된 것을 특징으로 하는 기판처리시스템.
The method according to any one of claims 1, 4, and 5,
Wherein a plurality of the transport modules are installed,
Wherein the neighboring transport modules are connected to each other by a connection module.
청구항 1, 청구항 4 및 청구항 5 중 어느 하나의 항에 따른 기판처리시스템의 반송모듈.A transport module of a substrate processing system according to any one of claims 1, 4 and 5. 청구항 14에 있어서,
상기 처리공간확장부는 결합되는 공정 모듈 내 기판지지부의 이동을 가이드하는 가이드부재에 대응되는 위치에 상기 기판지지부의 이동을 안내하는 가이드 부재가 설치된 것을 특징으로 하는 반송모듈.
15. The method of claim 14,
Wherein the processing space expanding portion is provided with a guide member for guiding movement of the substrate supporting portion at a position corresponding to a guide member for guiding movement of the substrate supporting portion in the process module to be coupled.
청구항 14에 있어서,
상기 복수의 처리공간확장부들은 적어도 일부가 서로 연통되는 것을 특징으로 하는 반송모듈.
15. The method of claim 14,
Wherein at least a part of the plurality of processing space expansions communicate with each other.
청구항 14에 있어서,
상기 처리공간확장부는 상기 반송챔버의 둘레방향을 따라서 복수개로 형성되며, 상기 처리공간확장부는 이웃하는 처리공간확장부와 적어도 일부가 상하로 중첩되는 것을 특징으로 하는 반송모듈.
15. The method of claim 14,
Wherein the processing space expanding portion is formed in a plurality of directions along the circumferential direction of the transfer chamber, and the processing space expanding portion overlaps at least a part with the adjacent processing space expanding portion.
청구항 14에 있어서,
상기 복수의 처리공간확장부들은 서로 간섭되는 것을 방지하도록 상기 반송챔버의 수평둘레방향을 따라서 번갈아가면서 상하 2층으로 배치된 것을 특징으로 하는 반송모듈.
15. The method of claim 14,
Wherein the plurality of process space expanding portions are arranged in two layers in the vertical direction alternately along the horizontal circumferential direction of the transport chamber to prevent interference with each other.
KR1020110124163A 2011-11-25 2011-11-25 Substrate processing system, and Transfer module therefor KR101713629B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020110124163A KR101713629B1 (en) 2011-11-25 2011-11-25 Substrate processing system, and Transfer module therefor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110124163A KR101713629B1 (en) 2011-11-25 2011-11-25 Substrate processing system, and Transfer module therefor

Publications (2)

Publication Number Publication Date
KR20130058251A KR20130058251A (en) 2013-06-04
KR101713629B1 true KR101713629B1 (en) 2017-03-09

Family

ID=48857560

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110124163A KR101713629B1 (en) 2011-11-25 2011-11-25 Substrate processing system, and Transfer module therefor

Country Status (1)

Country Link
KR (1) KR101713629B1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107065805B (en) * 2017-05-19 2020-12-11 北京闼闼同创工贸有限公司 Processing module and processing system for automatic production line

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000100922A (en) * 1998-09-21 2000-04-07 Nissin Electric Co Ltd Vacuum treatment device
JP2000323425A (en) * 1999-05-14 2000-11-24 Tokyo Electron Ltd Processor
JP2002501303A (en) * 1998-01-12 2002-01-15 トーキョー エレクトロン アリゾナ インコーポレイテッド Two wafer load lock wafer processing apparatus and method for loading and discharging the same
JP2011091160A (en) * 2009-10-21 2011-05-06 Ulvac Japan Ltd Substrate conveying device and substrate processing device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002501303A (en) * 1998-01-12 2002-01-15 トーキョー エレクトロン アリゾナ インコーポレイテッド Two wafer load lock wafer processing apparatus and method for loading and discharging the same
JP2000100922A (en) * 1998-09-21 2000-04-07 Nissin Electric Co Ltd Vacuum treatment device
JP2000323425A (en) * 1999-05-14 2000-11-24 Tokyo Electron Ltd Processor
JP2011091160A (en) * 2009-10-21 2011-05-06 Ulvac Japan Ltd Substrate conveying device and substrate processing device

Also Published As

Publication number Publication date
KR20130058251A (en) 2013-06-04

Similar Documents

Publication Publication Date Title
US9147591B2 (en) Substrate processing apparatus
KR101744372B1 (en) Vacuum processing apparatus
KR102163605B1 (en) Substrate processing apparatus
KR20130041308A (en) Substrate processing system, transfer module, substrate processing method, and method for manufacturing semiconductor element
JP6460015B2 (en) Container transfer equipment
KR101632518B1 (en) Work transfer system
KR20200074934A (en) Vacuum transfer module and substrate processing apparatus
CN105590881A (en) Processing System Containing An Isolation Region separating a Deposition chamber from a treatment chamber
KR101765234B1 (en) Tray exchanging module, substrate processing apparatus and method
KR101713629B1 (en) Substrate processing system, and Transfer module therefor
CN103367207B (en) For processing the device of substrate
CN108122809A (en) Base plate processing system
KR20170105426A (en) Substrate processing apparatus
KR101991889B1 (en) Substrate horizontal rotation module, and substrate transfer method
KR101713630B1 (en) Substrate processing system, and Transfer module therefor
TW201219585A (en) Deposition apparatus and deposition method
JP2011091160A (en) Substrate conveying device and substrate processing device
KR20130080034A (en) Film-forming apparatus
KR101411620B1 (en) Load lock chamber structure for device manufacturing FPD
US20120210936A1 (en) Systems and methods for mutli-chamber photovoltaic module processing
KR20090056878A (en) Load port
KR20130058253A (en) Substrate processing system
CN105632975A (en) Process chamber, substrate manufacturing apparatus having the same, and substrate manufacturing method
KR101095509B1 (en) Chemical vapor deposition apparatus for manufacturing thin-film solar cells
KR101022314B1 (en) Chemical vapor deposition apparatus for manufacturing thin-film solar cells

Legal Events

Date Code Title Description
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191210

Year of fee payment: 4