KR101705275B1 - 기판의 성질을 측정하기 위한 방법 및 장치 - Google Patents

기판의 성질을 측정하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR101705275B1
KR101705275B1 KR1020147014929A KR20147014929A KR101705275B1 KR 101705275 B1 KR101705275 B1 KR 101705275B1 KR 1020147014929 A KR1020147014929 A KR 1020147014929A KR 20147014929 A KR20147014929 A KR 20147014929A KR 101705275 B1 KR101705275 B1 KR 101705275B1
Authority
KR
South Korea
Prior art keywords
substrate
sampling plan
properties
sampling
processor
Prior art date
Application number
KR1020147014929A
Other languages
English (en)
Other versions
KR20140083055A (ko
Inventor
우터 엘링스
빌슨 프란시스쿠스 반
몰 크리스티아누스 드
에버하르두스 모스
호이트 톨스마
벌지 피터 텐
위즈넨 폴 반
레오나르두스 베르스태픈
제럴드 딕커
라이너 융블러트
청-순 리
Original Assignee
에이에스엠엘 네델란즈 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠엘 네델란즈 비.브이. filed Critical 에이에스엠엘 네델란즈 비.브이.
Publication of KR20140083055A publication Critical patent/KR20140083055A/ko
Application granted granted Critical
Publication of KR101705275B1 publication Critical patent/KR101705275B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/9501Semiconductor wafers
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/002Measuring arrangements characterised by the use of optical techniques for measuring two or more coordinates
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/14Measuring arrangements characterised by the use of optical techniques for measuring distance or clearance between spaced objects or spaced apertures
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/26Measuring arrangements characterised by the use of optical techniques for measuring angles or tapers; for testing the alignment of axes
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/17Systems in which incident light is modified in accordance with the properties of the material investigated
    • G01N21/47Scattering, i.e. diffuse reflection
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N21/95607Inspecting patterns on the surface of objects using a comparative method
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes
    • G03F7/70508Data handling in all parts of the microlithographic apparatus, e.g. handling pattern data for addressable masks or data transfer to or from different components within the exposure apparatus
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70625Dimensions, e.g. line width, critical dimension [CD], profile, sidewall angle or edge roughness
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B19/00Programme-control systems
    • G05B19/02Programme-control systems electric
    • G05B19/418Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM]
    • G05B19/41875Total factory control, i.e. centrally controlling a plurality of machines, e.g. direct or distributed numerical control [DNC], flexible manufacturing systems [FMS], integrated manufacturing systems [IMS], computer integrated manufacturing [CIM] characterised by quality surveillance of production
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01NINVESTIGATING OR ANALYSING MATERIALS BY DETERMINING THEIR CHEMICAL OR PHYSICAL PROPERTIES
    • G01N21/00Investigating or analysing materials by the use of optical means, i.e. using sub-millimetre waves, infrared, visible or ultraviolet light
    • G01N21/84Systems specially adapted for particular applications
    • G01N21/88Investigating the presence of flaws or contamination
    • G01N21/95Investigating the presence of flaws or contamination characterised by the material or shape of the object to be examined
    • G01N21/956Inspecting patterns on the surface of objects
    • G01N2021/95638Inspecting patterns on the surface of objects for PCB's
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05BCONTROL OR REGULATING SYSTEMS IN GENERAL; FUNCTIONAL ELEMENTS OF SUCH SYSTEMS; MONITORING OR TESTING ARRANGEMENTS FOR SUCH SYSTEMS OR ELEMENTS
    • G05B2219/00Program-control systems
    • G05B2219/30Nc systems
    • G05B2219/37Measurements
    • G05B2219/37224Inspect wafer
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P90/00Enabling technologies with a potential contribution to greenhouse gas [GHG] emissions mitigation
    • Y02P90/02Total factory control, e.g. smart factories, flexible manufacturing systems [FMS] or integrated manufacturing systems [IMS]

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Analytical Chemistry (AREA)
  • General Health & Medical Sciences (AREA)
  • Pathology (AREA)
  • Immunology (AREA)
  • Biochemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Quality & Reliability (AREA)
  • Automation & Control Theory (AREA)
  • Power Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)
  • Crystallography & Structural Chemistry (AREA)

Abstract

웨이퍼 기판의 성질, 예컨대 크리티컬 디멘전 또는 오버레이의 측정에서, 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜이 생성되는데(2506), 여기에서 샘플링 플랜은 복수의 서브-샘플링 플랜을 포함한다. 샘플링 플랜은 측정 포인트의 선결정된 고정된 개수로 한정될 수도 있고 검사 장치가 개별적인 기판에 대한 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 제어하기 위하여 사용되며(2508), 선택적으로, 결과들은 적층되어(stacked; 2510) 그 샘플 플랜에 따른 측정 결과를 적어도 부분적으로 재구성한다.

Description

기판의 성질을 측정하기 위한 방법 및 장치{METHODS AND APPARATUS FOR MEASURING A PROPERTY OF A SUBSTRATE}
관련 출원들에의 상호-참조
본 출원은 2011 년 12 월 23 일자로 출원된 미국 가특허 출원 번호 제 61/579,969 호의 우선권을 주장하며, 이것은 그 전체 내용이 참조에 의해 본 명세서에 원용된다.
분야
본 발명은 기판의 성질, 예컨대 크리티컬 디멘전 또는 오버레이를 예를 들어 리소그래피 또는 다른 처리 장치의 프로세스의 모니터링에서 사용가능한 샘플링 플랜을 사용하여 측정하는 방법에 관련된다.
리소그래피 장치는 기판 상에 통상적으로는 기판의 타겟 영역 상에 원하는 패턴을 부여하는 장치이다. 리소그래피 장치는 예컨대 집적회로(IC)의 제조시에 사용될 수 있다. 그 경우, 마스크 또는 레티클(reticle)로도 지칭되는 패터닝 장치가 집적회로의 개개의 층 상에 형성될 회로 패턴을 생성하기 위해 사용될 수 있다. 이 패턴은 기판(예컨대, 실리콘 웨이퍼) 상의 타겟 영역(예컨대, 하나의 다이(die)의 일부분, 하나의 다이, 또는 여러 개의 다이를 포함) 상으로 전사(transfer)될 수 있다. 패턴의 전사는 통상적으로 기판 상에 제공된 방사선-감응재(레지스트)층 위에의 이미징(imaging)을 통해 수행된다. 일반적으로, 단일 기판은 연속적으로 패터닝되는 인접한 타겟 영역들의 네트워크를 포함할 것이다. 공지된 리소그래피 장치는, 한 번에 타겟부 상으로 전체 패턴을 노광함으로써 각 타겟부가 조사(irradiate)되는 이른바 스텝퍼, 및 주어진 방향("스캐닝" 방향)으로 방사 빔을 통해 패턴을 스캔하는 동시에 이러한 방향에 평행 또는 반-평행하게 기판을 스캔함으로써 각 타겟부가 조사되는 이른바 스캐너를 포함한다. 또한, 기판 상에 패턴을 임프린트(imprint)함으로써 패터닝 장치로부터 기판으로 패턴을 전사할 수도 있다.
리소그래피 공정을 모니터하기 위해서는 패터닝된 기판의 파라미터를 측정한다. 이러한 파라미터에는 예컨대 패터닝된 기판 내에 형성되거나 또는 패터닝된 기판 상에 형성된 연속층 간의 오버레이 오차 및 현상된 감광성 레지스트의 임계 선폭(critical linewidth)이 포함될 수 있다. 이러한 측정은 제품 기판 및/또는 전용의 계측 타겟 상에서 수행될 수 있다. 리소그래피 공정으로 형성된 미세 구조물의 측정을 행하기 위한 다양한 기술이 있으며, 이들 기술에는 주사 전자 현미경(scanning electron microscope) 및 다양한 특수 기기를 사용하는 것이 포함된다. 신속하고 비침투식 형태의 특수 검사 기기인 산란계(scatterometer)는 방사선의 빔을 기판의 표면 상의 타겟으로 향하게 하여 산란 또는 반사된 빔의 성질을 측정한다. 빔이 기판에 의해 반사되거나 산란되기 전과 후의 빔의 성질을 비교함으로써, 기판의 성질을 결정할 수 있다. 이것은 예컨대 반사 빔을 공지의 기판 성질과 연계된 공지의 측정치의 라이브러리에 저장된 데이터와 비교함으로써 행해질 수 있다. 2가지 주요 유형의 산란계가 알려져 있다. 분광 산란계(spectroscopic scatterometer)는 광대역 방사선 빔을 기판 상으로 지향시키고 특정한 좁은 각도 범위로 산란된 방사선의 스펙트럼(예컨대, 파장을 함수로 하는 세기)을 측정한다. 각도 분해 산란계(angularly resolved scatterometer)는 단색성 방사선 빔(monochromatic radiation beam)을 이용하고, 산란된 방사선의 세기를 각도를 함수로 하여 측정한다.
더 엄격한 리소그래피 요구 사항을 지원하기 위하여, 리소그래피 장치의 성능의 정확한 정정이 요구된다. 더 정확한 정정 기능성을 적용하기 위하여, 정정 세트를 결정하기 위하여 기판 상의 제품의 더 많은 데이터 / 더 조밀한 샘플링이 요구된다. 계측법의 비용 대 정정 세트의 정확도 사이의 트레이드-오프를 사용하여, 온전하게 측정된 제품으로써 캡쳐되는 것과 동일한 레벨의 정보에 도달하는 것을 획득하려는 의도를 가지고, 모든 제품의 서브세트가 측정되는 것이 일반적인 실무이다. 이것은 감소된 샘플링(reduced sampling)이라고 불린다. 감소된 샘플링 방식을 지원하는 많은 수학적 접근법들이 존재하며, 이것들은 통상적으로 기하학적 제약(웨이퍼 위치 당 측정 사이트(sites))에 기초한다.
가능한 최저 계측 시간/비용에서 최선의 정확도를 획득하기 위한 감소된 샘플링 플랜의 효율성은 현재 공지된 응용 수학적 접근법에 의하여 결정된다. 응용 수학 접근법은 감소된 샘플링 플랜의 효율성의 제한사항을 결정한다. 이는 아래에서 도 8 을 참조하여 논의된다.
현재의 계측 샘플링 플랜은 많은 노광된 웨이퍼 기판 내에서 정적이며, 측정된 모든 웨이퍼들은 동일한 샘플링 플랜으로써 샘플링된다. 드물게는, 샘플링 플랜은 노광 및 처리 장비의 변화된 상태에 대해 조절하기 위하여 로트들 사이에서 변경된다. 보통, 적은 수의 웨이퍼 만이 각각의 로트 내에서 측정되어 계측 시간 및 비용을 절약한다.
노광 당 정정(Corrections per Exposure; CPE)에 대하여, 가끔 웨이퍼들은 흔히 아주 드물게(예를 들어 수 주에 한 번씩) 아주 조밀한 샘플링 플랜으로써 측정된다.
문제점들은:
1) 각각의 로트 중 적은 수의 웨이퍼만을 샘플링하면 그 로트에 대해 대표하는 결과를 산출하지 않을 수도 있다. 측정되는 정규 개체군 외부의 웨이퍼들은 APC(진보된 처리 제어) 피드백 루프에 교란을 야기할 것이다.
2) 정규 개체군 외부의 웨이퍼는 측정되지 않을 경우 검출되는 것을 피할 수도 있다.
3) CPE는 엄청난 계측 비용 때문에 아주 빈번하게 수행될 수 없다.
샘플링 플랜의 효율성을 증가시키는 것이 바람직하다.
일 양태에 따르면, 복수의 기판의 성질을 측정하기 위하여 구성된 검사 장치가 제공되는데, 이 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 적어도 하나의 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 생산하되, 상기 샘플링 플랜은 복수의 서브-샘플링 플랜을 포함하도록; 그리고
- 개별적인 기판에 대한 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하도록 구성되는 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템 및 제 1 항 제 14 항 중 어느 한 항에 따르는 검사 장치를 포함하는 리소그래피 장치가 제공되는데, 상기 리소그래피는 적어도 하나의 프로세서로서:
- 복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하기 이전에, 상기 복수 개의 기판을 노광시키도록 상기 노광 시스템을 제어하도록; 그리고
- 복수의 측정에 기초하는 조건으로써 후속하는 적어도 하나의 기판을 처리하도록 상기 노광 시스템을 제어하도록 구성되는 프로세서를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하는 방법이 제공되는데, 이 방법은:
- 기판의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계;
- 두 개 이상의 좌표에서 개별적으로 상기 샘플링 플랜을 업데이트하는 단계; 및
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하는 단계를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하는 방법이 제공되는데, 이 방법은:
- 기판의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계;
- 프로세스 셋업 정보를 기록하고 처리 장치를 사용하여 상기 프로세스 셋업 정보에 따라 기판을 처리하는 단계;
- 상기 프로세스 셋업 정보에 기초하여 상기 샘플링 플랜을 업데이트하는 단계; 및
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하는 단계를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하는 방법이 제공되는데, 이 방법은:
- 기판의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계;
- 처리 장치를 사용하여 상기 기판의 처리에 관련된 처리 데이터를 측정하는 단계;
- 상기 처리 데이터의 변이를 결정하는 단계;
- 상기 처리 데이터의 변이에 기초하여 상기 샘플링 플랜을 업데이트하는 단계; 및
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하는 단계를 포함하고,
여기에서 샘플링 플랜을 업데이트하는 단계는 기판에 걸쳐 샘플링을 변경하는 단계를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하는 방법이 제공되는데, 이 방법은:
- 기판의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계;
- 처리 장치를 사용하여 상기 기판의 처리에 관련된 처리 데이터를 측정하는 단계;
- 기판의 성질을 측정하는 단계;
- 측정된 처리 데이터와 측정된 성질의 상관을 결정하는 단계;
- 상기 처리 데이터와 측정된 성질의 상관에 기초하여 상기 샘플링 플랜을 업데이트하는 단계; 및
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하는 단계를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하는 방법이 제공되는데, 이 방법은:
- 기판의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계;
- 기판의 각지게 분해된 스펙트럼(angularly resolved spectrum)을 측정하는 단계;
- 상기 각지게 분해된 스펙트럼의 변이를 결정하는 단계;
- 상기 각지게 분해된 스펙트럼의 변이에 기초하여 상기 샘플링 플랜을 업데이트하는 단계; 및
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하는 단계를 포함한다.
일 양태에 따르면, 복수의 기판의 성질을 측정하는 방법이 제공되는데, 이 방법은:
- 기판의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계;
- 상기 샘플링 플랜을 복수의 서브-샘플링 플랜으로 분해(decomposing)하는 단계;
- 개별적인 기판에 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하는 단계; 및
- 복수의 측정의 결과들을 적층하여 상기 샘플링 플랜에 따른 측정 결과를 적어도 부분적으로 재구성하는 단계를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하는 방법이 제공되는데, 이 방법은:
- 기판의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계;
- 기판의 성질을 측정하는 단계;
- 측정된 성질의 포지션-의존적 분산을 결정하는 단계;
- 상기 측정된 성질의 포지션-의존적 분산에 기초하여 상기 샘플링 플랜을 업데이트하는 단계; 및
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하는 단계를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하기 위하여 구성된 검사 장치가 제공되는데, 이 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 두 개 이상의 좌표에서 개별적으로 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하기 위하여 구성된 검사 장치가 제공되는데, 이 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 프로세스 셋업 정보를 수신하도록;
- 상기 프로세스 셋업 정보에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하기 위하여 구성된 검사 장치가 제공되는데, 이 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 처리 데이터를 수신하도록;
- 상기 처리 데이터의 변이를 결정하도록;
- 상기 처리 데이터의 변이에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
여기에서 프로세서는 기판에 걸쳐 샘플링을 변경함으로써 샘플링 플랜을 업데이트하도록 구성된다.
일 양태에 따르면, 기판의 성질을 측정하기 위하여 구성된 검사 장치가 제공되는데, 이 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 처리 데이터를 수신하도록;
- 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록;
- 측정된 처리 데이터와 측정된 성질의 상관을 결정하도록;
- 상기 처리 데이터와 측정된 성질의 상관에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 구성되는 프로세서를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하기 위하여 구성된 검사 장치가 제공되는데, 이 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 기판의 각지게 분해된 스펙트럼을 측정하도록 상기 검사 장치를 제어하도록;
- 상기 각지게 분해된 스펙트럼의 변이를 결정하도록;
- 상기 각지게 분해된 스펙트럼의 변이에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하기 위하여 구성된 검사 장치가 제공되는데, 이 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 상기 샘플링 플랜을 복수의 서브-샘플링 플랜으로 분해하도록;
- 개별적인 기판에 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하도록; 그리고
- 복수의 측정의 결과들을 적층하여 상기 샘플링 플랜에 따른 측정 결과를 적어도 부분적으로 재구성하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하기 위하여 구성된 검사 장치가 제공되는데, 이 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록;
- 측정된 성질의 포지션-의존적 분산을 결정하도록;
- 상기 측정된 성질의 포지션-의존적 분산에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 구성되는 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템 및 검사 장치를 포함하는 리소그래피 장치가 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 두 개 이상의 좌표에서 개별적으로 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템을 포함하는 리소그래피 장치; 및 검사 장치를 포함하는 리소그래피 셀이 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 두 개 이상의 좌표에서 개별적으로 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템 및 검사 장치를 포함하는 리소그래피 장치가 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 프로세스 셋업 정보를 수신하도록;
- 상기 프로세스 셋업 정보에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템을 포함하는 리소그래피 장치; 및 검사 장치를 포함하는 리소그래피 셀이 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 프로세스 셋업 정보를 수신하도록;
- 상기 프로세스 셋업 정보에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템 및 검사 장치를 포함하는 리소그래피 장치가 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 처리 데이터를 수신하도록;
- 상기 처리 데이터의 변이를 결정하도록;
- 상기 처리 데이터의 변이에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
여기에서 프로세서는 기판에 걸쳐 샘플링을 변경함으로써 샘플링 플랜을 업데이트하도록 구성된다.
일 양태에 따르면, 노광 시스템을 포함하는 리소그래피 장치; 및 검사 장치를 포함하는 리소그래피 셀이 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 처리 데이터를 수신하도록;
- 상기 처리 데이터의 변이를 결정하도록;
- 상기 처리 데이터의 변이에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
여기에서 프로세서는 기판에 걸쳐 샘플링을 변경함으로써 샘플링 플랜을 업데이트하도록 구성된다.
일 양태에 따르면, 노광 시스템 및 검사 장치를 포함하는 리소그래피 장치가 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 처리 데이터를 수신하도록;
- 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록;
- 측정된 처리 데이터와 측정된 성질의 상관을 결정하도록;
- 상기 처리 데이터와 측정된 성질의 상관에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 구성되는 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템을 포함하는 리소그래피 장치; 및 검사 장치를 포함하는 리소그래피 셀이 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 처리 장치를 사용한 상기 기판의 처리에 관련된 기록된 처리 데이터를 수신하도록;
- 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록;
- 측정된 처리 데이터와 측정된 성질의 상관을 결정하도록;
- 상기 처리 데이터와 측정된 성질의 상관에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 구성되는 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템 및 검사 장치를 포함하는 리소그래피 장치가 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 기판의 각지게 분해된 스펙트럼을 측정하도록 상기 검사 장치를 제어하도록;
- 상기 각지게 분해된 스펙트럼의 변이를 결정하도록;
- 상기 각지게 분해된 스펙트럼의 변이에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템을 포함하는 리소그래피 장치; 및 검사 장치를 포함하는 리소그래피 셀이 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 기판의 각지게 분해된 스펙트럼을 측정하도록 상기 검사 장치를 제어하도록;
- 상기 각지게 분해된 스펙트럼의 변이를 결정하도록;
- 상기 각지게 분해된 스펙트럼의 변이에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템 및 검사 장치를 포함하는 리소그래피 장치가 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 상기 샘플링 플랜을 복수의 서브-샘플링 플랜으로 분해하도록;
- 개별적인 기판에 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하도록; 그리고
- 복수의 측정의 결과들을 적층하여 상기 샘플링 플랜에 따른 측정 결과를 적어도 부분적으로 재구성하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템을 포함하는 리소그래피 장치; 및 검사 장치를 포함하는 리소그래피 셀이 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 상기 샘플링 플랜을 복수의 서브-샘플링 플랜으로 분해하도록;
- 개별적인 기판에 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하도록; 그리고
- 복수의 측정의 결과들을 적층하여 상기 샘플링 플랜에 따른 측정 결과를 적어도 부분적으로 재구성하도록 구성되는, 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템 및 검사 장치를 포함하는 리소그래피 장치가 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록;
- 측정된 성질의 포지션-의존적 분산을 결정하도록;
- 상기 측정된 성질의 포지션-의존적 분산에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 구성되는 프로세서를 포함한다.
일 양태에 따르면, 노광 시스템을 포함하는 리소그래피 장치; 및 검사 장치를 포함하는 리소그래피 셀이 제공되는데, 검사 장치는 기판의 성질을 측정하도록 구성되고, 상기 검사 장치는,
- 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
- 상기 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는 검출 시스템; 및
- 프로세서로서:
- 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 수신하도록;
- 기판의 성질을 측정하도록 상기 검사 장치를 제어하도록;
- 측정된 성질의 포지션-의존적 분산을 결정하도록;
- 상기 측정된 성질의 포지션-의존적 분산에 기초하여 상기 샘플링 플랜을 업데이트하도록; 그리고
- 업데이트된 샘플링 플랜을 사용하여 기판의 성질을 측정하도록 구성되는 프로세서를 포함한다.
일 양태에 따르면, 기판의 성질을 측정하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램 제품이 제공되는데, 상기 명령은 하나 이상의 프로세서가 양태들 중 임의의 것에 따르는 방법 또는 단계를 수행하게 야기하도록 적응된다.
실시예들은 오직 예시를 통하여, 대응하는 참조 심벌들이 대응하는 부분을 표시하는 첨부된 개략도를 참조하여 이제 설명될 것이다.
도 1 은 리소그래피 장치를 묘사한다;
도 2 는 도 1 의 장치를 포함하는 리소그래피 셀 또는 클러스터를 묘사한다;
도 3 은 제 1 산란계를 묘사한다;
도 4 는 제 2 산란계를 묘사한다;
도 5 는 스캐너 안정성 모듈을 이용하는 리소그래피 프로세스에서의 제어 메커니즘의 개략도이다.
도 6 은 기판 상의 타겟부(필드)를 노광시키는, 도 1 의 장치의 동작을 개략적으로 예시한다;
도 7 은 도 1 의 리소그래피 장치 내의 레벨 센서 장치를 도시한다;
도 8 은 감소된 샘플링의 효율성을 예시하는 그래프이다;
도 9 는 샘플링 플랜이 두 개 이상의 좌표에서 개별적으로 업데이트되는 일 실시예를 예시하는 흐름도이다;
도 10 은 샘플링 플랜이 프로세스 셋업 정보에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다;
도 11 은 샘플링 플랜이 측정된 스캐너 데이터의 변이에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다;
도 12 는 샘플링 플랜이 측정된 스캐너 데이터와 해당 샘플링 플랜에 따라서 측정되는 중인 성질의 상관에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다;
도 13 은 샘플링 플랜이 계측 산란계의 각지게 분해된 스펙트럼의 변이에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다;
도 14 는 샘플링 플랜이 복수의 서브-샘플링 플랜으로 분해되는 일 실시예를 예시하는 흐름도이다;
도 15a 는 하나의 웨이퍼의 완전한 샘플링이 있는 3 개의 웨이퍼에 대한 샘플링 플랜을 도시한다;
도 15b 및 도 15c 는 3 개의 웨이퍼의 서브-샘플링 플랜에 걸쳐 분해되는 샘플링 플랜을 도시한다;
도 16a 및 도 16b 는 도 15b 및 도 15c 에 도시된 것보다 양호한 방식으로 3 개의 웨이퍼의 서브-샘플링 플랜에 걸쳐 분해되는 샘플링 플랜을 도시한다;
도 16c 는 필드들에 대한 노광 순서 및 서브-샘플링 플랜을 도시한다;
도 17 은 몇몇 필드가 샘플링되지 않는, 6 개의 웨이퍼의 서브-샘플링 플랜에 걸쳐 분해되는 샘플링 플랜을 도시한다;
도 18 은 웨이퍼에 걸친 정정가능 오류 대 포지션의 그래프이다;
도 19 는 웨이퍼에 걸친 정정가능 오류 대 포지션의 그래프로서, 계측 노이즈가 추가된다;
도 20 은 샘플링 플랜이 측정되는 중인 성질의 포지션-의존적 변이에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다;
도 21 은 웨이퍼에 걸친, 네 타입의 시뮬레이션된 노이즈 대 포지션의 그래프이다;
도 22 는 10 nm 웨이퍼 에지 분산을 가진 노이즈 유형에 대한 계산된 가중된 모델 불확정성의 그래프이다;
도 23 은 12 nm 웨이퍼 에지 분산을 가진 노이즈 유형에 대한 계산된 가중된 모델 불확정성의 그래프이다;
도 24 는 10 nm 웨이퍼 에지 분산을 가진 노이즈 유형에 대한 계산된 가중된 모델 불확정성의 그래프이다;
도 25 는 개별적인 웨이퍼 상에서 사용되는 서브-샘플링 플랜을 가진 샘플링 플랜을 생성하는 일 실시예를 예시하는 흐름도이다;
도 26 은 서브-샘플링 플랜이 처리 데이터의 변이에 기초하여 생성되는 중인 샘플링 플랜을 가진 일 실시예를 예시하는 흐름도이다;
도 27 은 서브-샘플링 플랜이 처리 데이터의 측정되는 중인 성질과의 상관에 기초하여 생성되는 중인 샘플링 플랜을 가진 일 실시예를 예시하는 흐름도이다;
도 28 은 서브-샘플링 플랜이 웨이퍼에 걸쳐 두 개 이상의 좌표에서 상이하도록 구성되는 샘플링 플랜을 가진 일 실시예를 예시하는 흐름도이다; 그리고
도 29 는 서브-샘플링 플랜이 웨이퍼에 걸쳐 두 개 이상의 좌표에서 개별적으로 업데이트되는 샘플링 플랜을 가진 일 실시예를 예시하는 흐름도이다.
도 1 은 리소그래피 장치를 개략적으로 묘사한다. 이 장치는:
- 방사선 빔(B)(예를 들어 UV 방사선 또는 극 UV(EUV) 방사선)을 조절하도록 구성되는 조명 시스템(조명기)(IL);
- 패터닝 디바이스(예를 들어, 마스크; MA)를 지지하도록 구성되고 특정 파라미터에 따라서 패터닝 디바이스를 정확하게 포지셔닝하도록 구성되는 제 1 포지셔너(positioner; PM)에 연결되는 지지 구조(예를 들어 마스크 테이블; MT);
- 기판(예를 들어 레지스트-코팅된 웨이퍼)(W)을 홀딩하도록 구성되고 특정 파라미터에 따라서 기판을 정확하게 포지셔닝하도록 구성되는 제 2 포지셔너(PW)에 연결되는 기판 테이블(예를 들어 웨이퍼 테이블)(WT); 및
- 방사 빔(B)에 부여된 패턴을 패터닝 디바이스(MA)에 의하여 기판(W)의 타겟부(C)(예를 들어 하나 이상의 다이를 포함함)로 투영하도록 구성되는 투영 시스템(예를 들어 굴절 투영 렌즈 시스템)(PS)을 포함한다.
조명 시스템은 방사선을 디렉팅하고, 형(shaping)하고, 또는 제어하기 위한 다양한 유형의 광 컴포넌트, 예컨대 굴절형, 반사형, 자기적, 전자기, 정전기 또는 다른 유형의 광 컴포넌트, 또는 이들의 임의의 조합을 포함할 수도 있다.
지지 구조는 패터닝 디바이스를 유지, 즉 이의 무게를 지탱한다. 이것은 패터닝 디바이스를, 패터닝 디바이스의 지향, 리소그래피 장치의 디자인, 및 예를 들어 패터닝 디바이스가 진공 환경에서 유지되는지 여부와 같은 다른 조건에 의존하는 방식으로 홀딩한다. 지지 구조는 패터닝 장치를 유지하기 위해 기계식, 진공식, 정전식, 또는 기타 클램핑 기술들을 이용할 수 있다. 지지 구조는 예컨대 필요에 따라 고정되거나 이동시킬 수 있는 프레임(frame) 또는 테이블일 수도 있다. 지지 구조는 패터닝 디바이스가 예를 들어 투영 시스템에 대하여 원하는 포지션에 있다는 것을 보장할 수도 있다. 본 명세서에서의 "레티클" 또는 "마스크"라는 용어의 어떠한 사용도 "패터닝 장치"라는 좀 더 일반적인 용어와 동의어로 간주될 수 있다.
본 명세서에서 사용되는 "패터닝 디바이스"라는 용어는, 기판의 타겟부 내에 패턴을 생성하는 것과 같이, 자신의 단면 내에 패턴을 가지는 방사선 빔을 부여하기 위하여 사용될 수 있는 임의의 디바이스를 지칭하는 것으로 폭넓게 해석되어야 한다. 방사선 빔에 부여된 패턴은, 예컨대 그 패턴이 위상 천이 피처(phase shifting feature) 또는 소위 어시스트 피처(assist feature)를 포함하는 경우, 기판의 타겟부에서의 요구된 패턴과 정확히 일치하지 않을 수 있다는 것에 유의하여야 한다. 일반적으로, 방사선 빔에 부여된 패턴은 타겟부, 예컨대 집적 회로 내에 생성되는 중인 디바이스 내의 특정 기능성 층에 대응할 것이다.
패터닝 디바이스는 투과형 또는 반사형일 수도 있다. 패터닝 장치의 예는 마스크, 프로그램가능 미러 어레이, 및 프로그램가능 LCD 패널을 포함한다. 마스크는 리소그래피에서 주지되며, 이진, 교번 위상-천이, 감쇄 위상-천이, 및 다양한 하이브리드 마스크 타입과 같은 마스크 타입을 포함한다. 프로그램가능 미러 어레이의 일 예는 소형 미러들의 매트릭스 정렬을 채용하는데, 이들 각각은 인입하는 방사선 빔을 상이한 방향으로 반사하기 위하여 개별적으로 틸팅될 수 있다. 틸팅된 미러는 미러 매트릭스에 의하여 반사된 방사선 빔 내에 패턴을 부여한다.
본 명세서에서 사용되는 "투영 시스템"이라는 용어는, 이용되고 있는 노광 방사(exposure radiation)에 대해 적합하거나 또는 액침액(immersion liquid)의 사용 또는 진공의 사용과 같은 다른 요인들에 대해 적합한, 굴절식, 반사식, 반사 굴절식(catadioptric), 자기식, 전자기식, 및 정전식 광학 시스템, 또는 이들의 임의의 조합을 포함하는 어떠한 타입의 투영 시스템도 포함하는 것으로 넓게 해석되어야 한다. 본 명세서에서 투영 렌즈"라는 용어의 임의의 사용은 저 일반적인 용어 "투영 시스템"과 동의어인 것으로 간주될 수도 있다.
도시된 것처럼, 장치는 투과형이다(예를 들어, 투과형 마스크를 채용). 대안적으로, 장치는 반사형 타입(예를 들어 위에서 언급된 바와 같은 타입의 프로그램가능 미러 어레이를 채용하거나, 반사 마스크를 채용함)일 수도 있다.
리소그래피 장치는 2개(듀얼 스테이지) 이상의 기판 테이블(및/또는 2개 이상의 마스크 테이블)을 갖는 유형의 것일 수 있다. 그러한 "다중 스테이지" 기계에서, 부가적인 테이블은 평행하게 사용될 수 있으며, 또한 하나 이상의 다른 테이블들이 노광을 위해 사용되고 있는 동안 준비 단계들이 하나 이상의 테이블 상에 수행될 수 있다.
리소그래피 장치는 또한, 투영 시스템과 기판 사이의 공간을 채우기 위해 기판의 적어도 일부분이 상대적으로 높은 굴절률을 가진 액체, 예컨대 물에 의해 덮힐 수 있는 유형일 수 있다. 액침액은 또한 예컨대 마스크 및 투영 시스템 사이와 같은 리소그래피 장치 내의 다른 공간에도 가해질 수 있다. 액침 기법은 투영 시스템의 조리개수(numberical aperture)를 증가시키기 위하여 당업계에 주지된다. 본 명세서에 사용된 바와 같은 "액침"이라는 용어는, 기판과 같은 구조가 액체에 잠겨져야 하는 것을 의미하지 않고, 그보다는 노광 동안에 투영 시스템과 기판 사이에 액체가 위치된다는 것을 의미한다.
도 1 을 참조하면, 조명기(IL)는 방사선 빔을 방사선 소스(SO)로부터 수광한다. 예를 들어, 방사원이 엑시머 레이저인 경우, 소스 및 리소그래피 장치는 별도의 엔티티일 수 있다. 이러한 경우들에서, 소스는 리소그래피 장치의 일부를 형성하는 것으로 간주되지 않고, 방사선 빔은, 예를 들어 적합한 지향 미러 및/또는 빔 확장기를 포함하는 빔 전달 시스템(BD)의 도움으로, 소스(SO)로부터 조명기(IL)로 전달된다. 다른 경우에, 예컨대 방사원이 수은 램프인 경우에, 이러한 소스는 리소그래피 장치에 통합된 부품일 수 있다. 소스(SO) 및 조명기(IL)는 필요할 경우 빔 전달 시스템(BD)과 함께 방사선 시스템이라고 지칭될 수도 있다.
조명기(IL)는 방사선 빔의 각 세기 분포(angular intensity distribution)를 조절하기 위한 조절기(AD)를 포함할 수도 있다. 일반적으로, 조명기(IL)의 퓨필 평면(pupil plane)에서의 세기 분포의 적어도 외측 및/또는 내측 반경 범위(통상적으로, 각각 외측-σ 및 내측-σ라 함)는 조절될 수 있다. 부가적으로, 조명기(IL)는 집속기(integrator)(IN) 및 집광기(condenser)(CO)와 같은 다양한 다른 컴포넌트들을 포함할 수도 있다. 조명기는 방사선 빔이 자신의 단면에서 원하는 균일성 및 세기기 분포를 가지도록 조정하기 위하여 사용될 수도 있다.
방사선 빔(B)은 지지 구조(예를 들어, 마스크 테이블(MT)) 상에 홀딩되는 패터닝 디바이스(예를 들어, 마스크(MA)) 상에 입사하고, 그리고 패터닝 디바이스에 의하여 패터닝된다. 패터닝 디바이스(MA)를 가로지르면, 방사선 빔(B)은 기판(W)의 타겟부(C) 상에 빔을 포커싱하는 투영 시스템(PS)을 통과한다. 제 2 포지셔너(PW) 및 포지션 센서(IF)(예를 들어 간섭측정 측정 디바이스, 선형 인코더, 또는 용량성 센서)의 도움을 받아, 예를 들어 방사선 빔(B)의 경로에 상이한 타겟부들(C)을 포지셔닝하기 위하여, 기판 테이블(WT)이 정확하게 이동될 수 있다. 이와 유사하게, 제 1 포지셔너 및 다른 포지션 센서(도 1 에는 명확하게 묘사되지 않음)는, 예를 들어 마스크 라이브러리로부터의 기계적 탐색 이후에, 또는 스캔 동안에, 방사선 빔(B)의 경로에 대하여 마스크(MA)를 정확하게 포지셔닝하기 위하여 사용될 수 있다. 일반적으로, 마스크 테이블(MT)의 이동은, 제 1 포지셔너(PM)의 일부를 형성하는 롱-스트로크 모듈(long-stroke module; 개략 위치 설정) 및 숏-스트로크 모듈(short-stroke module; 정밀 위치 설정)을 이용하여 실현될 수도 있다. 마찬가지로, 기판 테이블(WT)의 이동은 제 2 포지셔너(PW)의 일부를 형성하는 롱-스트로크 모듈 및 숏-스트로크 모듈을 이용하여 실현될 수도 있다. 스테퍼의 경우(스캐너에 반대됨), 마스크 테이블(MT)은 숏-스트로크 액추에이터에만 연결될 수도 있고, 또는 고정될 수도 있다. 마스크(MA) 및 기판(W)은 마스크 정렬 마크(M1, M2) 및 기판 정렬 마크(P1, P2)를 이용하여 정렬될 수 있다. 비록 도시된 바와 같이 기판 정렬 마크들이 전용 타겟 영역을 점유하지만, 이들은 타겟 영역 사이의 공간(이들은 스크라이브-레인(scribe-lane) 정렬 마크로 알려짐)에 위치될 수도 있다. 마찬가지로, 마스크(MA)에 두 개 이상의 다이가 제공되는 상황에서는, 마스크 정렬 마크들은 다이들 사이에 위치될 수도 있다.
도시된 장치는 다음 모드들 중 하나 이상의 모드로 사용될 수 있다:
1. 스텝 모드에서는, 마스크 테이블(MT) 및 기판 테이블(WT)이 본질적으로 정지 상태로 유지되는 동안, 방사선 빔에 부여된 전체 패턴이 한 번에 타겟부(C) 상에 투영된다(즉, 단일 정적 노광). 그러면, 상이한 타겟부(C)가 노광될 수 있도록 기판 테이블(WT)이 X 방향 및/또는 Y 방향으로 천이된다. 스텝 모드에서는, 노광 필드의 최대 크기가 단일 정적 노광시에 이미징되는 타겟부(C)의 크기를 제한한다.
2. 스캔 모드에서는, 마스크 테이블(MT) 및 기판 테이블(WT)이 동기되어 스캐닝되는 동안, 방사선 빔에 부여된 패턴이 타겟부(C) 상에 투영된다(즉, 단일 동적 노광). 마스크 테이블(MT)에 상대적인 기판 테이블(WT)의 속도 및 방향은 투영 시스템(PS)의 확대율(축소율) 및 이미지 반전 특성에 의하여 결정될 수도 있다. 스캔 모드에서는, 노광 필드의 최대 크기가 단일 동적 노광 시의 타겟부의 폭(스캐닝되지 않는 방향에서의)을 한정하는 한편, 스캐닝 모션의 길이는 타겟부의 높이(스캐닝 방향에서의)를 결정한다.
3. 다른 모드에서는, 프로그램가능 패터닝 디바이스를 홀딩하면서 마스크 테이블(MT)은 본질적으로 정지 상태로 유지되고, 기판 테이블(WT)은 방사선 빔에 부여된 패턴이 타겟 영역(C) 상에 투영되는 동안에 이동되거나 스캐닝된다. 이러한 모드에서, 일반적으로 펄스화된(pulsed) 방사선 소스가 채용되며, 프로그램가능한 패터닝 디바이스는 요구될 때, 기판 테이블(WT)의 각 이동 이후에 또는 스캔 도중의 연속적인 방사선 펄스들 사이에서 업데이트된다. 이러한 모드의 동작은 위에서 언급된 바와 같은 타입의 프로그램가능한 미러 어레이와 같은 프로그램가능한 패터닝 디바이스를 이용하는 마스크 없는 리소그래피에 용이하게 적용될 수 있다.
또한, 전술한 사용 모드들의 조합 및/또는 변형, 또는 전혀 다른 사용 모드들이 채용될 수도 있다.
도 2 는 도 1 의 장치를 포함하는 리소그래피 셀 또는 클러스터를 묘사한다. 도 2 에 도시된 것처럼, 리소그래피 장치(LA)는 리소셀 또는 클러스터라고도 지칭되는 리소그래피 셀(LC)의 일부를 형성하고, 이는 또한 기판 상에서 노광 전 그리고 노광 후 프로세스를 수행하기 위한 장치를 포함한다. 통상적으로, 이러한 장치는 레지스트층을 침착시키기 위한 스핀 코터(spin coater)(SC), 노광된 레지스트를 현상하기 위한 현상기(DE), 칠 플레이트(chill plate, CH), 및 베이크 플레이트(bake plate, BK)를 포함한다. 기판 핸들러 또는 로봇(RO)이 입력/출력 포트(I/O1, I/O2)로부터 기판을 픽업하여, 이들을 상이한 공정 장치 간에 이동시키며, 그 후 리소그래피 장치의 로딩 베이(loading bay, LB)에 전달한다. 통칭하여 트랙으로도 지칭되는 이들 장치는 감독 제어 시스템(supervisory control system, SCS)에 의해 제어되는 트랙 제어 유닛(TCU)의 제어 하에 있게 되며, 감독 제어 시스템은 또한 리소그래피 제어 유닛(LACU)을 통해 리소그래피 장치를 제어한다. 그러므로, 처리량 및 처리 효율을 최대화하기 위해 상이한 장치가 작동될 수 있다.
리소그래피 장치에 의해 노광되는 기판이 정확하고 일정하게 노광되도록 하기 위해서는, 노광된 기판을 검사하여 라인 두께, 크리티컬 디멘전(CD) 등과 같은 성질을 측정하는 것이 바람직할 수 있다. 오차가 검출되는 경우, 특히 동일 배치(batch)의 다른 기판이 여전히 노광되기에 충분한 정도로 검사가 곧바로 신속하게 행해질 수 있으면, 후속 기판의 노광에 대한 조정이 이루어질 수 있다. 또한, 이미 노광된 기판들은 스트리핑되고 재작업(rework) 되며 - 수율을 개선하기 위하여 - 또는 폐기되어, 이를 통하여 오류가 있는 것으로 알려진 기판에 노광을 수행하는 것을 회피할 수도 있다. 기판의 일부 타겟 영역에만 오류가 있는 경우, 양호한 것으로 간주되는 타겟 영역에만 추가의 노광이 수행될 수 있다.
기판의 성질, 및 구체적으로 상이한 기판의 성질 또는 동일 기판의 상이한 층의 성질이 층에 따라 어떻게 변화하는지를 결정하기 위해 검사 장치 또는 계측 툴이 사용된다. 검사 장치는 리소그래피 장치(LA) 또는 리소셀(LC)에 통합될 수도 있고, 또는 독립형 장치일 수도 있다. 가장 신속한 측정을 할 수 있기 위해서는, 검사 장치가 노광 직후에 노광된 레지스트 층에서 성질을 측정하는 것이 바람직하다. 그러나, 레지스트 내의 잠상(latent image)이 매우 낮은 콘트라스트를 가지며 - 이 경우 방사선에 노광된 레지스트의 부분과 방사선에 노광되지 않은 부분 간에 단지 매우 작은 굴절률차가 있음 - 모든 검사 장치가 잠상의 유용한 측정을 행하기에 충분한 감도를 갖는 것은 아니다. 따라서, 통상적으로 노광된 기판에 대해 수행되는 첫 번째 단계이고, 레지스트의 노광된 부분과 노광되지 않은 부분 간의 콘트라스트를 증가시키는 단계인, 노광 후 베이크 단계(post-exposure bake step, PEB) 후에 측정이 이루어질 수 있다. 이 스테이지에서, 레지스트 내의 이미지는 반잠상(semi-latent)으로 지칭될 수 있다. 또한, 에칭과 같은 패턴 전사 단계 후에, 현상된 레지스트 이미지의 측정을 행하는 것도 가능하며, 그 시점에서 레지스트의 노광된 부분 또는 노광되지 않은 부분 중의 하나가 제거된다. 후자의 가능성은 오류가 있는 기판의 재작업에 대한 가능성은 제한하지만, 여전히 유용한 정보를 제공할 수 있다.
도 3 은 산란계를 묘사한다. 이것은 방사선을 기판(W) 상에 투영하는 광대역(백색광) 방사선 투영기(2)를 포함한다. 반사된 방사선은 분광계 검출기(4)에 통과되며, 이것은 거울 반사(specular reflected) 방사선의 스펙트럼(10)(파장의 함수로서의 세기)을 측정한다. 이러한 데이터로부터, 예를 들어 엄밀 결합 파 분석(Rigorous Coupled Wave Analysis) 및 비선형 회귀(non-linear regression)에 의해 또는 도 3 의 하단에 도시한 바와 같은 시물레이션된 스펙트럼(simulated spectra)의 라이브러리와의 비교에 의해, 검출된 스펙트럼을 초래하는 구조 또는 프로파일이 처리 유닛(PU)에 의해 재구성될 수도 있다. 일반적으로, 재구성을 위해서는, 그 구조의 전반적인 형태가 알려져 있으며 일부 파라미터는 이 구조를 제조하는 프로세스에 대한 정보로부터 가정되어, 이 구조의 소수의 파라미터만이 산란측정 데이터(scatterometry data)로부터 결정되도록 남게 된다. 이러한 산란계는 수직 입사(normal-incidence) 산란계 또는 경사 입사(oblique-incidence) 산란계로서 구성될 수 있다.
다른 산란계가 도 4 에 도시된다. 이 장치에서, 방사선 소스(2)에 의해 방출된 방사선은 렌즈 시스템(12)을 이용하여 시준되고, 간섭 필터(13) 및 편광기(polarizer)(17)를 통하여 투과되며, 부분 반사 표면(16)에 의해 반사되고, 바람직하게는 적어도 약 0.9 및 더 바람직하게는 적어도 약 0.95 의 높은 개구수(numerical aperture; NA)를 가지는 현미경 대물 렌즈(15)를 통해 기판(W) 상에 포커스된다. 액침 산란계는 심지어 1 이 넘는 개구수의 렌즈를 가질 수도 있다. 산란 스펙트럼(scatter spectrum)이 검출되게 하기 위하여, 반사된 방사선은 이제 부분 반사 표면(16)을 통해 검출기(18)로 투과한다. 검출기는 대물 렌즈(15)의 초점 거리(focal length)에 위치하는 후위 투영 퓨필 평면(back-projected pupil plane)(11) 내에 배치될 수 있지만, 퓨필 평면은 보조 광학 장치(도시 안 됨)에 의해 검출기에 재결상될(re-imaged) 수도 있다. 퓨필 평면은, 방사선의 방사 위치(radial position)가 입사각을 정하고, 각도 위치가 방사선의 방위각을 정하는 평면이다. 검출기는 기판 타겟(30)의 2차원 각도 산란 스펙트럼이 측정될 수 있도록 2차원 검출기인 것이 바람직하다. 검출기(18)는 예를 들어 CCD 또는 CMOS 센서의 어레이일 수도 있으며, 예컨대 프레임당 40 ms의 노출 시간(integration time)을 사용할 수도 있다.
입사 방사선의 세기를 측정하기 위해, 예를 들어 기준 빔이 이용되는 경우가 있다. 이를 위해, 방사선 빔이 빔 스플리터(16)에 입사될 때, 방사선 빔의 일부가 빔 스플리터를 투과하여 기준 빔으로서 기준 미러(14)를 향하게 된다. 기준 빔은 그 후 동일한 검출기(18)의 상이한 부분 상에 투영되거나 또는 이와 달리 상이한 검출기(도시하지 않음) 상으로 투영된다.
일례를 들면 405~790 nm 또는 그보다 낮은 200~300 nm와 같은 범위의 대상 파장을 선택하기 위해 간섭 필터(13)의 세트가 이용될 수 있다. 간섭 필터는 상이한 필터의 세트를 포함하기보다는 튜닝가능할 수도 있다. 간섭 필터 대신 격자(grating)가 이용될 수 있다.
검출기(18)는 단일 파장(또는 좁은 파장 범위)에서의 산란 광의 세기를 측정할 수도 있고, 여러 파장에서의 세기를 별도로 측정할 수도 있으며, 또는 일정 파장 범위에 걸쳐 통합된 세기를 측정할 수도 있다. 또한, 검출기는 횡자기 편광광(transverse magnetic-polarized light) 및 횡전기 편광광(transverse electric-polarized light)의 세기, 및/또는 횡자기 편광광과 횡전기 편광광 간의 위상차를 별도로 측정할 수도 있다.
광대역 광원(즉, 넓은 범위의 광 주파수 또는 파장을 갖고 또한 그에 따라 넓은 범위의 컬러를 갖는 광원)을 이용하는 것이 가능하며, 이것은 커다란 에텐듀(large etendue)를 제공함으로써 복수의 파장들의 혼합(mixing)을 가능하게 한다. 광대역에서의 복수의 파장은 각각 Δλ의 대역폭 및 적어도 2ㆍΔλ(즉, 대역폭의 2배)의 간격을 갖는 것이 바람직하다. 방사선의 몇몇 "소스"는 광섬유 번들을 이용하여 분할된, 연장된 방사 소스의 상이한 부분일 수 있다. 이러한 방식으로, 각도 분해 산란 스펙트럼(angle resolved scatter spectra)이 복수의 파장에서 병렬로 측정될 수 있다. 3-D 스펙트럼(파장과 2개의 상이한 각도)이 측정될 수 있으며, 이 3-D 스펙트럼은 2-D 스펙트럼보다 더 많은 정보를 담고 있다. 이것은 더 많은 정보가 측정될 수 있도록 하여, 계측 프로세스 견실성(metrology process robustness)을 증가시킨다. 이것은 유럽 특허 번호 제 1,628,164A 호에 더욱 상세하게 설명되어 있다.
기판(W) 상의 타겟(30)은 1-D 격자일 수도 있으며, 이 1-D 격자는 현상 후에 바(bar)가 고상의 레지스트 라인(solid resist line)으로 형성되도록 프린트된다. 타겟(30)은 2-D 격자일 수도 있으며, 이 2-D 격자는 현상 후에 바(bar)가 고상 레지스트 필러(solid resist pillar) 또는 레지스트 내의 비아(via)로 형성되도록 프린트된다. 바, 필러 또는 비아는 이와 달리 기판 내로 에칭될 수도 있다. 이러한 패턴은 리소그래피 투영 장치, 특히 투영 시스템(PL)에서의 색수차(chromatic aberration)에 민감하며, 그리고 조명 대칭성 및 이러한 수차의 존재는 프린트된 격자에서의 변동(variation)에서 명백하게 드러날 것이다. 이에 따라, 프린트된 격자의 산란 데이터가 격자를 재구성하는데 이용된다. 인쇄 단계 및/또는 다른 산란 프로세스의 지식으로부터, 라인 폭 및 라인 형상과 같은 1-D 격자의 파라미터 또는 필러 또는 비아의 폭, 길이 또는 형상과 같은 2-D 격자의 파라미터가 처리 유닛(PU)에 의해 수행되는 재구성 프로세스에 입력될 수 있다.
정확한 리소그래피의 중요한 성분은 개별 리소그래피 장치를 교정하는 능력이다. 전체 기판 영역에 영향을 주는 일반적 파라미터에 추가하여, 기판 영역에 걸쳐 개별 장치의 오류 '지문'을 매핑하고 모델링하는 것이 공지된다. 초점, 선량 및/또는 정렬의 관점에서 확립될 수 있는 이러한 지문은 노광 도중에 장치의 특이 성질(idiosyncrasies)을 정정하기 위하여 사용될 수 있고, 이에 의하여 더 정확한 패터닝을 획득한다.
장치의 초점 및 오버레이(층과 층의 정렬) 균일성에 대한 개선은, 출원인의 Baseliner™ 스캐너 안정성 모듈에 의해 최근에 달성되어 왔는데, 이것은 소정의 피쳐 사이즈 및 칩 애플리케이션을 위한 최적화된 프로세스 윈도우(process window)를 유도하여 더 소형이고 더 진보된 칩의 생성의 연속을 가능하게 한다. 스캐너 안정성 모듈은 시스템을 각각의 날짜의 선정의된 베이스라인으로 자동적으로 재설정한다. 이를 수행하기 위하여, 이것은 계측 툴을 사용하여 모니터 웨이퍼로부터 취해진 표준 측정치를 취출한다. 모니터 웨이퍼는 특정 산란계 마크를 포함하고 있는 특수한 레티클을 사용하여 노광된다. 그 일자의 측정치로부터, 스캐너 안정성 모듈은 시스템이 베이스라인으로부터 얼마나 멀리 드리프트되었는지를 결정한다. 그 후, 이것은 웨이퍼 레벨 오버레이 및 포커스 교정 설정치를 계산한다. 리소그래피 시스템은 그 후 이들 교정 설정치를 후속 생산 웨이퍼에 대한 각각의 노광을 위한 특정의 교정치로 변환한다.
도 5 는 스캐너 안정성 모듈(500)을 통합하는 전체적인 리소그래피 및 계측 방법(본질적으로 이 예에서는 서버 상에서 실행되는 애플리케이션)을 묘사한다. 3 개의 메인 프로세스 제어 루프가 도시되어 있다. 제 1 루프는 스캐너 안정성 모듈(500) 및 모니터 웨이퍼를 사용하여 로컬 스캐너 제어를 제공한다. 모니터 웨이퍼(505)는 포커스 및 오버레이를 위한 베이스라인 파라미터를 설정하기 위하여 노출되어 있는 상태로, 메인 리소그래피 유닛(510)으로부터 통과되고 있는 것으로 도시된다. 그 후에, 계측 툴(515)이 이들 베이스라인 파라미터를 판독하고, 이들 베이스라인 파라미터는 그 후 스캐너 피드백(550)을 제공하기 위해 보정 루틴을 계산하도록 스캐너 안정성 모듈(500)에 의해 해석되며, 이 피드백은 메인 리소그래피 유닛(510)으로 건네지고, 다른 노광을 수행할 때에 사용된다.
제 2 진보된 처리 제어(APC) 루프는 제품-상 로컬 스캐너 제어(초점, 선량, 및 오버레이를 결정하는 것)를 위한 것이다. 노광된 제품 웨이퍼(520)가 계측 툴(515)로 전달되는데, 여기서 크리티컬 디멘전(CD), 측벽 각도(SWA) 및 오버레이에 관한 정보가 결정되고, APC 모듈(525)로 전달된다. 이 데이터는 또한 스캐너 안정성 모듈(500)에도 건네진다. MES(Manufacturing Execution System)(535)가 역할을 넘겨받기 전에 프로세스 보정(540)이 이루어져, 스캐너 안정성 모듈(500)과 통신하면서 메인 리소그래피 유닛(510)에 스캐너 제어를 제공한다.
제 3 루프는 제 2 APC 루프로의 계측 통합(metrology integration)을 허용하기 위한 것이다(예컨대, 더블 패터닝을 위해). 에칭 후의 웨이퍼(530)가 계측 유닛(515)에 전달되고, 이것은 다시 크리티컬 디멘전, 측벽 각도, 및 오버레이에 관한 정보를 APC 모듈에 건네준다. 이 루프는 제 2 루프와 동일하게 진행한다.
도 6 은 도 1 의 리소그래피 장치 내의 기판(W) 상의 하나의 필드(F)를 노출시키기 위한 스캐닝 동작을 개략적으로 도시한다. 기판(W) 및 마스크(MA)가 조명 소스(IL)가 그 위에 있고 투영 시스템(PS)이 그 사이에 있는 사태로 사시도로 도시된다. 마스크(MA)는 기판(W) 상의 하나의 필드(F)로 적용될 패턴의 스케일업된 버전인 투명 패턴(F')을 가지고 있다. 조명 소스(IL)는 Y 방향에서 영역(F')를 커버하기에 충분히 크지는 않지만 X 방향에서는 충분히 넓은 방사선의 슬릿(S')을 제공한다. 전체 필드를 노광하기 위하여, 마스크(MA)는 슬릿(S')의 영역을 통과하여 이동하여 대응하는 슬릿 영역(S)을 기판 필드(F) 상에 투영한다. 이러한 이동은 큰 화살표로 표현된다.
개념적으로, 패터닝된 슬릿(S)이 기판 상에서, 개략적 플랜 디테일에 의하여 도면의 우측으로 도시된 바와 같이 Y 방향의 반대로 지나가는 동안, 기판은 여전히 고정되는 것으로 간주하면 충분하다. 길이 L인 슬릿이 필드(F) 상에서 노광 속도 Vexp로 이동된다.
투영 시스템(PS)의 파라미터 및 제어 세트 포인트가 노광이전에 조절되어, 슬릿 내의 왜곡이 전체 노광에서 일정하다는 것을 보장한다. 어떤 파라미터, 예를 들어 초점 세트 포인트는 스캐닝 이동 전체에서 동적으로 제어되어 필드에 걸친 최적의 균일한 패터닝 품질을 유지할 수도 있다.
도 7 은 리소그래피 장치 내에서 발생하는 레벨 매핑 동작의 사시도이다. 기판 테이블(WT)이, 레벨 감지 프로젝터(LSP) 및 레벨 감지 검출기(LSD)를 포함하는 레벨 센서에 의하여 측정되는 중인 기판(W)이 그 위에 적재된 상태로 도시된다. 정렬 센서(AS)가 기판에 걸쳐 X-Y 포지션을 측정하기 위하여 제공된다. 도 1 에서 도시되는 위치 센서(IF)가 도 4 에서 좀 더 상세하게 도시된다. 한 쌍의 Z-방향 위치 센서(IF(Z))가 제공되고(이러한 예에서는 간섭계), IF(X)는 X-방향 간섭계의 광선을 나타내며, IF(Y)는 Y-방향 간섭계의 광선을 나타낸다. 예를 들어, 당업자에게 공지된 바와 같은 인코더 플레이트인 다른 형태의 위치 센서가 사용될 수도 있다.
레벨 센서의 동작 시에, 다수의 레벨 감지 "스팟"들이 프로젝터(LSP)에 의하여 기판 표면의 선모양의(line-shaped) 부분 상에 투영되고, 기판 표면으로부터 반사되어 레벨 감지 검출기(LSD) 내에서 이미징된다.
도 8 은 감소된 샘플링의 효율성을 예시하는 그래프이다.
수직 축 P는 정정 이후의 성능 메트릭, 예를 들어 오버레이 잔차이다. 수평 축 N은 정정의 결정을 위하여 사용되는 샘플링 플랜 내의 측정 포인트들의 개수이다. 더 양호한 성능은 P의 더 낮은 값인데, 이것은 통상적으로 샘플 포인트 N의 개수를 증가시킴으로써 달성된다. NF는 웨이퍼가 완전히 측정되는 측정 포인트의 개수인데, 이것은 시간 소모적 샘플링을 희생하여 최선의 성능을 제공한다. 곡선(802)은 기하학적 제약에 기초하는 최적의 곡선이다.
본 명세서에서 설명되는 실시예는 감소된 샘플링의 효율성을 개선하여 개선된 곡선(804)을 제공함으로써, 동일한 성능에 대하여 더 빠른 측정(더 적은 측정 포인트)이 달성가능하게 하거나(806), 또는 동일한 측정 시간 동안 더 양호한 성능이 획득되게 한다(808).
도 9 는 샘플링 플랜이 두 개 이상의 좌표에서 개별적으로 업데이트되는 일 실시예를 예시하는 흐름도이다. 이러한 실시예에서, 이 접근법은 하나의 프로세스 층 내에서 샘플링 방식을 개별적으로 x, y 및 / 또는 r(반경)에 대해 업데이트하는 것이다. 특정 기법은 적용에 의하여 결정된다(예를 들어, 이것은 x 또는 y 뿐이거나, 또는 영역 당, 또는 반경 당 합산 / 최고 / 최저 x 또는 y일 수 있다). 구현형태들은 x 또는 y에만 속하는 세그먼트화된 계측 타겟을 통할 수 있다. 이러한 접근법의 동기는:
1) 스캐너 및/또는 계측 장비가 x 및 y에 대해 상이한 가용자원을 가지고, 따라서 x, y에서의 노이즈 기여가 상이하여 유사한 모델 불확정성에 대해 요구된 샘플링에서 델타를 초래할 것이다;
2) 이중 노광(x, y에서의 분할로서 그리디드 디자인(gridded design)이라고 알려짐)의 경우에, 상이한 층에 대하여 x, y에 대한 오버레이 요구 사항이 상이하고, 따라서 요구된 모델 불확정성이 상이할 것이다; 그리고
3) 예를 들면 CMP(화학적 기계적 연마/ 평탄화) 또는 어닐링 단계에 대하여 x, y에서의 상이한 프로세스 영향이 모델 불확정성이 x 대 y에서 크게 상이한 로컬 방사상 지문을 생성한다는 것을 포함한다. 이것은 또한 방사상 함수(radial function)에 의하여 표현되지만, 반드시 그래야 하는 것은 아니다.
이러한 실시예에서 단계들은 다음을 포함한다:
902 - 웨이퍼의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계.
904 - 프로세스 셋업 정보를 기록하고 스캐너 툴을 사용하여 프로세스 셋업 정보에 따라 웨이퍼를 처리하는 단계. 처리 셋업 정보는 도 6 에 도시된 투영 시스템(PS)의 파라미터서 및 노광 및 초점 제어 세트 포인트를 포함할 수도 있다. 처리 셋업 정보는 스캐너보다 다른 처리 장치, 예를 들어 다른 리소그래피 장치 또는 에칭 장치에 관련할 수도 있다. 따라서 본 명세서에서 설명되는 실시예는 리소그래피 장치로 제한되지 않는다.
906 - 두 개 이상의 좌표에서 개별적으로 샘플링 플랜을 업데이트하는 단계. 만일 단계(904)가 수행된다면, 샘플링 플랜의 이러한 업데이트는 기록된 처리 셋업 정보에 기초한다. 샘플링 플랜의 업데이트는, 예를 들어 웨이퍼 내의 샘플링 밀도 및/또는 위치를 예를 들어 기록된 처리 셋업 정보에 기초하여 변경시킴으로써 웨이퍼에 걸친 샘플링을 수정하는 단계를 포함할 수도 있다.
908 - 업데이트된 샘플링 플랜을 사용하여 웨이퍼의 성질을 측정하는 단계.
단계(904)를 사용하는 것에 대체적으로, 그리고 단계(906)에서 샘플링 플랜을 처리 셋업 정보에 기초하여 업데이트할 때, 이 방법은 도 11 을 참조하여 설명된 바와 같은 단계들을 포함할 수도 있다:
- 스캐너를 사용하여 웨이퍼의 처리에 관련된 처리 데이터를 측정하는 단계; 및
- 처리 데이터의 변이를 결정하는 단계,
그리고 단계(906)에서 샘플링 플랜의 업데이트는 처리 데이터의 변이에 기초한다.
단계(904)를 사용하는 것에 대체적으로, 그리고 단계(906)에서 샘플링 플랜을 처리 셋업 정보에 기초하여 업데이트할 때, 이 방법은 도 12 를 참조하여 설명된 바와 같은 단계들을 포함할 수도 있다:
- 스캐너를 사용하여 웨이퍼의 처리에 관련된 처리 데이터를 측정하는 단계;
- 기판의 성질을 측정하는 단계; 및
- 처리 데이터와 성질의 상관을 결정하는 단계,
그리고 단계(906)에서 샘플링 플랜의 업데이트는 처리 데이터의 성질과의 상관에 기초한다.
도 10 은 샘플링 플랜이 프로세스 셋업 정보에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다. 이러한 실시예에서, 샘플링 플랜의 결정은 스캐너(처리 작업) 정보(예를 들어 스캔 방향, 어떤 척이 트윈 웨이퍼 척 리소그래피 장치에서 사용되는지, 웨이퍼 레이아웃) 또는 액추에이터 정보(예를 들어 스캐너 내의 조명 선량을 정의하기 위하여 작동될 수 있는 핑거의 개수)에 기초하는 제약들을 사용할 수도 있다. 따라서 처리 셋업 정보는 도 6 에 도시된 투영 시스템(PS)의 파라미터 및 노광 및 초점 제어 세트 포인트를 포함할 수도 있다.
이러한 실시예에서 단계들은 다음을 포함한다:
1002 - 웨이퍼의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계.
1004 - 프로세스 셋업 정보를 기록하고 스캐너 툴을 사용하여 프로세스 셋업 정보에 따라 웨이퍼를 처리하는 단계. 처리 셋업 정보는 예를 들어 스캐너 처리 작업 정보 또는 스캐너 액추에이터 정보를 포함할 수도 있다. 처리 셋업 정보는 스캐너보다 다른 처리 장치(툴), 예를 들어 다른 리소그래피 장치 또는 에칭 장치에 관련할 수도 있다.
1006 - 프로세스 셋업 정보에 기초하여 샘플링 플랜을 업데이트하는 단계. 샘플링 플랜의 업데이트는, 예를 들어 웨이퍼 내의 샘플링 밀도 및/또는 위치를 예를 들어 기록된 처리 셋업 정보에 기초하여 변경시킴으로써 웨이퍼에 걸친 샘플링을 수정하는 단계를 포함할 수도 있다.
1008 - 업데이트된 샘플링 플랜을 사용하여 웨이퍼의 성질을 측정하는 단계.
도 11 은 샘플링 플랜이 측정된 스캐너 데이터의 변이에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다. 이러한 실시예에서, 이 접근법은, 영역 / 웨이퍼당 균질성 또는 불확정성이 분류될 수 있는 유사하거나 동일한 웨이퍼의 정렬 데이터 / 레벨링 데이터를 분석함으로써 샘플링 플랜을 결정하는 것이다. 예를 들어 MCC(다중 상관 계수) / WQ(웨이퍼 품질) 또는 토폴로지에서의 큰 변이가 있는 영역은 더 조밀한 샘플링을 요구한다. 일 실시예의 접근법은 정렬 데이터 / 레벨링 데이터의 지문을 모니터링하고 지문 변화가 검출되는 위치에서의 샘플링을 증가시키는 것이다.
단계들은 다음을 포함한다:
1102 - 웨이퍼의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계.
1104 - 스캐너를 사용하여 웨이퍼를 처리하는 단계.
1106 - 스캐너를 사용하여 웨이퍼의 처리에 관련된 처리 데이터를 측정하는 단계. 처리 데이터는 예를 들어 정렬 데이터 및/또는 레벨링 데이터를 포함할 수도 있다.
1108 - 처리 데이터의 변이를 결정하는 단계.
1110 - 처리 데이터의 변이에 기초하여 샘플링 플랜을 업데이트하는 단계. 샘플링 플랜을 업데이트하는 것은, 예를 들어 웨이퍼 내의 샘플링 밀도 및/또는 위치를 예를 들어 처리 데이터의 변이에 기초하여 변경시킴으로써 웨이퍼에 걸친 샘플링을 수정하는 단계를 포함한다.
1112 - 업데이트된 샘플링 플랜을 사용하여 웨이퍼의 성질을 측정하는 단계.
도 12 는 샘플링 플랜이 측정된 스캐너 데이터와 해당 샘플링 플랜에 따라서 측정되는 중인 성질의 상관에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다. 이러한 실시예에서, 이 접근법은 정렬 데이터 / 레벨링 데이터 및 오버레이 / CD 균일성(CD uniformity; CDU) 데이터 간의 공지된 상관을 사용하여 샘플링 플랜을 결정하는 것 그리고 더 양호하거나 더 열악한 상관을 가진 영역 또는 웨이퍼를 식별하는 것이다. 더 높은 상관은 더 적은 샘플링을 요구할 것이다.
이러한 실시예에서 단계들은 다음을 포함한다:
1202 - 기판의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계.
1204 - 스캐너를 사용하여 웨이퍼를 처리하는 단계.
1206 - 스캐너를 사용하여 웨이퍼의 처리에 관련된 처리 데이터를 측정하는 단계. 처리 데이터는 정렬 데이터 및/또는 레벨링 데이터를 포함할 수도 있다.
1208 - 기판의 성질을 측정하는 단계; 및
1210 - 처리 데이터와 성질의 상관을 결정하는 단계.
1212 - 처리 데이터와 성질의 상관에 기초하여 샘플링 플랜을 업데이트하는 단계. 샘플링 플랜의 업데이트는, 예를 들어 웨이퍼 내의 샘플링 밀도 및/또는 위치를 예를 들어 처리 데이터의 변이에 기초하여 변경시킴으로써 웨이퍼에 걸친 샘플링을 수정하는 단계를 포함할 수도 있다.
1214 - 업데이트된 샘플링 플랜을 사용하여 웨이퍼의 성질을 측정하는 단계.
도 13 은 샘플링 플랜이 계측 산란계의 각지게 분해된 스펙트럼의 변이에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다. 이 변이는 산란계의 퓨필 평면에 걸친 각도 스펙트럼의 평활도의 측정이고, 변이는 처리 효과에 민감하다.
단계들은 다음과 같다:
1302 - 웨이퍼의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계.
1304 - 스캐너를 사용하여 웨이퍼를 처리하는 단계.
1306 - 웨이퍼의 각지게 분해된 스펙트럼(angularly resolved spectrum)을 측정하는 단계.
1308 - 각지게 분해된 스펙트럼의 변이(예를 들어 표준 편차들, 시그마)를 결정하는 단계. 변이는 단일 측정 포인트로부터 추정될 수도 있다.
1310 - 각지게 분해된 스펙트럼의 변이에 기초하여 샘플링 플랜을 업데이트하는 단계. 그리고
1312 - 업데이트된 샘플링 플랜을 사용하여 웨이퍼의 성질을 측정하는 단계.
도 14 는 샘플링 플랜이 복수의 서브-샘플링 플랜으로 분해되는 일 실시예를 예시하는 흐름도이다. 조밀한 샘플링 기법을 분해시켜 로트, 또는 다중 로트 내의 모든 웨이퍼에 걸쳐 분배함으로써, 처리 드리프트는 평균화될 수 있어서 측정 결과가 전체 로트를 더 잘 나타내도록 한다. 각각의 웨이퍼는 측정됨으로써, 크게 벗어나는 것을 겪는 웨이퍼의 이른 플래깅(flagging)을 가능하게 할 수도 있다. 이러한 웨이퍼는 APC 피드백 루프 업데이트로부터 제외될 수 있고 더욱 처리되는 대신에 재작업될 수 있다. CPE 정정은 각각의 로트에 대하여 결정되어, CPE에 대한 더 빠르고 더 양호한 피드백을 가능하게 할 수 있다.
도 14 를 참조하면, 단계들은 다음과 같다:
1402 - 웨이퍼의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계.
1404 - 샘플링 플랜을 복수의 서브-샘플링 플랜으로 분해하는 단계. 샘플링 플랜은 웨이퍼의 복수의 노광 필드에 걸쳐 복수의 서브-샘플링 플랜으로 분해될 수도 있다.
1406 - 개별적인 웨이퍼에 상이한 서브-샘플링 플랜을 사용하여 복수의 웨이퍼의 성질의 복수의 측정을 수행하는 단계.
1408 - 복수의 측정의 결과들을 적층하여 샘플 플랜에 따른 측정 결과를 적어도 부분적으로 재구성하는 단계.
도 15a 는 하나의 웨이퍼의 완전한 샘플링이 있는 3 개의 웨이퍼를 도시한다. 도 15a 를 참조하면, 하나의 생산 로트는 3 개의 웨이퍼(1502-1506)를 포함하고, 이 중에서 제 2 웨이퍼(1504)만이 샘플링된다. 사각형(1508)은 노광 필드이고, 도트(1510)는 샘플 플랜 내의 샘플 포인트이며, 큰 원(1502-1504)이 웨이퍼를 나타낸다. 일 실시예에 따른 분산형 샘플링의 접근법은, 로트 당 샘플링 포인트의 총 개수(이 예에서는 12x9)를 증가시키지 않고 샘플링 플랜 내에 제 1 및 마지막 웨이퍼(1502 및 1506)(이 경우에 모든 웨이퍼)를 포함하는 것이다. 이것은 수 개의 방법으로, 예를 들면 도 15b 에 도시된 바와 같은 모든 웨이퍼 상의 4 개의 중심 필드만을 사용함으로써 획득될 수 있다.
도 15b 및 도 15c 는 3 개의 웨이퍼의 서브-샘플링 플랜에 걸쳐 분해되는 샘플링 플랜을 도시한다. 그러나 도 15b 의 예는 만일 웨이퍼 레벨 상의 모든 3 개의 샘플 플랜들이 서로 적층된다면, 원래의 공간적 분포를 보여주지는 않는다. 이것은 샘플링된 로트의 성질의 열화된 추정을 초래할 것이다.
올바른 적층을 허용하는 다른 선택이 도 15c 에서 도시된다. 그러나, 만일 분산이 도 15c 의 예에서 이루어진 것과 같이 부주의하게 이루어진다면, 웨이퍼 당 샘플링 결과는 다시 한번 사용 불가능한 결과를 양산할 것이며, 이것은 몇몇 웨이퍼에 대한 추정이 아주 정확하지 않을 수도 있기 때문이다.
도 16a 및 도 16b 는 도 15b 및 도 15c 에 도시된 것보다 양호한 방식으로 3 개의 웨이퍼의 서브-샘플링 플랜에 걸쳐 분해되는 샘플링 플랜을 도시한다. 서브-샘플 플랜은 웨이퍼마다 최적화됨으로써, (1) 분해된 샘플링 방식이 유용하게 하고, 그리고 (2) 이들이 원래의 레이아웃으로 서로 적층될 수 있게 한다. 예를 들면 도 16a 에서 도시된 기법은 도 15c 의 기법과 비교할 때 더 양호한 교차-웨이퍼 공간적 분산을 가진다. 그러나 이러한 기법은 유사한 문제를 교차-필드 레벨에서 가지고, 필드의 성질의 추정은 신뢰성있게 결정될 수 없다. 만일 이러한 저 정밀한 세부 사항이 역시 고려된다면, 도 16b 에서 도시된 바와 같은 최적의 기법을 결과적으로 얻을 수도 있다.
도 16c 는 필드들에 대한 노광 순서 및 서브-샘플링 플랜을 도시한다. 필드내 샘플링 플랜의 분해는, 도 16c 에서 구불구불한 라인(1602)에 의하여 도시되는 노광 순서에서 각각의 3 개의 연속 필드가 서로 적층되어 하나의 완전한 필드를 결과적으로 초래하도록 수행된다.
도 15c, 도 16a 및 도 16b 모두에서 도시된 레이아웃은 "완전하다", 즉, 모든 웨이퍼의 서브-샘플링 플랜이 적층된다면 샘플 플랜 내에 "빈(empty)" 공간이 존재하지 않는다. 다른 실시예들은 웨이퍼상의 가능한 측정 사이트의 오직 일부만을 커버하기 위하여 풀 샘플 플랜(여기에서는 9 개의 마크의 12 개의 필드)이 덜 조밀하게 할 수도 있다.
다른 실시예는 "불완전" 분해된 샘플링 플랜을 가진다. 도 17 은 몇몇 필드가 샘플링되지 않는, 6 개의 웨이퍼의 서브-샘플링 플랜에 걸쳐 분해되는 샘플링 플랜을 도시한다. 이러한 예에서, 로트 사이즈는 3 개 대신에 6 개의 웨이퍼이고, 샘플 플랜은 도 17 에 도시된 바와 같이 분해될 수 있는데, 여기에서 다시 사각형은 노광 필드이고, 도트는 샘플 포인트이며 큰 원은 웨이퍼를 나타낸다. 몇몇 필드는 몇몇 웨이퍼에서 스킵되고, 다른 필드들은 다른 웨이퍼에서 스킵된다. 모든 서브-샘플링 플랜이 서로 적층되는 경우, 완전 샘플 플랜이 달성된다. 이것은 그래뉼래러티의 모든 레벨에 대해 적용된다: 모든 필드가 측정되어야 하는 것이 아니고(도 17 에 도시된 바와 같이), 모든 웨이퍼가 측정되어야 하는 것이 아니며, 그리고/또는 모든 로트가 측정되어야 하는 것은 아니다.
더욱이, 만일 하나의 로트 내의 웨이퍼의 개수가 이러한 분해를 허용하기에 충분하지 않다면, 다중 로트들이 사용될 수도 있다. 이것은 두 개 이상의 상이한 정적 샘플링 플랜들을 로트 생산에서 실행시킨다는 것을 의미할 것이다.
프로세스 제어가 수 년 동안 반도체 산업에 적용되어 왔다. 웨이퍼들은 계측 툴로써 측정되고, 정정 모델이 모델 파라미터를 계산하기 위하여 측정된 데이터에 적용된다. 그러면, 그러한 파라미터들이 프로세스를 제어하기 위하여 사용된다. 따라서, 프로세스 제어의 성능은 계측 측정 기법 및 정정 모델에 의존한다. 계측 측정 노력 및 모델 정확도 사이에 트레이드-오프가 존재한다는 것이 알려진다.
수 개의 최선(optimality) 통계적 기준들이 주어진 정정 모델에 기초하여 계측 샘플링 기법을 최적화하기 위하여 사용된다. 인기 있는 최선 기준은 정규화된 모델 불확정성(G-최선(G-optimality)이라고도 불림)이다. 정규화된 모델 불확정성의 추론이 아래에 보여진다.
최소-자승 추정:
Figure 112014052017127-pct00001
y가 측정된 데이터를 지칭하고
Figure 112014052017127-pct00002
이라고 가정하는데, m은 감소된 샘플링 기법의 측정된 포인트의 개수를 나타내고; C는 감소된 샘플링 기법의 디자인 매트릭스를 나타내고,
Figure 112014052017127-pct00003
이며, n은 맞춤 계수(fit coefficients)의 개수를 지칭하고,
Figure 112014052017127-pct00004
는 정정가능 파라미터를 지칭하고
Figure 112014052017127-pct00005
이다. c p 는 임의의 측정가능 포지션 P의 디자인 매트릭스를 지칭하고
Figure 112014052017127-pct00006
이다.
Figure 112014052017127-pct00007
는 잔차 오류를 나타내고
Figure 112014052017127-pct00008
이다.
다음을 가정함으로써
Figure 112014052017127-pct00009
포인트 P에서의 정정가능 오류는 다음과 같이 계산될 수 있다
Figure 112014052017127-pct00010
여기서
Figure 112014052017127-pct00011
이다.
따라서,
Figure 112014052017127-pct00012
= 모델 불확정성의 정의이다.
Figure 112014052017127-pct00013
정규화된 모델 불확정성의 정의는 =
Figure 112014052017127-pct00014
이다.
알 수 있는 바와 같이, 모델 불확정성의 물리적 의미는 주어진 포지션에 대한 정정가능 오류의 표준 편차이다. 감소된 샘플링 기법 및 정정 모델을 사용함으로써, 디자인 매트릭스가 설립될 수 있다. 각각의 포지션에서 동일한 분산을 가정함으로써(
Figure 112014052017127-pct00015
는 상수), 정규화된 모델 불확정성이 측정가능(또는 특정) 포지션에 대하여 계산될 수 있다. 이에 후속하여, 통계적 측정, 예를 들어 최대, 평균 더하기 3시그마 등이 감소된 샘플링 기법을 평가하기 위하여 사용될 수 있다. 그러면, 최적의 샘플링 기법이 결정될 수 있다.
이제 계측 샘플링 기법 최적화(sampling scheme optimization; SSO)의 사용을 설명하기 위하여 단순한 예가 도 18 을 참조하여 제공된다. 도 18 은 웨이퍼에 걸친 정정가능 오류(CE, nm단위) 대 측정 포지션(X)의 그래프이다. 다음이 가정된다:
(a) 풀 계측 레이아웃은 [-5, -4, -3, -2, -1, 0, 1, 2, 3, 4, 5]인데, 이것은 도 18 에서 검정 원으로 도시되는 바와 같이 총 11 개의 포지션이다.
(b) 지문(또는 정정가능 오류)이 도 18 에서 포물선 맞춤(fit) 곡선(1802) 및 주어진 정정 모델
Figure 112014052017127-pct00016
로서 도시된다.
(d)
Figure 112014052017127-pct00017
(웨이퍼 전체에 균일한 계측 측정 노이즈 및 처리 효과).
(c) 비-정정가능 오류(소위 NCE(non-correctable error) 또는 잔차)가 존재하지 않는다.
이 경우에, 임의의 5 개의 포지션을 측정함으로써 계산된 지문은 11 개의 포지션으로써 풀 계측 레이아웃을 측정하는 것과 차이점을 가지지 않는다. 사실상, 적어도 세 개 이상의 포지션을 측정하는 것이 충분하며, 어떤 포지션에서 측정이 수행되는지는 중요하지 않다.
도 19 를 참조하여, 만일 실-세계 계측 노이즈(가정된 정상 분산이 있는)가 각각의 포지션에 1nm-시그마로써 부가된다면, 심지어 풀 레이아웃으로부터 계산된 맞춤 곡선(1902)은 베이스라인 맞춤 곡선(1802)으로부터 편차를 가질 수 있다. 곡선(1802 및 1902) 사이의 맞춤 곡선 델타는 실제로 정정가능 델타이다. 이것은 감소된 샘플링 기법에 대하여 과장될 수 있다. 만일 [-1, 0, 1]이 감소된 기법이라면, 맞춤 곡선은 위 아래가 뒤집힐 수 있는데, 이것은 매우 큰 정정가능 델타를 초래할 것이다.
포지션 당, 정규화된 모델 불확정성은 정정가능 델타의 표준 편차와 동일하다. 그러므로, 풀 레이아웃을 측정하기 위한 정규화된 모델 불확정성은 아래에 보이는 바와 같이 계산될 수도 있다.
정규화된 모델 불확정성(또는 G-최선)을 사용하는 이전의 접근법에서, 모든 포지션이 동일한 분산을 가진다는 것이 가정된다. 그러나, 실제로는, 웨이퍼의 에지는 웨이퍼의 중간 영역보다 더 큰 처리 효과를 겪으며, 웨이퍼의 중심(포토 레지스트가 증착되는 곳)에 대해서도 역시 그러하고, 가끔 미지의 국부화된 효과가 존재한다. 이것은 포지션-의존적 분산을 초래한다. 게다가, 오버레이 타겟의 변형도 역시 웨이퍼에 걸쳐 일관되지 않는다. 그러므로 계측 측정 노이즈도 역시 포지션-의존적인 것으로 간주될 것이다.
웨이퍼에 걸친 불균일한 처리 효과/측정 노이즈 때문에, 이전의 접근법에서 이루어진 가정은 더 이상 유효하지 않다. 출력되는 최적의 샘플링 기법은 실세계에서 덜 견실할 것이다.
일 실시예에 따르면, 가중 최소 자승(WLS) 추정이 포지션-의존적 분산을 고려하기 위하여 사용된다. 그러면 모델 불확정성의 수학식은 아래 나타난 바와 같이 재추론된다:
Figure 112014052017127-pct00018
여기에서는
Figure 112014052017127-pct00019
는 감소된 샘플링 기법의 포지션 i의 분산을 나타내고, k는 감소된 샘플링 기법의 측정된 포지션의 총 개수를 지칭한다. 이것은 정규화된 모델 불확정성과 구별하기 위하여 가중된 모델 불확정성이라고 지칭된다.
감소된 샘플링 기법 및 정정 모델을 사용함으로써, 디자인 매트릭스가 설립될 수 있다. 샘플링 포지션 당 분산을 사용함으로써, 모델 불확정성이 계산될 수 있다. 동일한 통계적 측정이 감소된 샘플링 기법의 성능을 평가하기 위하여 사용될 수도 있다. 그러므로, 최적의 샘플링 기법이 결정될 수 있다.
도 20 은 샘플링 플랜이 그 샘플 플랜에 따라 측정되는 중인 성질의 포지션-의존적 변이에 기초하여 업데이트되는 일 실시예를 예시하는 흐름도이다.
이러한 실시예에서 단계들은 다음을 포함한다:
2002 - 웨이퍼의 성질을 측정하기 위한 샘플링 플랜을 정의하는 단계.
2004 - 스캐너를 사용하여 웨이퍼를 처리하는 단계;
2006 - 기판의 성질을 측정하는 단계;
2008 - 성질의 포지션-의존적 분산을 결정하는 단계 및
2010 - 측정된 성질의 포지션-의존적 분산에 기초하여 샘플링 플랜을 업데이트하는 단계. 샘플링 플랜의 업데이트는, 예를 들어 웨이퍼 내의 샘플링 밀도 및/또는 위치를, 예를 들어 측정된 성질의 포지션-의존적 분산에 기초하여 그리고 예를 들어 가중 최소-자승(WLS) 추정을 사용하여 변경시킴으로써 웨이퍼에 걸친 샘플링을 수정하는 단계를 포함할 수도 있다.
2012 - 업데이트된 샘플링 플랜을 사용하여 웨이퍼의 성질을 측정하는 단계.
도 21 내지 도 24 는 다양한 시물레이션된 포지션 의존적 분산이 있는, 정규화된 모델 불확정성이 아닌 가중된 모델 불확정성을 사용하는 것의 효과를 도시한다.
4 개의 상이한 노이즈 유형들이 시물레이션되고 도 21 에서 도시된다. 웨이퍼 에지 분산은 유형마다 점진적으로 증가된다.
포지션-의존적이지 않는 노이즈 유형(2102)에 기초하여, 최적의 샘플링 기법은 웨이퍼에 걸쳐 X 포지션들 [-5, 0, 5]에 있다. 이러한 기법은 도 20 에서 예시된 노이즈 유형(2104, 2106 및 2108)에 대응하는, 에지에서의 상이한 분산이 있는 사용 사례에 적용될 수 있다. 이러한 사용 사례들은 더 큰 마커가 최적의 샘플링 포지션을 지칭하는 도 22 내지 도 24 에서 예시된다.
도 22 는 도 21 의 2104 에 대응하는, 10 nm 웨이퍼 에지 분산을 가진 노이즈 유형에 대한 nm 단위의 가중된 모델 불확정성의 그래프이다. 도 22 에서 도시되는 바와 같이, 에지 분산이 5nm로부터 10nm로 증가되는 경우, 최적의 기법은 여전히 [-5, 0, 5]와 같이 유지된다.
도 21 의 2106 에 대응하여 에지 분산이 12nm로 부스트되면, 도 23 에서 도시된 바와 같이 샘플링 기법 [-4, 0, 4]가 [-5, 0, 5]보다 더 양호하게 수행하기 시작한다.
만일 에지 분산이 대응하는 도 21 에서 2108 에 대응하여 18nm에 도달한다면, 도 24 에서 도시된 바와 같이 차분은 심지어 ~1nm까지 증가될 수 있다. 따라서 최적의 기법은 균일한 분산 대신에 포지션-의존적 분산을 사용하여 획득될 수도 있다는 것을 알 수 있다.
도 14 내지 도 17 을 참조하여 설명된 실시예는 검사 장치로써 구현될 수 있는 방법의 동작의 일 예를 제공한다. 예를 들어, 도 4 및 도 25 를 참조하면, 검사 장치는 복수의 기판의 성질을 측정하기 위하여 구성될 수 있으며, 이 검사 장치는:
- 기판을 방사선으로써 조명하도록 구성되는, 도 4 의 2, 12, 13, 15, 16, 17 인 조명 시스템;
- 이 조명으로부터 유발되는 산란 성질을 검출하도록 구성되는, 도 4 의 18 및 PU인 검출 시스템; 및
- 적어도 하나의 프로세서, 예를 들어 도 4 의 PU로서, 이제 도 25 를 참조하면:
2506 - 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 생성하되, 상기 샘플링 플랜은 복수의 서브-샘플링 플랜을 포함하도록 구성되는 프로세서를 포함한다. 샘플링 플랜은 선결정된 고정된 개수의 측정 포인트로 제약될 수도 있다;
이 프로세서는
2508 - 개별적인 기판에 대한 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하도록 구성되며; 그리고
2510 - 선택적으로, 복수의 측정의 결과들을 적층하여 샘플링 플랜에 따른 측정 결과를 적어도 부분적으로 재구성하도록 구성된다.
도 14 내지 도 17 을 참조하여 설명된 실시예는, 예를 들어 도 11 을 참조하여 설명된 실시예의 피쳐와 결합될 수도 있다.
이러한 예는, 도 4 및 도 26 을 참조하여 설명될 수도 있는 것과 같은 검사 장치 내에 구현될 수도 있다. 적어도 하나의 프로세서, 예를 들어 도 4 의 PU는 도 26 을 참조하여:
2602 - 처리 장치를 사용한 적어도 하나의 기판의 처리에 관련된 측정된 처리 데이터를 수신하도록;
2604 - 처리 데이터의 변이를 결정하도록; 그리고
2606 - 처리 데이터의 변이에 기초하여 샘플링 플랜을 생성하도록 구성될 수도 있다.
도 26 의 단계 2508 및 2510 은 도 25 를 참조하여 설명된 것과 동일하다.
도 14 내지 도 17 을 참조하여 설명된 실시예도 역시, 예를 들어 도 12 를 참조하여 설명된 실시예의 피쳐와 결합될 수도 있다.
이러한 예는, 도 4 및 도 27 을 참조하여 설명될 수도 있는 것과 같은 검사 장치 내에 구현될 수도 있다. 적어도 하나의 프로세서, 예를 들어 도 4 의 PU는 도 27 을 참조하여:
2702 - 처리 장치를 사용한 적어도 하나의 기판의 처리에 관련된 측정된 처리 데이터, 예컨대 정렬 데이터 또는 레벨링 데이터를 수신하고, 적어도 하나의 기판의 성질의 측정을 수신하도록;
2704 - 측정된 처리 데이터와 성질의 측정의 상관을 결정하도록; 그리고
2706 - 처리 데이터와 측정된 성질의 상관에 기초하여 샘플링 플랜을 생성하도록 구성될 수도 있다.
도 27 의 단계 2508 및 2510 은 도 25 를 참조하여 설명된 것과 동일하다.
도 14 내지 도 17 을 참조하여 설명된 실시예도 역시, 예를 들어 도 9 및 도 20 을 참조하여 설명된 실시예의 피쳐와 결합될 수도 있다.
이러한 예는, 도 4 및 도 28 을 참조하여 설명될 수도 있는 것과 같은 검사 장치 내에 구현될 수도 있다. 적어도 하나의 프로세서, 예를 들어 도 4 의 PU는 도 28 을 참조하여:
2802 - 기판에 걸쳐 두 개 이상의 좌표에서 상이하게 기판에 영향을 주는 특징에 대한 정보를 수신하도록 구성될 수도 있다. 이 정보는 스캐너 처리 작업 정보 및/또는 스캐너 액추에이터 정보와 같은 처리 셋업 정보를 포함할 수도 있다. 이 정보는 측정된 성질의 기판에 걸친 포지션-의존적 분산을 포함할 수도 있다; 그리고
이 프로세서는
2806 - 기판에 걸쳐 두 개 이상의 좌표에서 상이하도록 구성되는 샘플링 플랜을 특성에 대한 수신된 정보에 기초하여 생성하도록 구성될 수도 있다. 이 정보가 측정된 성질의 기판에 걸친 포지션-의존적 분산을 포함하는 경우, 적어도 하나의 프로세서는 도 20 을 참조하여 설명된 바와 같이 가중된 최소-자승 추정을 사용하여 샘플링 플랜을 생성하도록 구성될 수도 있다.
도 28 의 단계 2508 및 2510 은 도 25 를 참조하여 설명된 것과 동일하다.
도 14 내지 도 17 을 참조하여 설명된 실시예도 역시, 샘플링 플랜의 업데이트 단계를 포함하면서, 예를 들어 도 9 및 도 20 을 참조하여 설명된 실시예의 피쳐와 결합될 수도 있다.
이러한 예는, 도 4 및 도 29 를 참조하여 설명될 수도 있는 것과 같은 검사 장치 내에 구현될 수도 있다. 적어도 하나의 프로세서, 예를 들어 도 4 의 PU는 도 29 를 참조하여:
2900 - 개별적인 기판에 대한 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 검사 장치를 제어하도록 구성될 수도 있다. 이것은 도 25 의 단계(2506 및 2508)에 따르는 샘플링 플랜의 생성 이후에 수행될 수도 있다.
이 프로세서는,
2802 - 도 28 의 2802 를 참조하여 설명된 바와 같이, 기판에 걸쳐 두 개 이상의 좌표에서 상이하게 기판에 영향을 주는 특징에 대한 정보를 수신하도록 구성될 수도 있다. 이 정보는 스캐너 처리 작업 정보 및/또는 스캐너 액추에이터 정보와 같은 처리 셋업 정보를 포함할 수도 있다. 이 정보는 측정된 성질의 기판에 걸친 포지션-의존적 분산을 포함할 수도 있다;
이 프로세서는,
2906 - 기판에 걸쳐 두 개 이상의 좌표에서 개별적으로 업데이트된 샘플링 플랜을 기판에 걸쳐 두 개 이상의 좌표에서 상이하게 기판에 영향을 주는 특징에 대한 수신된 정보에 기초하여 생성하도록 구성될 수도 있다. 이 정보가 측정된 성질의 기판에 걸친 포지션-의존적 분산을 포함하는 경우, 적어도 하나의 프로세서는 도 20 을 참조하여 설명된 바와 같이 가중된 최소-자승 추정을 사용하여 샘플링 플랜을 생성하도록 구성될 수도 있다; 그리고
이 프로세서는,
2908 - 개별적인 적어도 하나의 기판에 대한 상이하게 업데이트된 서브-샘플링 플랜을 사용하여 적어도 하나의 기판의 성질의 복수의 측정을 수행하도록 검사 장치를 제어하도록 구성될 수도 있다.
도 29 의 단계 2510 은 도 25 를 참조하여 설명된 것과 동일하다.
웨이퍼 내 및 웨이퍼-웨이퍼 측정을, 서브-샘플링 플랜을 사용하여 두 개 이상의 웨이퍼에 걸쳐 샘플링 플랜을 분배함으로써 분리시키고, 그리고 따라서 측정 포인트들의 두 개의 카테고리를 정의하는 것이 가능하다. 제 1 카테고리는 "웨이퍼 내" 정보에 대한 것이고 제 2 카테고리는 "웨이퍼 사이" 정보에 대한 것이다. 더욱이, 설명된 바와 같이, 샘플링은 두 개 이상의 좌표(예를 들어 x, y 및/또는 반경)에서 변경될 수도 있다. 양자의 접근법은 최적으로는 일련의 N 개의 노광되고 측정된 웨이퍼 상의 예를 들어 M 개의 측정의 최대 개수라는 주어진 제약에 기초하여, 제어된 리소그래피 장치를 달성한다. 최적의 제어는 성질, 예컨대 오버레이 또는 정렬의 오류의 표준 편차를 사용하여 양자화될 수도 있다.
따라서 분산은 복수의 웨이퍼 상의 웨이퍼 당 측정 포인트의 개수의 선택 및 또한 웨이퍼에 걸친 측정 포인트의 위치의 결정을 수반할 수 있다.
복수의 웨이퍼 상의 분산을 위하여: 개수 선택이 이루어질 수 있다: 웨이퍼 내 정보에 대하여 n1 개의 측정 포인트 및 웨이퍼 간 정보에 대하여 n2 개의 측정 포인트; 다음으로 n1 및 n2 모두에 대한 위치 분산이 이루어질 수 있다(여기에서 n1 + n2는 약 M/N이다). 이러한 샘플링 최적화를 위하여 모델의 품질(모델 불확정성 및 비-정정가능 잔차로 표현됨)이 경계 조건으로서 사용될 수도 있다.
웨이퍼에 걸친 분산에 대하여, 밀도에 있어서 x, y 및/또는 반경에서 상이하여, 예를 들어: 리소그래피 또는 계측 장비 성능; x 대 y 방향에서의 상이한 제약이 있는 칩 디자인 규칙; 및 방사상 또는 비정규적 변이 패턴이 있는 웨이퍼 처리 효과에 대한 이러한 방향에서의 차분을 정합시키는 위치 분산이 사용될 수도 있다. 이러한 차분은 샘플링 최적화를 위한 선-정보 / 경계 조건으로서 사용될 수도 있다.
실시예는 독립형 계측 툴로서 사용될 수 있는데, 하지만 집적된 계측 툴이 있는 스캐너의 클러스터 쓰루풋이 최적으로 사용될 수 있기 때문에 통합된 툴에서 실행하는 것도 역시 편리하다.
본 명세서에서 설명되는 방법은 검사 장치의 처리 유닛(PU)을 사용하여 구현될 수 있다. 처리 유닛은 도 3 및 도 4 에서 도시된 바와 같이 산란계 내에 통합될 수 있고, 또는 이것은 예를 들어 독립형 유닛으로서 다른 곳에 위치되거나, 또는 검사 장치를 포함할 수도 있는 장치에 걸쳐 분산될 수도 있다.
실시예는 또한 기판의 성질을 측정하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램 제품을 포함하는데, 이러한 명령은 하나 이상의 프로세서가 본 명세서에서 설명된 실시예 중 임의의 것에 따르는 방법 또는 단계를 수행하게 야기하도록 적응된다.
비록 본문에서 IC의 제조에서 리소그래피 장치를 사용하는 것에 대해서 특별히 언급하였지만, 본 명세서에서 설명되는 리소그래피 장치는 다른 응용 분야, 예컨대 집적 광 시스템의 제조, 자기장 도메인 메모리용 유도 및 검출 패턴, 평판-패널 디스플레이, 액정 디스플레이(LCD), 박막 자기 헤드, 등을 가질 수 있다는 것이 이해되어야 한다. 당업자는, 이러한 다른 응용예의 문맥에서, 본 명세서에서 사용된 "웨이퍼" 또는 "다이"와 같은 어떠한 용어의 사용도 각각 "기판" 또는 "타겟부"와 같은 좀더 일반적인 용어와 동의어로 간주될 수 있음을 이해할 것이다. 본 명세서에서 언급되는 기판은, 노광 전후에, 예컨대 트랙(예를 들어, 통상적으로 기판에 레지스트층을 도포하고 노광된 레지스트를 현상하는 툴), 계측 툴 및/또는 검사 툴에서 처리될 수 있다. 적용 가능한 범위에서, 본 명세서에서의 개시물은 이러한 기판 처리 툴 및 다른 기판 처리 툴에 적용될 수 있다. 또한, 예컨대 다층 집적회로를 생성하기 위하여 기판이 복수 회 처리될 수 있으므로, 본 명세서에 사용되는 기판이라는 용어는 이미 여러 번 처리된 층들을 포함한 기판을 지칭할 수 있다.
비록 특정한 참조가 위에서 광 리소그래피의 콘텍스트에서의 실시예의 사용에 대하여 이루어졌지만, 실시예들이 다른 애플리케이션, 예를 들어 임프린트(imprint) 리소그래피에서 사용될 수도 있고, 콘텍스트가 허용하는 경우 광 리소그래피로 제한되는 것이 아니라는 것이 인정될 것이다. 임프린트 리소그래피에서, 패터닝 장치의 토포그래피는 기판 상에 생성된 패턴을 정의한다. 패터닝 장치의 토포그래피는 기판에 공급된 레지스트의 층에 프레스될 수도 있고, 그 위에서 레지스트는 전자기 방사선, 열, 압력 또는 이들의 조합을 인가함으로써 경화된다. 패터닝 장치는 레지스트가 경화된 후에 레지스트 외부로 이동됨으로써 그 내부에 패턴을 잔류시킨다.
본원에서 사용된 "방사선" 및 "빔"이라는 용어는, 자외(UV) 방사선(예컨대, 약 365, 355, 248, 193, 157, 또는 126 nm의 파장을 가짐) 및 극자외(EUV) 방사선(예컨대, 5-20 nm 범위의 파장을 가짐), 및 이온 빔 또는 전자 빔과 같은 입자 빔을 포함하는, 모든 타입의 전자기 방사선을 망라한다.
본 명세서에 사용된 "렌즈"라는 용어는, 문맥이 허용한다면, 굴절, 회절, 반사, 자기, 전자자기, 및 정전기 광 컴포넌트를 포함하는 다양한 타입의 광 컴포넌트 중 임의의 것 또는 조합을 가리킬 수 있다.
비록 특정한 실시예가 위에서 설명되었지만, 본 발명은 설명된 것과 다르게 실시될 수 있다는 것이 이해될 것이다. 예컨대, 본 발명의 실시예는 위에서 개시된 바와 같은 방법을 기술하는 기계 판독 가능한 명령어들의 하나 이상의 시퀀스들을 포함하는 컴퓨터 프로그램, 또는 이러한 컴퓨터 프로그램이 저장되는 데이터 저장 매체(예컨대, 반도체 메모리, 자기 디스크 또는 광디스크)의 형태를 취할 수 있다.
위의 설명은 한정적인 것이 아니라 예시적인 것이 의도된다. 따라서, 다음 진술되는 청구항의 범위로부터 벗어나지 않으면서, 설명된 바와 같은 본 발명에 변경이 이루어질 수 있다는 것이 당업자에게는 명백할 것이다.

Claims (16)

  1. 복수의 기판의 성질을 측정하도록 구성되는 검사 장치로서,
    - 상기 기판을 방사선으로써 조명하도록 구성되는 조명 시스템;
    - 상기 조명으로부터 유발되는 산란된 방사선을 검출하도록 구성되는 검출 시스템; 및
    - 적어도 하나의 프로세서로서:
    - 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 생성하되, 상기 샘플링 플랜은 복수의 서브-샘플링 플랜을 포함하고, 상기 서브-샘플링 플랜은 상기 샘플링 플랜의 서브-세트이고;
    - 각각의 기판에 대해 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하도록 구성되는, 프로세서를 포함하는, 검사 장치.
  2. 제 1 항에 있어서,
    상기 적어도 하나의 프로세서는 측정 포인트의 선결정된 고정된 개수로 한정되는 샘플링 플랜을 생성하도록 구성되는, 검사 장치.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 프로세서는 상기 복수의 기판의 복수의 노광 필드에 걸쳐 분산되도록 구성되는 샘플링 플랜을 생성하도록 구성되는, 검사 장치.
  4. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 프로세서는:
    - 처리 장치를 사용한 적어도 하나의 기판의 처리에 관련된 측정된 처리 데이터를 수신하도록; 그리고
    - 상기 처리 데이터의 변이를 결정하도록 구성되고,
    상기 적어도 하나의 프로세서는 상기 처리 데이터의 변이에 기초하여 상기 샘플링 플랜을 생성하도록 구성되는, 검사 장치.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 프로세서는:
    - 처리 장치를 사용한 적어도 하나의 기판의 처리에 관련된 측정된 처리 데이터를 수신하도록;
    - 적어도 하나의 기판의 성질의 측정을 수신하도록; 그리고
    - 상기 측정된 처리 데이터의 상기 성질의 측정과의 상관을 결정하도록 구성되고,
    상기 적어도 하나의 프로세서는 상기 처리 데이터의 측정된 성질과의 상관에 기초하여 상기 샘플링 플랜을 생성하도록 구성되는, 검사 장치.
  6. 제 4 항에 있어서,
    상기 처리 데이터는 정렬 데이터를 포함하는, 검사 장치.
  7. 제 4 항에 있어서,
    상기 처리 데이터는 레벨링 데이터(leveling data)를 포함하는, 검사 장치.
  8. 제 1 항 또는 제 2 항에 있어서,
    상기 적어도 하나의 프로세서는:
    - 기판에 걸쳐 두 개 이상의 좌표에서 상이하게 상기 기판에 영향을 주는 특성에 대한 정보를 수신하도록; 그리고
    - 상기 기판에 걸쳐 두 개 이상의 좌표에서 상이하도록 구성되는 샘플링 플랜을 상기 특성에 대한 수신된 정보에 기초하여 생성하도록 구성되는, 검사 장치.
  9. 제 8 항에 있어서,
    상기 적어도 하나의 프로세서는:
    - 기판에 걸쳐 두 개 이상의 좌표에서 개별적으로 업데이트되는 샘플링 플랜을, 기판에 걸쳐 두 개 이상의 좌표에서 상이하게 상기 기판에 영향을 주는 특성에 대한 수신된 정보에 기초하여 생성하도록; 그리고
    - 상기 적어도 하나의 기판에 대한 상이한 업데이트된 서브-샘플링 플랜을 사용하여 적어도 하나의 기판의 속성의 복수의 측정을 수행하도록 상기 검사 장치를 제어하도록 구성되는, 검사 장치.
  10. 제 8 항에 있어서,
    기판에 걸쳐 두 개 이상의 좌표에서 상이하게 상기 기판에 영향을 주는 특성에 대한 상기 정보는, 프로세스 셋업 정보를 포함하는, 검사 장치.
  11. 제 10 항에 있어서,
    상기 프로세스 셋업 정보는 스캐너 처리 작업 정보를 포함하는, 검사 장치.
  12. 제 10 항에 있어서,
    상기 프로세스 셋업 정보는 스캐너 액추에이터 정보를 포함하는, 검사 장치.
  13. 제 8 항에 있어서,
    기판에 걸쳐 두 개 이상의 좌표에서 상이하게 상기 기판에 영향을 주는 특성에 대한 상기 정보는, 측정된 성질의 기판에 걸친 포지션-의존 분산을 포함하는, 검사 장치.
  14. 제 13 항에 있어서,
    상기 적어도 하나의 프로세서는 가중된 최소-자승 추정을 사용하여 상기 샘플링 플랜을 생성하도록 구성되는, 검사 장치.
  15. 노광 시스템 및 제 1 항 또는 제 2 항에 따른 검사 장치를 포함하는 리소그래피 장치로서,
    적어도 하나의 프로세서를 포함하고, 상기 적어도 하나의 프로세서는:
    복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하기 이전에, 상기 복수의 기판을 노광시키도록 노광 시스템을 제어하도록; 그리고
    상기 복수의 측정에 기초한 조건으로써 적어도 하나의 후속 기판을 처리하도록 상기 노광 시스템을 제어하도록 구성되는, 리소그래피 장치.
  16. 기판의 성질을 측정하기 위한 머신-판독가능 명령의 하나 이상의 시퀀스를 포함하는 컴퓨터 프로그램이 저장된 컴퓨터 판독가능 매체로서,
    상기 명령은, 적어도 하나의 프로세서가 제 1 항 또는 제 2 항에 따른 검사 장치에서 이용될 때:
    - 기판의 성질을 측정하기 위하여 정의된 샘플링 플랜을 생성하는 동작으로서, 상기 샘플링 플랜은 복수의 서브-샘플링 플랜을 포함하고, 상기 서브-샘플링 플랜은 상기 샘플링 플랜의 서브-세트인, 샘플링 플랜 생성 동작; 및
    - 각각의 기판에 대해 상이한 서브-샘플링 플랜을 사용하여 복수의 기판의 성질의 복수의 측정을 수행하도록 상기 검사 장치를 제어하는 동작
    을 포함하는 동작을 수행하게 하도록 구성된, 컴퓨터 판독가능 매체.
KR1020147014929A 2011-12-23 2012-11-22 기판의 성질을 측정하기 위한 방법 및 장치 KR101705275B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201161579969P 2011-12-23 2011-12-23
US61/579,969 2011-12-23
PCT/EP2012/073396 WO2013092106A1 (en) 2011-12-23 2012-11-22 Methods and apparatus for measuring a property of a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020177003118A Division KR101848340B1 (ko) 2011-12-23 2012-11-22 기판의 성질을 측정하기 위한 방법 및 장치

Publications (2)

Publication Number Publication Date
KR20140083055A KR20140083055A (ko) 2014-07-03
KR101705275B1 true KR101705275B1 (ko) 2017-02-09

Family

ID=47324104

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020147014929A KR101705275B1 (ko) 2011-12-23 2012-11-22 기판의 성질을 측정하기 위한 방법 및 장치
KR1020177003118A KR101848340B1 (ko) 2011-12-23 2012-11-22 기판의 성질을 측정하기 위한 방법 및 장치

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020177003118A KR101848340B1 (ko) 2011-12-23 2012-11-22 기판의 성질을 측정하기 위한 방법 및 장치

Country Status (5)

Country Link
US (5) US9594029B2 (ko)
KR (2) KR101705275B1 (ko)
IL (1) IL232255B (ko)
NL (1) NL2009853A (ko)
WO (1) WO2013092106A1 (ko)

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US10242290B2 (en) 2012-11-09 2019-03-26 Kla-Tencor Corporation Method, system, and user interface for metrology target characterization
US10955359B2 (en) * 2013-11-12 2021-03-23 International Business Machines Corporation Method for quantification of process non uniformity using model-based metrology
CN105700297B (zh) * 2014-11-27 2018-01-26 上海微电子装备(集团)股份有限公司 振幅监测系统、调焦调平装置及离焦量探测方法
KR20170124578A (ko) * 2015-04-10 2017-11-10 에이에스엠엘 네델란즈 비.브이. 검사와 계측을 위한 방법 및 장치
US10754260B2 (en) 2015-06-18 2020-08-25 Kla-Tencor Corporation Method and system for process control with flexible sampling
WO2017053150A1 (en) * 2015-09-21 2017-03-30 Kla-Tencor Corporation Method and system for process control with flexible sampling
KR102190292B1 (ko) 2015-12-31 2020-12-14 에이에스엠엘 네델란즈 비.브이. 패터닝 공정들을 위한 측정 위치들의 선택
US10234401B2 (en) * 2016-02-22 2019-03-19 Qoniac Gmbh Method of manufacturing semiconductor devices by using sampling plans
KR102162174B1 (ko) * 2016-03-11 2020-10-07 에이에스엠엘 네델란즈 비.브이. 제조 프로세스를 제어하기 위한 보정들을 계산하는 방법, 계측 장치, 디바이스 제조 방법 및 모델링 방법
KR102353216B1 (ko) * 2016-05-12 2022-01-18 에이에스엠엘 네델란즈 비.브이. 측정치 획득 방법, 프로세스 단계 수행 장치, 계측 장치, 디바이스 제조 방법
EP3318927A1 (en) * 2016-11-04 2018-05-09 ASML Netherlands B.V. Method and apparatus for measuring a parameter of a lithographic process, computer program products for implementing such methods & apparatus
EP3321737A1 (en) * 2016-11-10 2018-05-16 ASML Netherlands B.V. Method for determining an optimized set of measurement locations for measurement of a parameter of a lithographic process, metrology system
JP6877541B2 (ja) 2016-11-14 2021-05-26 ケーエルエー コーポレイション 一体型メトロロジツールを有する機能性が強化されたリソグラフィシステム
US10394985B2 (en) * 2017-01-11 2019-08-27 Samsung Electronics Co., Ltd. Apparatus and method for modeling random process using reduced length least-squares autoregressive parameter estimation
KR102296942B1 (ko) 2017-05-05 2021-09-01 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 프로세스의 수율의 예측 방법
EP3518040A1 (en) 2018-01-30 2019-07-31 ASML Netherlands B.V. A measurement apparatus and a method for determining a substrate grid
EP3531207A1 (en) 2018-02-27 2019-08-28 ASML Netherlands B.V. Alignment mark positioning in a lithographic process
TWI729334B (zh) 2018-06-07 2021-06-01 荷蘭商Asml荷蘭公司 用於判定器件之控制方案的方法、電腦程式和系統及用於判定多個器件處理之基板的方法
EP3579051A1 (en) 2018-06-07 2019-12-11 ASML Netherlands B.V. Generation of sampling scheme
KR20230130767A (ko) 2018-11-07 2023-09-12 에이에스엠엘 네델란즈 비.브이. 공정에 대한 보정 결정
EP3734363A1 (en) * 2019-04-29 2020-11-04 ASML Netherlands B.V. Determining a mark layout across a patterning device or substrate
EP3657281B1 (en) 2018-11-26 2022-11-30 ASML Netherlands B.V. Control strategy evaluation tool for a semiconductor manufacturing process and its user interface
EP3705959A1 (en) 2019-03-04 2020-09-09 ASML Netherlands B.V. Method for determining root causes of events of a semiconductor manufacturing process and for monitoring a semiconductor manufacturing process
CN113168110B (zh) * 2018-11-26 2024-04-16 Asml荷兰有限公司 确定整个图案形成装置或衬底上的标记布局
KR102649158B1 (ko) 2018-12-03 2024-03-20 에이에스엠엘 네델란즈 비.브이. 반도체 제조 공정의 수율을 예측하는 방법
WO2020114692A1 (en) 2018-12-07 2020-06-11 Asml Netherlands B.V. Method for determining root cause affecting yield in a semiconductor manufacturing process
WO2020123014A1 (en) * 2018-12-14 2020-06-18 Kla Corporation Per-site residuals analysis for accurate metrology measurements
EP3731019A1 (en) * 2019-04-24 2020-10-28 ASML Netherlands B.V. Method of determining a measurement subset of metrology points on a substrate, associated apparatus and computer program
EP3948422A1 (en) * 2019-03-25 2022-02-09 ASML Netherlands B.V. Method of determining a set of metrology points on a substrate, associated apparatus and computer program
KR20210154243A (ko) 2019-05-22 2021-12-20 에이에스엠엘 네델란즈 비.브이. 샘플링 스킴을 결정하기 위한 방법, 반도체 기판 측정 장치, 및 리소그래피 장치
WO2021032376A1 (en) 2019-08-20 2021-02-25 Asml Netherlands B.V. Method for controlling a semiconductor manufacturing process
EP3848757A1 (en) 2020-01-13 2021-07-14 ASML Netherlands B.V. Method for controlling a lithographic apparatus
KR20220034902A (ko) 2019-08-22 2022-03-18 에이에스엠엘 네델란즈 비.브이. 리소그래피 장치 제어 방법
CN112687559B (zh) * 2019-10-18 2022-07-26 夏泰鑫半导体(青岛)有限公司 晶圆检测方法
JP2022020938A (ja) * 2020-07-21 2022-02-02 株式会社日本製鋼所 レーザアニール装置、レーザアニール方法、及び半導体装置の製造方法
US11429091B2 (en) * 2020-10-29 2022-08-30 Kla Corporation Method of manufacturing a semiconductor device and process control system for a semiconductor manufacturing assembly
KR20230121053A (ko) * 2020-12-21 2023-08-17 에이에스엠엘 네델란즈 비.브이. 리소그래피 공정을 모니터링하는 방법
EP4050416A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Lithographic method
KR20230122610A (ko) 2020-12-24 2023-08-22 에이에스엠엘 네델란즈 비.브이. 리소그래피 방법
EP4050328A1 (en) 2021-02-25 2022-08-31 ASML Netherlands B.V. Method to predict metrology offset of a semiconductor manufacturing process
EP4071553A1 (en) 2021-04-07 2022-10-12 ASML Netherlands B.V. Method of determining at least a target layout and associated metrology apparatus
WO2023129140A1 (en) * 2021-12-28 2023-07-06 The Curators Of The University Of Missouri Transverse magnetic mode split post dielectric resonator

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080286885A1 (en) * 2007-04-23 2008-11-20 Pavel Izikson Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
US20110202298A1 (en) 2010-02-18 2011-08-18 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5144476A (en) 1989-04-24 1992-09-01 Kebo Reynold S All-reflective zoom optical system
JP2821441B2 (ja) 1996-08-23 1998-11-05 山形日本電気株式会社 重ね合せずれ量の計測方法
US5982920A (en) * 1997-01-08 1999-11-09 Lockheed Martin Energy Research Corp. Oak Ridge National Laboratory Automated defect spatial signature analysis for semiconductor manufacturing process
US6456736B1 (en) * 1999-02-16 2002-09-24 Applied Materials, Inc. Automatic field sampling for CD measurement
IL131092A (en) 1999-07-25 2006-08-01 Orbotech Ltd Optical inspection system
US6620625B2 (en) * 2000-01-06 2003-09-16 Caliper Technologies Corp. Ultra high throughput sampling and analysis systems and methods
US6442496B1 (en) 2000-08-08 2002-08-27 Advanced Micro Devices, Inc. Method and apparatus for dynamic sampling of a production line
US7317531B2 (en) * 2002-12-05 2008-01-08 Kla-Tencor Technologies Corporation Apparatus and methods for detecting overlay errors using scatterometry
TW563042B (en) * 2001-02-26 2003-11-21 Macronix Int Co Ltd Overlay error mode, its sampling strategy procedure and device using the mode and strategy procedure
US7698012B2 (en) * 2001-06-19 2010-04-13 Applied Materials, Inc. Dynamic metrology schemes and sampling schemes for advanced process control in semiconductor processing
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6821792B1 (en) 2001-12-18 2004-11-23 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment state information
US6650955B1 (en) 2001-12-18 2003-11-18 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on process and equipment fingerprinting
US6687561B1 (en) * 2002-04-03 2004-02-03 Advanced Micro Devices, Inc. Method and apparatus for determining a sampling plan based on defectivity
US7069104B2 (en) * 2002-04-30 2006-06-27 Canon Kabushiki Kaisha Management system, management apparatus, management method, and device manufacturing method
US6754593B1 (en) * 2002-06-06 2004-06-22 Advanced Micro Devices, Inc. Method and apparatus for measuring defects
JP2004012365A (ja) * 2002-06-10 2004-01-15 Nikon Corp 基板検査システムおよび基板検査方法
US6766214B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Adjusting a sampling rate based on state estimation results
US7050879B1 (en) * 2003-04-03 2006-05-23 Advanced Micro Devices, Inc. Adjusting a sampling protocol in an adaptive control process
US6859746B1 (en) * 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US6985825B1 (en) * 2003-07-15 2006-01-10 Advanced Micro Devices, Inc. Method and apparatus for adaptive sampling based on process covariance
US6988045B2 (en) * 2003-08-04 2006-01-17 Advanced Micro Devices, Inc. Dynamic metrology sampling methods, and system for performing same
US7020535B1 (en) * 2003-11-06 2006-03-28 Advanced Micro Devices, Inc. Method and apparatus for providing excitation for a process controller
US7791727B2 (en) 2004-08-16 2010-09-07 Asml Netherlands B.V. Method and apparatus for angular-resolved spectroscopic lithography characterization
US7197722B2 (en) 2004-09-30 2007-03-27 Intel Corporation Optimization of sample plan for overlay
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US7308329B2 (en) * 2004-12-28 2007-12-11 Olympus Corporation Method and apparatus for inspecting semiconductor wafer
JP4734002B2 (ja) * 2005-03-16 2011-07-27 株式会社東芝 検査システム及び半導体装置の製造方法
JP4693464B2 (ja) * 2005-04-05 2011-06-01 株式会社東芝 品質管理システム、品質管理方法及びロット単位のウェハ処理方法
US7586609B2 (en) * 2005-04-21 2009-09-08 Macronix International Co., Ltd. Method for analyzing overlay errors
US7649614B2 (en) * 2005-06-10 2010-01-19 Asml Netherlands B.V. Method of characterization, method of characterizing a process operation, and device manufacturing method
US7445945B1 (en) 2005-08-01 2008-11-04 Advanced Micro Devices, Inc. Method and apparatus for dynamic adjustment of a sampling plan based on wafer electrical test data
US7460968B1 (en) * 2005-09-30 2008-12-02 Advanced Micro Devices, Inc. Method and apparatus for selecting wafers for sampling
US8284394B2 (en) 2006-02-09 2012-10-09 Kla-Tencor Technologies Corp. Methods and systems for determining a characteristic of a wafer
US7257502B1 (en) * 2006-02-28 2007-08-14 Advanced Micro Devices, Inc. Determining metrology sampling decisions based on fabrication simulation
TW200746259A (en) 2006-04-27 2007-12-16 Nikon Corp Measuring and/or inspecting method, measuring and/or inspecting apparatus, exposure method, device manufacturing method, and device manufacturing apparatus
US7330800B1 (en) 2006-10-09 2008-02-12 Advanced Micro Devices, Inc. Method and apparatus for selecting sites for sampling
US7565254B2 (en) * 2006-12-13 2009-07-21 Advanced Micro Devices, Inc. Method and apparatus for metrology sampling using combination sampling rules
US7571420B2 (en) 2007-02-16 2009-08-04 Intel Corporation Dynamic sampling with efficient model for overlay
HU229699B1 (en) 2007-05-23 2014-05-28 Mta Termeszettudomanyi Kutatokoezpont Mta Ttk Imaging optical checking device with pinhole camera (reflectometer, polarimeter, ellipsicmeter)
JP2009176909A (ja) 2008-01-24 2009-08-06 Toshiba Corp 抜取検査の評価方法及び抜取検査の評価装置
US20090291510A1 (en) 2008-05-20 2009-11-26 International Business Machines Corporation Method for creating wafer test pattern
US20100084481A1 (en) 2008-10-02 2010-04-08 Silverbrook Research Pty Ltd Coding pattern having merged data symbols
JP5368261B2 (ja) 2008-11-06 2013-12-18 ギガフォトン株式会社 極端紫外光源装置、極端紫外光源装置の制御方法
US8283643B2 (en) 2008-11-24 2012-10-09 Cymer, Inc. Systems and methods for drive laser beam delivery in an EUV light source
US8108060B2 (en) * 2009-05-13 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for implementing a wafer acceptance test (“WAT”) advanced process control (“APC”) with novel sampling policy and architecture
NL2004887A (en) 2009-06-24 2010-12-27 Asml Netherlands Bv Method for selecting sample positions on a substrate, method for providing a representation of a model of properties of a substrate, method of providing a representation of the variation of properties of a substrate across the substrate and device manufacturing method.
NL2004837A (en) 2009-07-09 2011-01-10 Asml Netherlands Bv Radiation system and lithographic apparatus.
KR20120044376A (ko) * 2009-08-04 2012-05-07 에이에스엠엘 네델란즈 비.브이. 대상물 검사 시스템 및 방법
KR101452852B1 (ko) * 2009-10-13 2014-10-22 에이에스엠엘 네델란즈 비.브이. 검사 방법 및 장치
US8559001B2 (en) 2010-01-11 2013-10-15 Kla-Tencor Corporation Inspection guided overlay metrology
NL2006700A (en) 2010-06-04 2011-12-06 Asml Netherlands Bv Method and apparatus for measuring a structure on a substrate, computer program products for implementing such methods & apparatus.
US9606453B2 (en) * 2010-09-30 2017-03-28 Kla-Tencor Corporation Method and system for providing tool induced shift using a sub-sampling scheme
EP2694983B1 (en) * 2011-04-06 2020-06-03 KLA-Tencor Corporation Method and system for providing a quality metric for improved process control
JP5707291B2 (ja) * 2011-09-29 2015-04-30 株式会社日立ハイテクノロジーズ 画像分類支援を行う荷電粒子線装置
NL2009853A (en) 2011-12-23 2013-06-26 Asml Netherlands Bv Methods and apparatus for measuring a property of a substrate.
US9588441B2 (en) * 2012-05-18 2017-03-07 Kla-Tencor Corporation Method and device for using substrate geometry to determine optimum substrate analysis sampling
US10466596B2 (en) * 2014-02-21 2019-11-05 Kla-Tencor Corporation System and method for field-by-field overlay process control using measured and estimated field parameters

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080286885A1 (en) * 2007-04-23 2008-11-20 Pavel Izikson Methods and systems for creating or performing a dynamic sampling scheme for a process during which measurements are performed on wafers
US20110202298A1 (en) 2010-02-18 2011-08-18 Kla-Tencor Corporation Method and system for providing process tool correctables using an optimized sampling scheme with smart interpolation

Also Published As

Publication number Publication date
KR20140083055A (ko) 2014-07-03
US20140354969A1 (en) 2014-12-04
US20170160073A1 (en) 2017-06-08
US10317191B2 (en) 2019-06-11
KR101848340B1 (ko) 2018-04-12
US20200319118A1 (en) 2020-10-08
IL232255A0 (en) 2014-06-30
US9594029B2 (en) 2017-03-14
IL232255B (en) 2020-01-30
WO2013092106A1 (en) 2013-06-27
US20190301850A1 (en) 2019-10-03
KR20170018097A (ko) 2017-02-15
NL2009853A (en) 2013-06-26
US10996176B2 (en) 2021-05-04
US10746668B2 (en) 2020-08-18
US20210215622A1 (en) 2021-07-15

Similar Documents

Publication Publication Date Title
US10996176B2 (en) Methods and apparatus for measuring a property of a substrate
US11828585B2 (en) Inspection method and apparatus, lithographic apparatus, lithographic processing cell and device manufacturing method
TWI430333B (zh) 決定疊對誤差之方法及裝置
KR101865641B1 (ko) 검사 방법, 리소그래피 장치, 마스크 및 기판
KR101410846B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
US9704810B2 (en) Method and apparatus for determining an overlay error
KR101257453B1 (ko) 리소그래피에 사용하는 검사 방법
KR20190029698A (ko) 메트롤로지 타겟 필드의 디자인을 위한 장치 및 방법
KR20160103131A (ko) 메트롤로지 타겟의 디자인을 위한 방법 및 장치
JP2012515431A (ja) 検査方法、検査システム、基板、およびマスク
KR20160103132A (ko) 메트롤로지 타겟의 디자인을 위한 방법 및 장치
KR101227641B1 (ko) 리소그래피 장치 및 디바이스 제조 방법
KR20180095605A (ko) 스캐터로메트리에서의 편광 튜닝
KR102109508B1 (ko) 디바이스 제조 방법과 관련 리소그래피 장치, 검사 장치 및 리소그래피 처리 셀
KR101887924B1 (ko) 검사 방법 및 장치, 및 리소그래피 장치
TW202227904A (zh) 度量衡方法及相關的度量衡及微影設備

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
A107 Divisional application of patent
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200128

Year of fee payment: 4