KR101450500B1 - 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템 - Google Patents

레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템 Download PDF

Info

Publication number
KR101450500B1
KR101450500B1 KR1020137018479A KR20137018479A KR101450500B1 KR 101450500 B1 KR101450500 B1 KR 101450500B1 KR 1020137018479 A KR1020137018479 A KR 1020137018479A KR 20137018479 A KR20137018479 A KR 20137018479A KR 101450500 B1 KR101450500 B1 KR 101450500B1
Authority
KR
South Korea
Prior art keywords
metrology
target structure
initial
wafer
metrology target
Prior art date
Application number
KR1020137018479A
Other languages
English (en)
Other versions
KR20130087623A (ko
Inventor
마크 스미스
로버트 하디스터
마이크 포슈코프스키
아미르 비트만
엘야킴 카젤
마이크 아델
Original Assignee
케이엘에이-텐코 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 케이엘에이-텐코 코포레이션 filed Critical 케이엘에이-텐코 코포레이션
Publication of KR20130087623A publication Critical patent/KR20130087623A/ko
Application granted granted Critical
Publication of KR101450500B1 publication Critical patent/KR101450500B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/20Design optimisation, verification or simulation
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/44Testing or measuring features, e.g. grid patterns, focus monitors, sawtooth scales or notched scales

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Preparing Plates And Mask In Photomechanical Process (AREA)

Abstract

레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내기 위한 컴퓨터 구현방법, 전송매체, 그리고 시스템이 제공된다. 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내기 위한 한 컴퓨터 구현방법은 웨이퍼 상에서 메트롤로지 타깃 구조를 형성하는 데에 사용될 하나 이상의 제조 공정들 그리고 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성되는지를 모의 실험하는 것을 포함한다. 이 방법은 또한 모의 실험하는 단계의 결과를 기초로 메트롤로지 타깃 구조 디자인을 만들어내는 것을 포함한다.

Description

레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템{COMPUTER-IMPLEMENTED METHODS, CARRIER MEDIA, AND SYSTEMS FOR CREATING A METROLOGY TARGET STRUCTURE DESIGN FOR A RETICLE LAYOUT}
본 출원은 “메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 시뮬레이션 엔진 및 시스템”이라고 표제가 붙여진 미국 가출원 번호 제 60/782,075 호에 대한 우선권을 주장하고 있는데, 이는 2006년 3월 14일에 제출된 것으로, 여기에서 전부 설명된 것과 같이 참조문이 첨부되어 있다.
본 발명은 일반적으로 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송 매체, 및 시스템과 관련되어 있다. 어떤 구현들은 어떻게 하나 이상의 초기 메트롤로지 타깃 구조가 하나 이상의 제조 공정과 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 기초로 웨이퍼 상에서 형성될지 모의 실험하는 단계와 모의 실험하는 단계의 결과를 기초로 메트롤로지 타깃 디자인을 생성하는 단계를 포함하는 컴퓨터 구현방법과 관련되어 있다.
다음의 설명과 예시들은 본 섹션에 포함되었다는 이유로 선행 기술로 인정되지 않는다.
논리회로와 메모리 장치 같은 반도체 장치들을 제조하는 것은 대체로 다양한 피처(feature)들과 반도체 장치의 다양한 레벨을 형성하기 위해 많은 반도체 제조 공정을 이용하여 반도체 웨이퍼 같은 회로기판을 프로세싱하는 것을 포함한다. 예를 들어, 리소그래피는 레티클로부터의 패턴을 반도체 웨이퍼 상에 배열된 레지스트로 전사시키는 것을 포함하는 반도체 제조 공정이다. 반도체 제조 공정의 추가적인 예들은 화학적 기계적 연마, 식각, 증착, 그리고 이온 주입법 등을 포함하지만, 이것들에만 국한되지는 않는다. 다수의 반도체 장치들이 반도체 웨이퍼 상에서 배열되어 제조되며, 그런 다음 각각의 반도체 장치로 분리될 수 있다.
첨단 반도체 장치들의 크기가 지속적으로 작아짐에 따라, 공정 제어 윈도우도 이와 같게 작아진다. 따라서 반도체 공정의 모니터링과 제어는 반도체 개발과 제작에서 상당히 중요하며 또 계속 중요해질 것이다. 결과적으로, 반도체 제조 공정을 모니터하고 제어하기 위하여 측정될 수 있고 사용될 수 있는 타깃 구조를 개선시키기 위한 중대한 노력이 이루어져 왔으며 앞으로도 계속 이루어질 수 있다.
현재, 메트롤로지 기술자들은 그래픽 데이터 열(GDS) 메트롤로지 타깃 라이브러리로 작업하거나, 아니면 리소그래피 디자인룰, 공정 제약, 그리고 메트롤로지 실행고려사항과 호환성이 있는 메트롤로지 타깃 구조를 디자인하기 위한 최선의 노력을 하기 위해 알려진 경험상의 방법에 기반을 둔 일반적인 타깃 디자인을 수정하기 위해 레이아웃 기술자들과 협력한다. 메트롤로지 타깃 구조 최적화를 위한 다른 일반적인 방법은 웨이퍼 상에 서로다른 몇 개의 메트롤로지 타깃 구조 디자인들을 나란히 인쇄하는 것을 포함한다. 최적화는 장치 자체를 위해 실행될 수 있으며, 그러고 나면 인쇄된 몇몇 디자인 중 최고의 타깃이 장치를 제조하기 위한 용도로 선택될 것이다.
그러나, 위에서 설명된 것처럼 메트롤로지 타깃 구조를 만들어내는 것은 많은 단점이 있다. 예를 들어, 이러한 메트롤로지 타깃 구조를 만들어내는 것은 대체로 시간이 소모되는 일이다. 특히 메트롤로지 구조 디자인에 대한 이러한 시행 착오방식의 접근법은 상대적으로 긴 시간이 걸린다. 이런 방법으로 메트롤로지 구조를 만들어내는 것은 또한 가끔씩은 세 번의 마스크 디자인을 반복하고 나서야 비로소 디자인이 만족스러워지기도 한다. 게다가, 상기와 같은 메트롤로지 구조의 생성은 메트롤로지 기술자를 항상 지원할 수 있지만은 않은 여러 영역의 많은 전문가들로부터의 기술적 지원을 필요로 한다. 게다가 이런 방법으로 메트롤로지 구조를 만들어내는 것은 리소그래피 호환성, 공정 호환성, 그리고 메트롤로지 호환성 등을 포함하는 세 영역 가운데 적어도 한 영역에서 최적 상태에는 못 미치는 타깃 디자인을 만들어낼 수 있다. 게다가, 웨이퍼 상에 몇 개의 서로다른 메트롤로지 타깃 구조 디자인을 나란히 인쇄하는 것을 포함하는 위에서 설명된 방법들은 장치 인쇄 및 장치 프로세싱에 최적화가 집중되도록 하는 대신에 메트롤로지 타깃의 특정 최적화를 요구하기 때문에 번거롭다.
따라서, 디자인 공정에서 포함된 많은 디자인 싸이클을 줄이고, 디자인 공정을 자동화하여 타깃 디자인을 검사용 및/또는 제품용 레티클내로 삽입하는 것을 수행하기 위하여 메트롤로지 기술자에게 요구되는 작업 부하량와 전문적 기술 수준을 감소시키는 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하는 컴퓨터 구현방법, 전송 매체, 및/또는 시스템을 개발하는 것이 이익이 될 것이다.
컴퓨터 구현방법, 전송 매체, 그리고 시스템의 다양한 구현에 대한 다음의 설명은 어떤 식으로든 첨부된 청구항의 내용을 제한하는 것으로 해석될 수 없다.
한 구현은 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내기 위한 컴퓨터 구현방법과 관련되어 있다. 본 방법은 웨이퍼 상의 메트롤로지 타깃 구조를 형성하는 데에 사용될 하나 이상의 제조 공정과 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 기초로 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성될 것인지 모의 실험하는 것을 포함한다. 본 방법은 또한 모의 실험하는 단계의 결과를 기초로 메트롤로지 타깃 구조 디자인을 만들어내는 것을 포함한다.
한 구현에서는, 컴퓨터 구현방법의 모든 단계들은 자동적으로 실행된다. 다른 한 구현에서는, 컴퓨터 구현방법의 모든 단계들은 레티클 레이아웃을 사용하는 레티클의 제조에 우선되어 실행된다.
한 구현에서는, 본 방법은 하나 이상의 제조 공정을 기술하는 하나 이상의 파라미터를 기반으로 선택된 일련의 규칙들을 사용하여 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 생성하는 것을 포함한다. 다른 한 구현에서는, 본 방법은 웨이퍼 상의 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정을 기초로 하나 이상의 초기 메트롤로지 타깃 구조에 대한 측정을 모의 실험함으로써 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 생성하는 것을 포함한다. 추가적인 구현에서는, 본 방법은 웨이퍼 상의 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정을 기술하는 하나 이상의 파라미터를 기반으로 선택된 일련의 규칙들을 사용하여 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 생성하는 것을 포함한다.
한 구현에서는, 본 방법은 하나 이상의 메트롤로지 타깃 구조가 웨이퍼 상에서 어떻게 형성될지 모의 실험한 결과와 웨이퍼 상의 메트롤로지 타깃 구조를 측정하는 데 사용될 하나 이상의 메트롤로지 공정들을 기반으로 웨이퍼 상에서 형성된 하나 이상의 초기 메트롤로지 타깃 구조에 대한 측정을 모의 실험하는 것을 포함한다. 이 같은 한 구현에서는, 생성 단계는 하나 이상의 초기 메트롤로지 타깃 구조가 어떻게 웨이퍼 상에서 형성될지 모의 실험한 것의 결과와 이 측정을 모의 실험한 것의 결과를 기초로 메트롤로지 타깃 구조 디자인을 생성하는 것을 포함한다.
어떤 구현들에서는, 모의 실험하는 단계는 하나 이상의 초기 메트롤로지 타깃 구조가 어떻게 하나 이상의 제조 공정에 대한 하나 이상의 파라미터의 여러 가지 값으로 웨이퍼 상에서 형성될지 모의 실험하는 것을 포함한다. 이러한 한 구현에서, 생성 단계는 모의 실험하는 단계의 결과에 기반을 둔 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 위한 공정 윈도우를 판정하는 것과, 만들어진 메트롤로지 타깃 구조 디자인처럼 최대의 공정 윈도우를 가지고 있는 초기 메트롤로지 타깃 구조 디자인을 선택하는 것을 포함한다. 이러한 또 다른 구현에서는, 만들어내 단계는 모의 실험하는 단계의 결과에 기반을 둔 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 위한 공정 윈도우를 판정하는 것, 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 위한 공정 윈도우를 하나 이상의 제조 공정을 사용하여 웨이퍼 상에서 형성되는 장치 구조용 공정 윈도우에 비교하는 것, 그리고 장치 구조용 공정 윈도우보다 큰 공정 윈도우를 가지고 있는 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 기초로 메트롤로지 타깃 구조 디자인을 선택하는 것을 포함한다.
어떤 구현들에서는, 만들어내는 단계는 이 모의 실험하는 단계의 결과에 제약을 적용하는 것을 포함한다. 웨이퍼 상에서 메트롤로지 타깃 구조를 측정하는 데에 사용될 제약은 하나 이상의 제조 공정과 하나 이상의 메트롤로지 공정에 대한 제한을 나타낸다. 다른 한 구현에서는, 만들어내는 단계는 모의 실험하는 단계의 결과를 기초로 하나 이상의 초기 메트롤로지 타깃 구조 디자인 중 하나를 최적화하는 것을 포함한다. 추가적인 한 구현에서는, 본 방법은 레티클 레이아웃용 표준 형식에서 만들어진 메트롤로지 타깃 구조 디자인에 대한 레이아웃을 저장하는 것을 포함한다.
한 구현에서는, 하나 이상의 제조 공정은 리소그래피를 포함한다. 다른 한 구현에서는, 하나 이상의 제조 공정은 식각을 포함한다. 추가적인 한 구현에서는, 하나 이상의 제조 공정은 화학적 기계적 연마를 포함한다. 다른 한 구현에서는 하나 이상의 제조 공정은 증착을 포함한다.
어떤 구현들에서는, 생성 단계는 하나 이상의 초기 메트롤로지 타깃 구조 디자인이 모의 실험하는 단계의 결과를 기초로 하나 이상의 제조 공정과 호환성이 있는지 없는지를 판정하는 것을 포함한다. 다른 한 구현에서는, 만들어내는 단계는 하나 이상의 초기 메트롤로지 타깃 구조 디자인이 웨이퍼 상의 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정과 호환성이 있는지 없는지를 판정하는 것을 포함한다.
위에서 설명된 방법의 각각의 단계들은 여기서 더 자세히 설명된 것처럼 실행될 수 있다. 게다가, 위에서 설명된 방법의 각 구현들은 여기서 설명된 다른 모든 방법(들)의 다른 모든 단계(들)를 포함할 수 있다. 더 나아가, 위에서 설명된 방법의 각 구현들은 여기서 설명된 모든 시스템에 의해 실행될 수 있다.
다른 한 구현은 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내기 위한 컴퓨터 구현방법을 실행하는 컴퓨터 시스템 상에서 실행 가능한 프로그램 명령어를 포함하는 전송 매체와 관련이 있다. 컴퓨터 구현방법은 웨이퍼 상에서 메트롤로지 타깃 구조를 형성하는데 사용될 하나 이상의 제조 공정과 하나 이상의 메트롤로지 타깃 구조 디자인을 기초로 하나 이상의 메트롤로지 타깃 구조가 웨이퍼 상에서 어떻게 형성될지 모의 실험하는 것을 포함한다. 본 방법은 또한 모의 실험하는 단계의 결과를 기초로 메트롤로지 타깃 구조 디자인을 만들어내는 것을 포함한다.
위에서 설명된 전송 매체는 여기서 설명된 것처럼 더 설정될 수 있다. 컴퓨터 구현방법의 단계들은 여기서 더 자세히 설명된 것처럼 실행될 수 있다. 게다가, 프로그램 명령어가 실행될 수 있는 컴퓨터 구현방법은 여기서 설명된 다른 모든 방법(들)의 다른 모든 단계(들)을 포함할 수 있다.
추가적인 한 구현은 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내도록 설정된 시스템과 관련이 있다. 본 시스템은 웨이퍼 상에 메트롤로지 타깃 구조를 형성하는 데에 사용될 하나 이상의 제조 공정과 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 기초로 웨이퍼 상에서 어떻게 하나 이상의 초기 메트롤로지 타깃 구조가 형성될 것인지를 모의 실험하도록 설정된 모의실험 엔진을 포함한다. 본 시스템은 또한 본 모의실험 엔진의 출력을 기초로 메트롤로지 타깃 구조 디자인을 만들어내도록 설정된 컴퓨터 시스템을 포함한다. 본 시스템은 여기서 설명된 대로 더 설정될 수 있다.
본원에 따르면, 디자인 공정에서 포함된 많은 디자인 싸이클을 줄이고, 디자인 공정을 자동화하여 타깃 디자인을 검사용 및/또는 제품용 레티클내로 삽입하는 것을 수행하기 위하여 메트롤로지 기술자에게 요구되는 작업 부하량와 전문적 기술 수준을 감소시킬 수 있다.
발명의 다른 항목과 장점들은 다음의 상세한 설명을 읽고 첨부된 도면을 참조하면 명확해질 것이다:
도 1은 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내기 위한 컴퓨터 구현방법의 구현의 한 결과의 예를 보여주는 구상이다; 그리고
도 2는 레티클 레이아웃용 메트롤로지 타깃 구조를 만들어내기 위한 컴퓨터 구현방법을 실행하기 위한 컴퓨터 시스템에서 실행 가능한 컴퓨터 명령어를 포함하는 전송 매체의 한 구현과 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내도록 설정된 시스템의 한 구현을 설명하는 블럭도이다.
본 발명이 다양한 변화와 대체 형태를 수용할 여지가 있다 할지라도, 한편 그것으로부터의 특정한 구현은 도면에서 예시의 방식으로 보이며, 여기에서 상세하게 서술될 것이다. 하지만 그것에 대한 도면과 상세한 기술은 본 발명을 노출된 특정 형태로 제한하려는 의도가 아니라, 그 반대로 첨부된 특허 청구 범위로 명시된 대로 현재의 발명의 목적과 적용범위에 들어가는 모든 변형들, 등가물(들), 그리고 대체물들을 보호하려는 의도라는 것이 이해되어야 한다.
여기서 “레티클”, “마스크” 그리고 “포토마스크”라는 용어들은 호환성 있게 사용된다. 레티클은 일반적으로 유리, 붕규산 유리 그리고 그 위에서 형성된 불투명한 재료의 패턴화된 영역을 가지고 있는 용융석영과 같은 투과성의 기판을 포함한다. 이 불투명한 부분은 투과성의 기판에 식각된 영역으로 대체될 수 있다. 레티클의 많은 여러 다른 유형들은 본 기술에서 알려져 있으며, 여기서 사용된 레티클이란 용어는 모든 유형의 레티클을 포함하도록 의도되었다.
여기서 사용된 것처럼, “웨이퍼”라는 용어는 일반적으로 반도체 혹은 비-반도체 물질로 형성된 회로기판을 지칭한다. 그런 반도체 혹은 비-반도체 물질의 한 예에는 단결정 실리콘, 갈륨비소, 인듐 인화물 등이 포함되지만, 그것에 국한되지는 않는다. 그런 회로기판들은 보통 반도체 제조 설비에서 제공되고/되거나 프로세싱된다. 하나 이상의 레이어가 웨이퍼 상에서 형성될 수 있다. 예를 들어, 그런 레이어들은 레지스터, 유전성 물질, 전도성 물질 그리고 반도체성 물질을 포함할 수 있고, 이 외에 다른 것들도 있다. 상기 여러 다른 유형의 레이어들은 본 기술에서 알려져 있고, 그리고 여기서 사용된 대로 웨이퍼라는 용어는 모든 유형의 레이어들이 형성될 수 있는 웨이퍼를 포함하도록 의도되었다.
웨이퍼 상에서 형성된 하나 이상의 레이어들은 패턴화될 수도 있고 되지 않을 수도 있다. 예를 들어, 한 웨이퍼는 각각 반복적으로 패턴화된 피처들을 가지고 있는 복수의 다이를 포함할 수 있다. 재료의 그런 레이어의 형성과 프로세싱이 마침내 완성된 장치를 만들어낼 수 있게 한다. 여러 다른 유형의 장치들이 웨이퍼 상에서 형성될 수 있고, 여기서 사용된 것처럼 웨이퍼라는 용어는 본 기술에서 알려진 모든 유형의 장치가 제조되는 웨이퍼를 포함하도록 의도되었다.
다양한 구현들이 메트롤로지 타깃에 대해 여기서 중요하게 설명되긴 했지만, 여기서 설명된 모든 구현들이 또한 다른 모든 유형의 메트롤로지 타깃뿐 아니라, 오버레이와 얼라인먼트 타깃에도 사용될 수 있다는 것이 이해되어야 한다. 다시 말하자면, 여기서 사용된 것처럼 “메트롤로지 타깃 구조”라는 용어는 일반적으로 웨이퍼 상에서 실행되는 하나 이상의 공정을 모니터하고 및/또는 제어하는 데에 사용될 모든 구조로 정의된다. 예를 들어, “메트롤로지 타깃 구조”는 오버레이, 얼라인먼트, 임계차원 같은 것들, 혹은 이들의 몇몇 조합 같은 웨이퍼 상에서 실행되는 하나 이상의 공정의 하나 이상의 파라미터를 모니터하고/하거나 제어하는 데에 사용될 수 있는 모든 구조를 포함할 수 있다.
여기서 설명된 구현들은 일반적으로 메트롤로지 타깃 구조를 검사용과 제품용 레티클로 디자인하고 삽입하기 위한 공정과 관련이 있다. 메트롤로지 타깃 구조가 디자인의 스크라이브라인 영역에서 디자인의 장치 영역으로 옮겨감에 따라, 메트롤로지 타깃 구조를 레티클에 디자인하고 삽입하는 것은 점점 더 복잡해져가고 있으며 광범위한 공정 집적화와 리소그래피 전문기술을 요구한다. 그러나 여기서 설명된 구현들은 디자인 공정을 자동화하는 데에 유리하게 사용될 수 있다. 예를 들어, 몇몇 구현들에서는, 여기서 설명된 컴퓨터 구현방법의 구현의 모든 단계가 자동적으로 실행된다(예컨대, 컴퓨터 시스템에서 실행 가능한 프로그램 명령어에 의해, 혹은 여기서 더 자세히 설명된 것 같은 시스템에 의해). 게다가, 여기서 설명된 이 구현들은 디자인 공정 안에 포함된 디자인 사이클의 수를 편리하게 줄이고 그에 따라 메트롤로지 타깃 구조 디자인과 삽입을 실행하기 위해 메트롤로지 기술자에게 요구되는 표준 작업량과 전문 지식의 수준을 낮추면서 디자인 공정을 자동화한다.
한 구현은 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내기 위한 컴퓨터 구현방법과 관련이 있다. 본 방법은 제품용 레티클 혹은 검사용 레티클에 대한 레이아웃을 위한 메트롤로지 타깃 구조 디자인을 만들어내는 것을 포함할 수 있다. 본 방법은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 웨이퍼 상의 메트롤로지 타깃 구조를 형성하는 데 사용될 하나 이상의 제조 공정과 하나 이상의 메트롤로지 타깃 구조 디자인들을 기초로 웨이퍼 상에서 어떻게 형성될 것인지 모의 실험하는 것을 포함한다.
하나 이상의 초기 메트롤로지 타깃 구조 디자인들은 여러 다른 방식으로 선택되고/되거나 생성될 수 있다. 예를 들어, 여기서 설명된 구현의 사용자는 컴퓨터 구현방법에 의해 제안된 하나 이상의 초기 메트롤로지 타깃 구조 디자인의 일부 혹은 전부를 받아들이거나 아니면 일련의 초기 메트롤로지 타깃 구조 디자인들에서(예를 들면, 목록으로부터) 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 선택할 수 있다. 그러므로 컴퓨터 구현방법의 모든 단계가 자동적으로 실행된다 해도, 여기서 설명된 컴퓨터 구현방법의 하나 이상의 구현을 실행하도록 설정된 소프트웨어 그리고/혹은 하드웨어 사용자는 하나 이상의 다음 단계들을 실행할 수 있다. 게다가, 사용자는 여기서 설명된 구현으로부터의 도움으로 하나 이상의 단계를 실행할 것이다(예컨대, 컴퓨터 구현방법의 사용자 지원 단계에서).
초기 메트롤로지 타깃 구조 디자인들은 이전에 사용된 메트롤로지 타깃 구조 디자인들을 포함할 수 있다. 게다가, 초기 메트롤로지 타깃 구조 디자인들은 여기서 설명된 구현들에 의해 선택될 수 있다. 예를 들어, 레티클 레이아웃, 웨이퍼 상에서 메트롤로지 타깃 구조를 형성하는 데에 사용될 하나 이상의 제조 공정, 웨이퍼 상에서 형성된 메트롤로지 타깃 구조의 하나 이상의 특성을 측정하는 데에 사용될 하나 이상의 메트롤로지 공정, 혹은 그것의 몇 가지 조합에 대한 몇몇 정보를 기반으로 해서, 구현들은 이전에 사용된 메트롤로지 타깃 구조 디자인이 이 레티클 레이아웃에 적합한지를 판정하기 위해 일련의 규칙들을 사용할 수 있다. 이런 방식으로, 이 방법은 여기서 설명된 구현에서 사용하기 위해 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 선택하는 데에 공정 레이어 지침을 사용할 수 있다. 이러한 한 예로, 레티클 레이아웃이 메모리 장치 구조를 포함한다면, 여기서 설명된 이 구현들은 메모리 장치 제조에 사용되어온 메트롤로지 타깃 구조 디자인들(하지만, 아마도 논리회로 장치 제조에만 사용되어온 메트롤로지 타깃 구조 디자인은 아님)을 선택할 수 있다. 하나 이상의 초기 메트롤로지 타깃 구조 디자인들에 대한 그런 선택은 적합하다면 어떤 방식으로든 컴퓨터 구현방법에 의해 실행될 것이다.
이 방법은 또한 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 생성하는 것을 포함한다. 예를 들어, 한 구현에서는, 이 방법은 웨이퍼 상의 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정을 기초로 하나 이상의 메트롤로지 타깃 구조의 측정법을 모의 실험함으로써 하나 이상의 메트롤로지 타깃 구조 디자인을 생성하는 것을 포함한다. 이런 방식으로, 이 초기 메트롤로지 타깃 구조 디자인(들)이 하나 이상의 메트롤로지 모의실험의 결과를 사용하여 생성될 수 있다. 이 같은 모의실험들은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 어떤 메트롤로지 공정(들)에 입력하는 것이 예를 들어, 바람직한 결과일 수 있다는 것과 출력이 그런 결과를 산출할 하나 이상의 메트롤로지 타깃 구조 디자인들일 수 있다는 것만 빼고는 여기서 더 자세히 설명된 대로 실행될 수 있다. 본 단계에서 모의 실험된 측정은 본 기술에서 알려진 모든 적합한 측정을 포함할 수 있다. 게다가, 하나 이상의 메트롤로지 공정은 이 기술에서 알려진 모든 적합한 메트롤로지 공정(들)을 포함할 수 있다.
다른 한 구현에서는, 이 방법은 하나 이상의 제조 공정을 기술하는 하나 이상의 파라미터를 기반으로 선택된 일련의 규칙들을 사용하여 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 생성하는 것을 포함한다. 이런 방식으로, 이 방법은 여기서 설명된 구현들에서 사용하기 위한 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 생성하기 위한 공정 레이어 지침을 사용할 수 있다. 예를 들어, 초기 메트롤로지 타깃 구조 디자인(들)은 리소그래피 혹은 포토리소그래피(그리고 아마도 다른 제조) 공정을 기술하는 파라미터에 따라 달라질 수 있는 일련의 규칙들을 사용하여 생성될 수 있다. 이러한 한 예로, 이 일련의 규칙들은 하나 이상의 제조 공정에 의해 인쇄될 수 있는 피처의 최소 임계치수(CD)와 같은 하나 이상의 제조 공정의 파라미터를 기반으로 정의될 수 있다. 이 예에서, 이 일련의 규칙들은 하나 이상의 초기 메트롤로지 타깃 구조 디자인의 CD를 하나 이상의 제조 공정에 의해 인쇄될 수 있는 최소 CD보다는 큰 CD들로 제한하는 규칙을 포함할 것이다. 이 일련의 규칙들은 하나 이상의 제조 공정의 임의의 기타 파라미터들에 따라 달라지는 다른 규칙들을 포함할 수 있다.
다른 한 추가적인 구현에서는, 이 방법은 웨이퍼 상의 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정을 기술하는 하나 이상의 파라미터를 기초로 선택된 일련의 규칙들을 사용하여 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 생성하는 것을 포함한다. 따라서, 이 초기 메트롤로지 타깃 구조 디자인(들)은 선택된 메트롤로지 시스템을 기술하는 파라미터에 따라 달라지는 일련의 규칙들을 사용하여 생성될 수 있다. 예를 들어, 이 일련의 규칙들은 하나 이상의 메트롤로지 공정에 의해 측정될 수 있는 웨이퍼 상에서 형성된 피처의 최소 CD와 같은 파라미터를 기반으로 정의될 수 있다. 이러한 경우, 일련의 규칙들은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 CD를 하나 이상의 메트롤로지 공정에 의해 측정될 수 있는 최소 CD보다는 훨씬 큰 CD들로 제한하는 규칙을 포함할 수 있다. 더 나아가, 초기 메트롤로지 타깃 구조 디자인(들)은 리소그래피 혹은 포토리소그래피 공정, 다른 제조 공정들, 그리고 선택된 메트롤로지 시스템을 기술하는 파라미터에 따라 달라지는 일련의 규칙들을 사용하여 생성될 수 있다.
여기서 설명된 모의실험을 실행하는 것에 우선하여 많은 단계가 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 사용하여 실행될 수 있다. 예를 들어, 본 방법은 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 여기서 설명된 모의실험들에 입력하기 위한 그래픽 데이터 열(GDS) 포맷 혹은 임의의 적합한 기타 포맷과 같은 적합한 포맷으로 변환하는 것을 포함한다. 게다가, 본 방법은 하나 이상의 초기 메트롤로지 타깃 구조 디자인이 바이얼레이션을 일으키는지를 판정하기 위해 광학 근접 보정(OPC) 피처를 위한 컴퓨터 지원 설계(CAD) 도구를 통해 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 작도하는 것을 포함할 수 있다. 만약 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 어떠한 바이얼레이션을 일으킨다면, 하나 이상의 초기 메트롤로지 타깃 구조 디자인들은 변경될 수 있고, 또 위에서 설명된 단계들은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 바이얼레이션을 일으키지 않을 때까지 실행될 것이다.
하나 이상의 초기 메트롤로지 타깃 구조 디자인들은 하나 이상의 공정 모의실험에 입력될 수 있다. 한 구현에서는, 하나 이상의 제조 공정이 리소그래피를 포함한다. 예를 들어, 상기 방법은 웨이퍼 모의실험 모델 즉 "리소그래피 공정 모델"을 사용할 수 있는데, 이는 웨이퍼 레벨로 인쇄될 때 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 어떻게 나타나는지 예측하기 위해서이다. 이 웨이퍼 모의실험 모델은 웨이퍼 상에서 형성된 하나의 레지스트와 하나 이상의 추가 레이어들뿐만 아니라 리소그래피 공정에서의 변화를 기술하는 파라미터를 포함할 수 있다. 상기 모델의 하나 이상의 파라미터들은, 예를 들어 레지스트 두께, 레지스트의 조성, 레지스트 하부 및/또는 상부에서의 웨이퍼 상에 형성된 레이어의 하나 이상의 특성들(예를 들어, 두께와 조성), 노광 파장, 노광 툴의 개구수(NA), 노광 툴의 코히어런스, 노광 량, 노광 초점 기타 등등을 포함할 수 있다.
사용자는 또한 메트롤로지 타깃 구조가 디자인되기 위한 공정 레이어를 나타내기 위해 리소그래피 포토리소그래피 모의실험 장치를 셋업할 수 있다. 예를 들어, 사용자는 리소그래피 혹은 포토리소그래피 공정을 기술하는 모델의 하나 이상의 파라미터에 대한 값을 선택할 수 있다. 이 모델의 파라미터(들)는 여기서 설명된 어떤 파라미터도 포함할 수 있다.
이 모의 실험하는 단계의 결과들은 하나 이상의 초기 메트롤로지 타깃 구조가 웨이퍼 상에서 어떻게 형성되는지를 보여주는 영상과 하나 이상의 초기 메트롤로지 타깃 구조가 웨이퍼 상에서 어떻게 형성되는지를 나타내는 다른 모든 출력을 포함할 수 있다.
본 방법은 하나 이상의 초기 메트롤로지 타깃 구조 디자인이 모의 실험 단계의 결과를 기초로 하나 이상의 제조 공정과 호환성이 있는지 없는지를 판정하는 것을 포함할 수 있다. 예를 들어, 본 방법은 웨이퍼 상의 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 인쇄적합성(printability)(예를 들면, 리소그래피 인쇄적합성)을 판정하는 것을 포함할 수 있다. 예를 들어, 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 인쇄적성을 판정하는 것은 모의 실험된 영상들을 인쇄된 초기 메트롤로지 타깃 구조들의 이상적인 영상과 비교하는 것을 포함할 수 있다. 이상적인 영상(들)은 초기 메트롤로지 타깃 구조 디자인(들)으로부터 직접적으로 생성될 수 있고 또 일반적으로 메트롤로지 기술자가 웨이퍼 상에서 초기 메트롤로지 타깃 구조(들)이 어떻게 형성되기를 바라는가 하는 것의 표본이 될 수 있다. 대안적으로, 모의 실험된 영상들은 자신들의 초기 디자인들과 직접 비교될 수 있다.
모의 실험된 영상들을 이상적인 영상과 비교하는 것은 모의 실험된 영상들과 이상적인 영상의 하나 이상의 임의의 특성들을 비교하는 것을 더 포함할 수 있거나 또는 이를 양자택일적으로 포함할 수 있다. 이 같은 특성들은, 예를 들어 CD, 측벽 각, 프로파일, 각 피처들의 에지 배치, 영상들의 임의의 기타 비교 가능한 특성(들), 혹은 이들의 어떤 조합 등을 포함할 수 있다. 상기 모의 실험된 영상들의 특성(들)은 하나 이상의 알고리즘 및/또는 방법들(예를 들어, 보통 영상 기반 메트롤로지 공정에서 사용되는 영상 프로세싱 알고리즘)을 사용하여 정의될 수 있다. 게다가, 웨이퍼 상에서 형성될 것인 초기 메트롤로지 타깃 구조의 하나 이상의 특성들은 모의실험 결과로부터 판정될 수 있고, 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 하나 이상의 특성들과 비교될 수 있다. 상기 비교는 여기서 설명된 임의 의떤 특성들을 비교하는 것을 포함할 수 있다.
위에서 설명된 모든 비교 단계에 의해 확인된 차이점들은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 웨이퍼 상에 인쇄될 수 있는지 없는지 그리고 이 초기 메트롤로지 타깃 구조 디자인들이 웨이퍼 상에 얼마나 잘 인쇄될 것인지를 판정하는 데에 사용될 수 있다. 예를 들어, 초기 메트롤로지 타깃 구조 디자인들 자체와 크게 다르지 않은 초기 메트롤로지 타깃 구조 디자인들에 대한 모의실험 결과들은 모의실험 결과가 상기 각각의 디자인들 자체와 커다란 차이를 나타내는 초기 메트롤로지 타깃 구조 디자인들보다 더 잘 인쇄되는 것으로 판정될 것이다. 보다 잘 인쇄가능한 초기 메트롤로지 타깃 구조들은 리소그래피 공정과 더 큰 호환성이 있을 수 있다. 유사한 방식으로, 초기 메트롤로지 타깃 구조 디자인들과 리소그래피 공정의 호환성은 각각의 초기 메트롤로지 타깃 구조 디자인들에 대한 모의실험 결과가 대응하는 디자인과 얼마나 잘 부합하는지를 바탕으로 판정될 수 있다.
한 구현에서는, 모의실험 단계는 하나 이상의 초기 메트롤로지 타깃 구조들이 하나 이상의 제조 공정의 하나 이상의 파라미터의 여러 가지 값에서 웨이퍼 상에 어떻게 형성되는지를 모의 실험하는 것을 포함한다. 예를 들어, 위에서 설명된 모의실험들은 리소그래피 공정에 대한 최고의 초점과 노광 상태에서 그리고/혹은 보통 "공정 윈도우"라고 지칭되는 예상되는 노광량/초점 조건의 전 범위와 같은 초점과 노광 조건에 대한 어떤 범위의 값에 걸쳐서 실행될 수 있다. 이런 방식으로, 한 구현에서는, 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성되는지를 모의 실험하는 것은 하나 이상의 초기 메트롤로지 타깃 구조들이 상이한 공정 파라미터들에서 리소그래피 공정에 의해 웨이퍼 상에 어떻게 인쇄되는지를 보여주는 모의 실험된 영상을 생성하는 것을 포함한다. 상이한 공정 파라미터들은 상이한 유형의 리소그래피 노광 툴들 그리고/혹은 여기서 설명된 하나 이상의 다른 모든 파라미터들을 포함할 것이다.
다중 웨이퍼의 모의실험 모델은 공정 파라미터의 여러 가지 값에서 위에서 설명된 모의 실험을 실행하는 데에 사용될 수 있다. 예를 들어, 웨이퍼 모의실험 모델 중 하나는 가장 잘 알려진 초점과 노광 조건을 포함할 수 있다. 다른 웨이퍼 모의실험 모델들은 하나 이상의 제조 공정을 사용하여 웨이퍼 상에서 형성되는 장치 구조에 대한 공정 윈도우 안에 있을 것으로 예상되는 다른 노광량/초점 조건을 포함할 수 있다. 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 인쇄적성은 실행되는 각 모의실험마다 판정할 수 있고, 그리고 그 결과는 하나 이상의 초기 메트롤로지 타깃 구조 디자인들에 대한 개산(approximate) 공정 윈도우를 판정하는 데에 사용될 수 있다.
어떤 구현들에서는, 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성되는지 모의실험 하는 것은 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에서 어떻게 형성되는지를 모의실험 하는 것과, 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성되는지를 모의실험 하기 위해 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에서 어떻게 형성되는지를 모의 실험한 것의 결과를 사용하는 것을 포함한다. 이런 방식으로, 하나 이상의 제조 공정들은 레티클 제조와 리소그래피(웨이퍼 리소그래피)를 포함할 수 있다. 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에 어떻게 인쇄되는지를 모의 실험 하는 것은 레티클 제조 공정들의 모델에 입력되는 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 사용하여 실행될 수 있다.
그런 구현들에서는, 하나 이상의 초기 메트롤로지 타깃 구조 디자인들은 MEBES jobdeck, GDSII 파일, OASIS 데이터, 혹은 임의의 기타 표준 파일 유형들의 포맷일 수 있다. 본 방법은 모의 실험들이 실행되기 전에 임의의 마스크 프로세싱 편향(bais)을 디자인에 적용함으로써 초기 메트롤로지 타깃 구조 디자인들을 변경하는 것을 포함한다. 마스크 프로세싱 편향은 레티클 피처들의 특성에 대한 레티클 제조 공정의 알려진 효과를 해결하기 위해 보통 마스크 제조자들에 의해 수행되는 디자인에 대한 변경이다. 예를 들어, 마스크 제조자는 종종 식각 공정으로 인한 레티클 상에서 형성되는 피처의 크기 축소를 해결하기 위해 제조에 우선하여 디자인에서 피처의 크기를 증가시킬 것이다. 따라서, 레티클 제조 공정의 모의 실험은 크기조정된 디자인들을 사용하여 실행될 수 있다.
그런 한 예로, 본 방법은 레티클 제조 모의실험 모델, 즉 레티클 수준에서 인쇄될 때 하나 이상의 초기 메트롤로지 타깃 구조들이 어떻게 나타나는지를 예측하도록 구성된 "레티클 제조 공정 모델"을 사용하는 것을 포함할 수 있다. 레티클 제조 모의실험 모델은 이 기술에서 알려진 임의의 적합한 모델을 포함할 수 있다. 레티클 제조 모의실험 모델은 레티클 상에서 형성된 레지스트 및/또는 하나 이상의 추가 레이어들뿐만 아니라 레티클 제조 공정에서의 변화를 기술하는 파라미터들을 포함할 수 있다. 예를 들어, 모델은 레티클의 제조에 사용될 것인 마스크 기록 도구의 유형, 마스크 기록 도구의 특성들, 레티클 제조 공정에서 사용되는 레지스트의 특성들 등과 같은 레티클 제조 공정의 많은 파라미터들을 고려할 수 있다. 게다가, 사용자는 여기서 더 자세히 설명되는 바와 같이 실행될 수 있는 것으로서, 레티클 상의 하나 이상의 초기 메트롤로지 타깃 구조들을 제조하는 데에 사용되는 공정을 기술하는 모델의 하나 이상의 파라미터들을 선택할 수 있다.
본 방법은 또한 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에서 어떻게 형성될지를 모의 실험하기 위하여 다중 마스크 제작 모델을 사용하는 것을 포함할 수 있다. 다중 마스크 제작 모델은 완전히 상이한 공정들을 모의 실험하거나 또는 상이한 프로세스 파라미터들을 갖는 동일한 공정을 모의 실험하도록 구성될 수 있다. 이러한 상이한 프로세스 파라미터들은 예를 들어 노광의 양과 초점, 상이한 유형의 마스크 기록 도구, 상이한 유형의 레지스트, 식각 물질, 그리고 여기서 설명된 다른 파라미터들을 포함할 수 있다. 다중 마스크 제작 모델은 하나 이상의 상이한 레티클 제조 공정들을 생성하기 위한 레티클 제조 공정의 하나 이상의 파라미터들을 바꿈으로써 생성될 수 있다. 모델은 상이한 레티클 제조 공정들 마다 생성될 수 있다. 그 후 각각의 모델은 여기서 설명된 대로 모의 실험을 실행하기 위해 사용될 수 있다. 이러한 접근법은 사용자로 하여금 하나 이상의 초기 메트롤로지 타깃 구조들이 상이한 마스크 기록 도구들이나 공정들을 사용하여 기록되는 경우 하나 이상의 초기 메트롤로지 타깃 구조들이 어떻게 나타날 것인지를 모의 실험할 수 있도록 해주며, 그렇게 해서 최상의 메트롤로지 타깃 구조 디자인이 여기서 더 자세히 설명된 것처럼 각각의 공정들마다 선택될 수도 있다.
레티클 제조를 위해 실행된 모의실험들의 결과는 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에서 어떻게 형성될 것인지를 보여주는 하나 이상의 영상들을, 그리고/혹은 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에서 어떻게 형성되는지를 나타내는 기타 임의의 출력을 포함할 수 있다.
하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 레티클 인쇄적성은 여기서 설명된 대로 판정될 것이다. 이런 경우에, 이 인쇄적성은 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에서 얼마나 정확히 형성될 것인지에 대한 측정이다. 예를 들어, 레티클 제조 공정에 대한 모의실험의 결과들은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들과 비교될 수 있고, 하나 이상의 초기 메트롤로지 타깃 구조 디자인들과 모의실험 결과들에서의 임의의 차이점들(예컨대, 피처 손실, 과대 크기조정된 피처, 왜곡된 피처 등)은 상기 비교의 결과를 사용하여 확인될 수 있다. 추가적인 한 예로, 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에서 어떻게 형성될지 모의 실험한 결과의 하나 이상의 특성들이 판정될 수 있고(예컨대, 하나 이상의 영상 프로세싱 알고리즘을 사용하여), 또 이런 특성(들)은 모의실험 결과와 초기 디자인 사이의 차이점들을 판정하기 위해 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 하나 이상의 특성들과 비교될 수 있다.
본 방법은 하나 이상의 초기 메트롤로지 타깃 구조들이 리소그래피 공정에 의해 웨이퍼 상에서 어떻게 인쇄될 것인지를 예측하는 모의실험에 대한 입력으로서 하나 이상의 초기 메트롤로지 타깃 구조들이 레티클 상에서 어떻게 형성되는지를 모의 실험하는 것의 결과를 사용할 수 있다. 따라서 모의실험들이 하나 이상의 초기 메트롤로지 타깃 구조들이 레이클 상에서 어떻게 제조될 것인지 그리고 웨이퍼에 의해 어떻게 "보여질" 것 인지에 기초하여 실시될 것이기 때문에, 여기서 설명된 것처럼 실행된 이 모의실험들은 현재 사용 가능한 임의의 다른 방법을 사용하여 실행된 모의실험들 보다 정확할 것이다.
비록 하나 이상의 제조 공정들에는 리소그래피가 포함되는 것으로서 위에서 설명되기는 했지만, 본 방법은 다른 제조 공정들에도 적용될 수 있다는 것이 이해되어야 한다. 예를 들어 어떤 구현들에서는, 하나 이상의 제조 공정들은 식각, 화학적-기계적 연마(CMP), 증착, 혹은 이들의 몇몇 조합을 포함한다. 그러므로, 여기서 설명된 구현들은 웨이퍼 상에서 메트롤로지 타깃 구조를 제조하는 데에 사용될 식각, CMP, 증착과 같은 기타 공정뿐만 아니라 리소그래피 혹은 포토리소그래피의 고려를 포함할 수 있다. 예를 들어, 메트롤로지 타깃 구조가 제조 동안에 언제 측정되는지에 따라(즉, 메트롤로지 타깃 구조가 측정되는 공정 후에), 사용자 혹은 컴퓨터 구현방법은 실행되는 모의실험들, 웨이퍼를 제조하는 데에 사용된 공정(들)을 기술하는 모델의 하나 이상의 파라미터들, 그리고 레티클 레이아웃을 위한 메트롤로지 타깃 구조 디자인을 선택하거나 만들어내는 데에 사용될 모의실험 결과들을 선택할 수 있다.
이 같은 한 예에서, 본 방법들은 초기 메트롤로지 타깃 구조(들)이 웨이퍼 상에서 어떻게 인쇄될 것인가를 보여주는 모의실험 결과를 식각 공정 모델에 대한 입력으로서 사용함으로써 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 식각-능력을 판정하는 데에 사용될 수 있다. 식각 공정 모델은 이 기술에서 알려진 임의의 적합한 식각 모델을 포함할 수 있다. 게다가, 식각 공정 모델은 여기서 설명된 대로 (실험 데이터를 사용하여) 만들어지고 및/또는 갱신될 수 있다.
이런 방식으로, 구현들은(예컨대, 메트롤로지 타깃 구조 디자인의 최적화) 웨이퍼 상에서 초기 메트롤로지 타깃 구조들을 제조하는 데에 사용되는 공정(예컨대, 모든 혹은 몇몇의 공정들)의 모의실험을 기초로 웨이퍼 상에서의 다양한 초기 메트롤로지 타깃 구조 디자인들의 인쇄적성을 평가할 것이다. 따라서, 여기서 설명된 구현들은 웨이퍼 상에서 메트롤로지 타깃 구조를 제조하는 데에 사용될 공정 전부 또는 그 일부의 공정과 호환될 수 있는 최적화된 메트롤로지 타깃 구조 디자인을 만들어 내거나 혹은 선택하는 데에 편리하게 사용될 수 있다.
여기서 설명된 구현들은 또 제조된 메트롤로지 타깃 구조와 메트롤로지 측정 공정 사이의 상호작용에 대한 고려도 포함할 수 있다. 예를 들어, 한 구현에서, 본 방법은 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성될지 모의 실험하는 것의 결과와 웨이퍼 상에서 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들을 기초로, 웨이퍼 상에서 형성된 하나 이상의 초기 메트롤로지 타깃 구조들의 측정을 모의 실험하는 것을 포함한다.
그런 한 구현에서는, 사용자는 메트롤로지 타깃 구조의 하나 이상의 특성들을 측정하는 데에 사용될 메트롤로지 시스템을 (소프트웨어로) 선택할 것이다. 예를 들어, 다양한 메트롤로지 시스템들의 파라미터는 사용하기에 앞서(예컨대, 셋업 중에) 여기서 설명된 구현들에 입력될 수 있다. 서로다른 메트롤로지 시스템에서의 각 파라미터 세트는 아이덴티티(예컨대, 이름)를 배정받을 것이며, 메트롤로지 타깃 구조를 측정하는 데에 이용될 수 있는 메트롤로지 시스템의 다양한 아이덴티티들이 선택용으로 사용자에게 제공된다. 그러므로 메트롤로지 시스템 아이덴티티의 선택시에, 적합한 파라미터들이 메트롤로지 타깃 구조를 측정하기 위해 사용될 메트롤로지 공정용 모델에 "로딩"될 것이다. 메트롤로지 공정용 모델은 모든 적합한 구성을 가질 것이며 메트롤로지 공정에 포함된 데이터 취득과 데이터 프로세싱을 위한 파라미터를 포함할 것이다.
본 메트롤로지 시스템은 본 발명기술에서 알려진 임의의 메트롤로지 시스템을 포함할 것이고, 다른 여러 유형의 메트롤로지 시스템들은 메트롤로지 타깃 구조 측정용 제조 설비에서 이용 가능할 것이다. 메트롤로지 타깃 구조의 하나 이상의 특성들은 메트롤로지 타깃 구조의 임의의 측정 가능한 특성(들)을 포함할 수 있다. 게다가, 만약 두 가지 이상의 메트롤로지 공정들이 (예컨대, 하나 이상의 메트롤로지 시스템들을 사용하여) 웨이퍼 상에서 형성된 메트롤로지 타깃 구조를 측정하는 데에 사용될 것이라면, 여기서 설명된 구현들은 메트롤로지 타깃 구조상에서 실행될 모든 메트롤로지 공정과 메트롤로지 공정를 실행하기 위해 사용될 모든 메트롤로지 시스템을 위해 실행될 것이다.
하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 측정을 모의 실험하는 것은 하나 이상의 초기 메트롤로지 타깃 구조가 웨이퍼상에서 어떻게 형성될지를 모의실험한 결과를 이용하는 것을 포함할 수 있는데, 여기서 이 모의실험 결과는 메트롤로지 공정과 메트롤로지 도구를 기술하는 모델에 대한 입력으로서 본 명세서에서 설명된 바와 같이 생성될 수 있다. 예를 들어, 이 방법에 의해 사용된 소프트웨어는 메트롤로지 도구가 웨이퍼 상에서 형성된 초기 메트롤로지 타깃 구조들을 어떻게 "바라볼" 것 인지를 모의 실험하는 메트롤로지 모델을 포함할 수 있다. 이런 방식으로, 상기 측정을 모의 실험하는 것은 초기 메트롤로지 타깃 구조들에 대한 측정에 의해(예컨대, 메트롤로지 시스템의 디텍터에 의해) 산출될 개산(槪算)(approximate) 출력을 판정하는 것을 포함할 수 있다. 본 측정에 의해 산출될 개산 출력은 메트롤로지 공정에 의해 판정될 초기 메트롤로지 타깃 구조들의 하나 이상의 특성들을 예측하기 위해 데이터 프로세싱 알고리듬(들) 및/또는 방법(들)과 함께 사용될 수 있다.
여기서 설명된 이 구현들은 또한 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 웨이퍼 상의 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들과 호환성이 있는지 없는지를 판정하는 것을 포함할 수 있다. 예를 들어, 여기서 설명된 구현들은 웨이퍼 상의 메트롤로지 타깃 구조들을 측정하기 위해 사용될 메트롤로지 공정의 모의실험들에 기초된 다양한 초기 메트롤로지 타깃 구조 디자인들의 측정가능성을 판정하는 것을 포함할 수 있다. 그런 한 예에서, 이 방법은 메트롤로지 공정과 메트롤로지 시스템에 의해 측정되도록 선택된 초기 메트롤로지 타깃 구조 디자인들의 하나 이상의 특성들이 상기 메트롤로지 시스템에 의한 상기 메트롤로지 공정에서 실제로 측정될 수 있는지 없는지를 판정하는 것을 포함할 수 있다. 특히, 본 측정의 모의실험 결과들은 메트롤로지 시스템이 메트롤로지 시스템의 예상되는 개산 출력을 기초로 하나 이상의 특성을 판정할 수 있을지 없을지를 판정하는 데에 사용될 것이다.
상기 비교의 결과들은 초기 메트롤로지 타깃 구조들의 하나 이상의 특성들이 메트롤로지 공정에 의해 얼마나 정확하게 판정될 수 있는지를 판정하는 데에 사용될 수 있다. 초기 메트롤로지 타깃 구조 디자인들과 하나 이상의 메트롤로지 공정의 호환성을 판정하는 것은, 또한 메트롤로지 공정에 의해 판정될 것이 예상된 초기 메트롤로지 타깃 구조들의 하나 이상의 특성들을, 웨이퍼 상에서 형성될 것으로 예상된 초기 메트롤로지 타깃 구조들의 하나 이상의 특성들 및/또는 초기 메트롤로지 타깃 구조 디자인들의 하나 이상의 특성들과 비교하는 것을 포함할 수 있다.
만약 하나 이상의 특성들이 메트롤로지 공정을 이용하여 메트롤로지 도구에 의해 측정될 수 없는 것으로 본 방법이 판정내리면, 하나 이상의 초기 메트롤로지 타깃 구조 디자인을 바꾸는 것과, 메트롤로지 공정을 사용하여 메트롤로지 도구에 의해 측정가능한 메트롤로지 타깃 구조 디자인이 산출될 때까지 위에서 설명한 모의 실험들을 실행하는 것을 포함할 수 있다. 또한 만약 초기 메트롤로지 타깃 구조들의 하나 이상의 특성들이 메트롤로지 공정을 사용하여 메트롤로지 도구에 의해 정확하게 측정될 수 없는 것으로 본 방법이 판정하는 경우에도 이 단계들이 실행될 수 있다.
따라서 여기서 설명된 이 방법들은 초기 메트롤로지 타깃 구조 디자인들의 레티클 생산가능성, 웨이퍼 인쇄적성, 및/또는 측정가능성을 판정하는 데에 사용될 수 있다. 게다가, 초기 메트롤로지 타깃 구조 디자인들이 초기 디자인의 레티클 생산가능성 및/또는 웨이퍼 인쇄적성을 향상시키기 위하여 위에서 설명된 것처럼 변경될 때마다, 변경된 디자인의 측정가능성은 판정될 수 있다. 이와 달리, 초기 메트롤로지 타깃 구조 디자인들의 측정가능성은 오로지 레티클 생산 및 웨이퍼 인쇄 공정과 호환되는 것으로 판정된 초기 메트롤로지 타깃 구조 디자인에 대해서만 판정될 것이다. 그러므로 하나 이상의 초기 메트롤로지 타깃 구조 디자인들은 측정가능성이 판정되기 전에 레티클 제조와 웨이퍼 인쇄적성 공정과의 호환성을 획득하기 위하여 여러 번 변경될 것이다. 이런 방식으로, 이 방법은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 하나 이상의 제조 공정들과 하나 이상의 메트롤로지 공정들 모두와 호환할 수 있는지 없는지를 판정하는 것을 포함할 수 있다.
여기서 자세하게 설명된 것처럼, 하나 이상의 제조 공정들의 모의실험들은 하나 이상의 제조 공정들의 하나 이상의 파라미터들의 여러 값들에 대하여 실행될 수 있다. 게다가, 하나 이상의 제조 공정들의 하나 이상의 파라미터들의 여러 값들에 대하여 실행된 각각의 모의실험들의 결과들에 대하여 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 측정가능성이 판정될 수 있다. 이런 방식으로, 하나 이상의 초기 메트롤로지 타깃 구조 디자인들에 대한 측정가능성은 여러 가지 값에 걸쳐서 판정될 수 있는데, 이것은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들에 대한 측정가능성이 하나 이상의 제조 공정의 하나 이상의 파라미터의 값이 변함에 따라 변할 것인지 아닌지를 판정하는 데에 사용될 수 있다(예컨대, 공정 윈도우 안에서의 드리프트).
여기서 설명된 방법들은 메트롤로지 타깃 구조가 레티클 평면에서 메트롤로지 기술자의 의도를 그대로 반영하는 방식으로 레티클 상에 기록될 수 있는지 그리고 메트롤로지 타깃 구조가 메트롤로지 기술자의 의도를 그대로 반영하는 방식으로 웨이퍼 레벨로 인쇄되고 웨이퍼 상에서 적합한 패턴을 산출할지 어떨지를 판정하는 데에 사용될 수 있다는 점에서 유리하다. 그러므로 여기서 설명된 방법들은 초기 메트롤로지 타깃 구조 디자인들이 레티클 레벨에서 제조되고 웨이퍼 레벨로 이송 가능한지를 판정하는 데에 사용될 수 있다. 여기서 설명된 방법들은 또 초기 메트롤로지 타깃 구조 디자인들이 적합한 메트롤로지 정보를 산출하기 위해 웨이퍼 레벨에서 측정할 수 있는지 어떤지를 판정하는 데에도 사용될 수 있다. 따라서 여기서 설명된 방법들은 메트롤로지 타깃 구조가 레티클 레벨에서 제조 가능한지, 웨이퍼 레벨로 이송 가능한지, 그리고 웨이퍼 레벨에서 측정가능한지 판정하는 데 사용될 수 있다. 따라서 여기서 설명된 방법들은 적어도 여기서 설명된 개념들이 마스크 제조에서부터 임의의 메트롤로지 타깃 구조 디자인과 메트롤로지 공정을 위한 메트롤로지까지 적용될 수 있다는 점에서 독특하다.
*이 방법은 또 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성될지 모의 실험하는 것의 결과를 기초로 메트롤로지 타깃 구조 디자인을 만들어내는 것을 포함한다. 게다가, 위에서 설명된 것처럼, 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성될지 모의 실험하는 것은 하나 이상의 메트롤로지 타깃 구조들이 하나 이상의 제조 공정의 하나 이상의 파라미터들의 여러 가지 값에서 웨이퍼 상에서 어떻게 형성될 것인지를 모의실험 하는 것을 포함할 수 있다.
리소그래피를 위한 이 같은 모의실험 결과의 한 예가 도 1에서 보여진다. 도 1에서 보여지는 모의실험 견본 포인트 10은 모의실험이 실행될 수 있기 위한 리소그래피 공정의 하나 이상의 파라미터들의 여러 값을 보여준다. 모의실험들이 상기 여러 값들 및 동일한 유형의 초기 메트롤로지 타깃 구조 디자인들에 대해 실행될 수 있는데, 초기 메트롤로지 타깃 구조 디자인들 각각은 동일한 유형의 피처들을 포함하고 있지만 여러 가지 피처 치수(예컨대, 타깃 치수 1(TD1), TD2, TD3, 그리고 TD4)를 가지고 있다. 모의실험의 결과들은 여러 가지 피처 치수 TD1, TD2, TD3, TD4를 가지고 있는 초기 메트롤로지 타깃 구조 디자인들이 여기서 설명된 것처럼 실행될 수 있는 리소그래피 공정에 대한 제한을 나타내는 "애드혹(adhoc)" 제약을 충족시키는지 판정하는 데 사용될 수 있다.
한 구현에서는, 생성하는 단계는 모의 실험 단계의 결과를 기초로 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 위한 공정 윈도우를 판정하는 단계와, 생성된 메트롤로지 타깃 구조 디자인으로서 최대 공정 윈도우를 갖는 초기 메트롤로지 타깃 구조 디자인을 선택하는 단계를 포함한다. 예를 들어, 도 1에서 도시된 것들로서 TD1, TD2, TD3, 및 TD4 로 명칭되는 플롯들은 위에서 설명된 다양한 피처 치수들을 가지고 있는 초기 메트롤로지 타깃 구조들의 공정 윈도우를 보여주는 보송(Bossung) 플롯들이다. 초기 메트로롤지 타깃 구조 디자인용 공정 윈도우들은 (예컨대, 공정 파라미터(들)의 여러 가지 값에서의 디자인들의 인쇄적성을 기초로) 여기서 설명된 것처럼 판정될 수 있다. 따라서 도 1에 보여진 보송 플롯들은 어느 초기 메트롤로지 타깃 구조 디자인이 최대의 공정 윈도우를 가지고 있는지를 판정하기 위해 비교될 수 있다. 이런 방식으로, 생성되는 메트롤로지 타깃 구조 디자인으로서 최대의 공정 윈도우를 가지고 있는 메트롤로지 타깃 구조 디자인(이 예에서는 피처 치수 TDI를 가지고 있는 초기 메트롤로지 타깃 구조 디자인)이 선택될 수 있다.
또 다른 구현에서는, 생성 단계는 모의 실험하는 단계의 결과를 기초로 하나 이상의 초기 메트롤로지 타깃 구조 디자인용 공정 윈도우를 판정하는 것과, 하나 이상의 초기 메트롤로지 타깃 구조 디자인용 공정 윈도우를 하나 이상의 제조 공정들을 사용해서 웨이퍼 상에서 형성될 장치 구조용 공정 윈도우와 비교하는 것, 그리고 장치 구조용 공정 윈도우보다 큰 공정 윈도우를 가진 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 메트롤로지 타깃 구조 디자인을 선택하는 것을 포함한다. 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 공정 윈도우들은 위에서 설명된 것처럼 판정될 수 있다. 게다가, 장치 구조용 공정 윈도우는 이 기술에서 알려진 임의의 방식으로 판정될 수 있다.
다양한 초기 메트롤로지 타깃 구조 디자인들의 공정 윈도우들은 임의의 적합한 방식으로 장치 공정 윈도우와 비교될 수 있다. 도 1에서 도시된 예에서, "장치 구조"로 칭해진 플롯은 장치 구조(예컨대, 콘택트 홀)의 공정 윈도우를 보여주는 보송 플롯이다. 따라서 하나 이상의 초기 메트롤로지 타깃 구조 디자인용 공정 윈도우를 장치 구조용 공정 윈도우와 비교하는 것은 초기 메트롤로지 타깃 구조 디자인(들)용 보송 플롯과 장치 구조용 보송 플롯을 비교함으로써 실행될 수 있다. 이런 방식으로, 장치 구조들의 공정 윈도우와 동등하거나 이보다 큰 공정 윈도우를 가지고 있는 초기 메트롤로지 타깃 구조 디자인이 생성 및/또는 최적화된 메트롤로지 타깃 구조 디자인으로서 선택될 수 있다. 예를 들어 도 1에서 보여지는 것처럼, 피처 치수 TD1, TD2, 그리고 TD3을 가지고 있는 초기 메트롤로지 타깃 구조 디자인들은 장치 구조의 공정 윈도우보다 큰 공정 윈도우를 가지고 있다. 그와 반대로, 피처 치수 TD4를 가진 초기 메트롤로지 타깃 구조 디자인은 장치 구조의 공정 윈도우보다 작은 공정 윈도우를 가지고 있다. 따라서 피처 치수 TD1, TD2, 그리고 TD3을 가지고 있지만 TD4는 가지고 있지 않은 초기 메트롤로지 타깃 구조 디자인들 가운데 하나가 생성되는 메트롤로지 타깃 구조 디자인으로서 선택될 수 있다. 이런 방식으로, 장치 공정 윈도우 내의 어디에서 공정이 실행되고 있는지에 관계없이, 메트롤로지 타깃 구조는 웨이퍼 상에 인쇄될 수 있다.
어떤 구현들에서, 생성 단계는 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 모의 실험 단계의 결과를 기초로 하나 이상의 제조 공정들과 호환성이 있는지를 판정하는 것을 포함한다. 예를 들어, 여기서 설명된 것처럼, 모의실험 결과들은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 리소그래피 공정을 사용하여 인쇄 가능한지를 판정하는 것을 나타낼 수 있고 및/또는 이를 판정하는 데 사용될 수 있다. 인쇄가능한 것으로 판정된 하나 이상의 초기 메트롤로지 타깃 구조 디자인들은 리소그래피 공정과 호환되는 것으로 판정될 수 있다. 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 하나 이상의 제조 공정들과 호환성이 있는지 없는지를 판정하는 것은 여기서 설명된 임의의 기타 정보를 바탕으로 판정될 수도 있다. 예를 들어, 하나 이상의 초기 메트롤로지 타깃 구조 디자인용으로 판정된 공정 윈도우는 초기 메트롤로지 타깃 구조 디자인(들)이 하나 이상의 제조 공정들과 호환되는지를 판정하기 위하여 하나 이상의 제조 공정들에서 필요로 하는 최소 공정 윈도우, 장치 구조용 공정 윈도우, 하나 이상의 제조 공정들의 하나 이상의 파라미터에서의 전형적인 드리프트, 또는 이들의 일부 조합과 비교될 수 있다. 레티클 레이아웃용 메트롤로지 타깃 구조 디자인은 하나 이상의 제조 공정들과 호환성이 있다고 판정된 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 생성될 수 있다. 예를 들어, 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하는 것은 생성되는 디자인으로서 하나 이상의 제조 공정들과 가장 호환되는 초기 메트롤로지 타깃 구조 디자인을 선택하는 것을 포함한다.
다른 한 구현에서는, 생성 단계는 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성될지 모의 실험하는 것의 결과를 기초로 메트롤로지 타깃 구조 디자인을 생성하는 것과 측정을 모의 실험하는 것을 포함한다. 이 모의실험들은 여기서 설명된 것처럼 실행될 수 있다. 메트롤로지 타깃 구조 디자인을 생성하는 것은 여기서 자세히 설명된 것 같은 모의실험들의 결과를 기반으로 실행될 수 있다. 예를 들어 한 구현에서, 생성 단계가 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 웨이퍼 상의 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들과 호환성이 있는지를 판정하는 것을 포함한다. 이 같은 한 예에서는, 여기서 설명된 것처럼, 모의실험 결과들은 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 하나 이상의 메트롤로지 공정들을 사용해서 측정될 수 있는지를 나타내거나 및/또는 이를 판정하는 데 사용될 수 있다. 측정 가능하다고 판정된 하나 이상의 초기 메트롤로지 타깃 구조 디자인들은 하나 이상의 메트롤로지 공정들과 호환성이 있다고 판정될 수 있다. 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 하나 이상의 메트롤로지 공정들과 호환성이 있는지를 판정하는 것은 또한 여기서 설명된 임의의 기타 정보를 기초로 판정될 수도 있다. 레티클 레이아웃용 메트롤로지 타깃 구조 디자인은 하나 이상의 메트롤로지 공정들과 호환성이 있다고 판정된 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 생성될 수 있다. 예를 들어, 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하는 것은 생성되는 디자인으로서 하나 이상의 메트롤로지 공정들과 가장 호환성이 좋은 초기 메트롤로지 타깃 구조 디자인을 선택하는 것을 포함한다. 게다가, 생성 단계는 메트롤로지 타깃 구조에 대한 최상의 레티클 제조 가능성, 웨이퍼 인쇄적성, 및/또는 측정 가능성(이들은 본 명세서에서 설명된 바와 같이 판정될 수 있다)을 산출하는 초기 메트롤로지 타깃 구조 디자인을 선택하는 것을 포함할 수 있다.
어떤 구현들에서는, 생성 단계는 모의 실험하는 단계의 결과를 기초로 하나 이상의 초기 메트롤로지 타깃 구조 디자인들 중 하나를 최적화하는 것을 포함한다. 예를 들어, 여기서 설명된 구현들은 레티클 레이아웃에서 메트롤로지 타깃 구조를 최적화하는 데 사용될 수 있는 한 개의 소프트웨어(혹은 두 개 이상의 상호작용 소프트웨어)를 포함할 수 있다. 게다가, 리소그래피 혹은 포토리소그래피 공정 및/또는 메트롤로지 공정 및/또는 제조 공정의 모의실험들은 여기서 설명된 것처럼 실행될 것이며, 타깃용 레이아웃은 모의실험의 결과들을 바탕으로 최적화될 수 있다. 예를 들어, 여기서 설명된 구현들은 측정이 가능하거나 혹은 가장 잘 측정가능한 최적화된 메트롤로지 타깃 구조 디자인을 생성하거나 혹은 선택하는 데에 유리하게 사용될 수 있다.
어떤 구현들에서, 생성 단계는 모의실험 단계의 결과들에 제약을 적용하는 것을 포함한다. 제약들은 하나 이상의 제조 공정들과 웨이퍼 상에서 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들에 대한 제한을 나타낸다. 게다가, 최적화에 적용된 제약들은 리소그래피 혹은 포토리소그래피 그리고/혹은 제조 공정 그리고/혹은 메트롤로지 공정의 제한을 나타낼 것이다.
어떤 구현들에서는, 초기 메트롤로지 타깃 구조 디자인들 중 하나를 최적화하는 것은, 예를 들어, 초기 메트롤로지 타깃 구조 디자인의 인쇄적성을 향상시키기 위하여, 초기 메트롤로지 타깃 구조 디자인용 공정 윈도우를 증가시키기 위하여, 초기 메트롤로지 타깃 구조 디자인의 측정가능성을 향상시키기 위하여, 또는 이들의 어떤 조합을 위하여 모의실험 단계의 결과를 기초로 초기 메트롤로지 타깃 구조 디자인을 변경하는 것을 포함한다. 이와 같은 변경은 임의의 적합한 알고리듬, 규칙들, 방법, 혹은 이들의 어떤 조합을 사용하여 실행될 것이다. 예를 들어, 만약 하나 이상의 메트롤로지 타깃 구조 디자인들이 측정가능하지 않음을 측정에 대한 모의실험 결과들이 나타낸다면, 리소그래피 공정에 대한 모의실험의 결과들은 웨이퍼 상에서 형성될 것이라고 예측되는 하나 이상의 초기 메트롤로지 타깃 구조의 CD와 같은 하나 이상의 특성들이 측정 한도 밖에 있는지를 판정하기 위해 메트롤로지 공정에 대한 제약에 비교될 수 있다. 상기 비교를 기초로, 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 하나 이상의 특성들은 변경될 수 있다. 예를 들어, 하나 이상의 초기 메트롤로지 타깃 구조 디자인들에 포함된 하나 이상의 피처들의 CD는 비교의 결과들을 기초로 증가되거나 혹은 감소될 수 있다.
위에서 설명된 임의의 단계에서 메트롤로지 타깃 구조 디자인을 생성하는 것 및/또는 최적화하는 것은 메트롤로지 타깃 구조 디자인의 임의의 피처 및/또는 특성들을 생성하는 것 및/또는 최적화하는 것을 포함할 수 있다. 예를 들어, 어떤 구현들에서는, 메트롤로지 타깃 구조 디자인의 피처들 그리고/혹은 특성들을 최적화하는 것은 메트롤로지 용도를 위한 메트롤로지 타깃 구조 디자인의 하나 이상의 광학 근접 보정(OPC) 피처를 생성하는 것 및/또는 최적화하는 것을 포함한다. 이 같은 한 예에서, 모의 실험하는 단계는 메트롤로지 타깃 구조 디자인의 서브-해상도(리소그래피가 아닌 메트롤로지) 보조 피처, 공간들, 세리프(serif), 혹은 해머헤드(hammerhead)와 같은 디자인 피처를 최적화하는 것을 포함할 수 있다. 이 같은 최적화는 서로다른 레이어내의 피처들간의 크로스 토크 감소의 측면에서 메트롤로지 성능을 향상시키도록 실행될 수 있는데, 이것은 특히 약 15㎛²보다 작은 메트롤로지 타깃 구조에서 더 정확하고 정밀한 메트롤로지 결과를 가져온다. 이 같은 최적화는 또한 혹은 대안적으로 메트롤로지 시스템 광학기구의 부분간섭성으로 인한 결과적인 영상에서의 링잉 혹은 변조 감소(이것은 또한 메트롤로지 타깃 구조들이 상대적으로 작을 때 치명적일 수 있다)의 측면에서 메트롤로지 성능을 향상시키도록 수행될 수 있다.
따라서 컴퓨터 구현방법의 이러한 구현은 "자동적인" 초기 메트롤로지 타깃 구조 디자인 보정용으로 설정될 수 있다. 예를 들어, 여기서 설명된 모든 공정 단계(예컨대, 레티클 기록, 웨이퍼 레벨로의 패턴 이송, 그리고 메트롤로지)에 대하여, 초기 메트롤로지 타깃 구조 디자인들을 재작성하여 희망하는 규칙들을 거치게함으로써 보정 공정을 자동화하도록 구성된 자동 보정 소프트웨어를 생성하는 것이 가능하다. 한 실행에서는, 이 보정은 사용자 개입 없이 폐회로 방법으로 소프트웨어에 의해 자동적으로 실행될 수 있다. 게다가, 디자인이 변경된 후, 위에서 설명바와 같은 추가적인 모의실험(들)이 변경된 디자인에 대하여 수행될 수 있고, 변경된 디자인의 인쇄적성과/이나 측정가능성이 판정될 수 있다.
여기서 설명된 컴퓨터 구현방법들은 컴퓨터 시스템 또는 컴퓨터들의 로컬 네트워크상에서 구동될 수 있거나, 또는 웹기반의 인터넷 접속과 같은 원격 접속을 통해 구동될 수 있는 소프트웨어를 사용하여 실행될 수 있다. 이 모드는 제조를 위해 그들의 디자인을 제조공장으로 보내는 반도체 디자인전문 회사들에게 특히 유용할 것이다. 디자인을 제조공장으로 수송하기 전에 자기들의 디자인을 점검함으로써, 오류가 빨리 잡히고 빨리 보정될 수 있고 그로 인해 제조 공정의 비용과 사이클 타임이 대폭 줄어들 수 있다.
어떤 구현들에서, 본 컴퓨터 구현방법은 메트롤로지 시스템(예컨대, 메트롤로지 타깃 구조상에서 메트롤로지 공정을 실행하는 데에 사용될 메트롤로지 시스템)에 대한 레시피의 하나 이상의 파라미터들을 선택하는(혹은 최적화하는) 것을 포함한다. 예를 들어, 이 레시피의 파라미터(들)을 선택하는 것은 최적화 공정 동안 변화되는 추가적인 파라미터세트로서 메트롤로지 타깃 구조 디자인을 만들어내는 것과 동시에 실행될 수 있다. 대안적으로, 레시피의 파라미터(들)을 선택하는 것은 추가적인 최적화 단계로써 메트롤로지 타깃 구조 디자인을 만들어내는 것에 뒤이어 실행될 수 있다. 위 두 경우 중 어느 하나에서, 조명 NA, 스펙트럼 대역, 초점, 등등, 즉 보통 "원거리 영역" 파라미터라고 불리는 이 레시피 파라미터(들)는 메트롤로지 타깃 구조 디자인 파라미터들을 최적화하기 위해 사용된 전자기(EM) 모의실험보다 계산적측면에서 훨씬 덜 강하다. 따라서 요약하면, 최적화된 메트롤로지 타깃 구조 디자인을 출력하는 것에 더하여, 본 방법은 최적화된 메트롤로지 시스템 레시피를 출력할 수 있다. 이 메트롤로지 레시피를 최적화하는 것은 또한 공동 양도된 Widmann 등에 의한 미국 특허 출원번호 제 60/729268 호에 기술된 대로 실행될 수 있으며, 이는 2005년 10월 21일에 제출된 것으로 여기 앞으로 전부 설명할 것처럼 참조문이 첨부되어 있다.
한 구현에서는, 본 방법은 레티클 레이아웃용의 표준적인 포맷으로 생성된 메트롤로지 타깃 구조 디자인을 위한 레이아웃을 저장하는 것을 포함한다. 예를 들어, 최적화의 결과는 GDSII 파일 그리고/혹은 여기서 설명된 임의의 다른 파일 유형 같은 표준적인 포맷으로 출력되는 메트롤로지 타깃 구조 디자인이다. GDSII 파일은 레티클을 제조하는 데에 사용될 수 있는 레티클 레이아웃 데이터를 만들어내는 데에 사용될 수 있다. 예를 들어, 여기서 설명된 구현들은 CAD 레티클 디자인 도구에 연결될 수 있다. 이 구현들은 이 기술에서 알려진 임의의 적합한 방식으로 이 CAD 레티클 디자인 도구에 연결될 수 있다. 이런 방식으로, 최적화된 GDS 파일의 출력은 자동적으로 다이로 삽입되거나 혹은 레티클의 스크라이브 라인으로 삽입될 수 있다. 이 같은 최적화된 GDS 파일의 자동 삽입은 공동 양도된 Cohen 등에 의해 2004년 6월 1일에 제출된 미국 특허 출원번호 제 10/858836 호에 기술된 대로 실행될 수 있고, 이는 여기서 앞으로 전부 설명할 것처럼 참조문이 첨부되어 있다. 게다가, 이 GDSII 파일은 메트롤로지 공정(예컨대, 메트롤로지 레시피)을 만들어내는 데에 사용될 수 있다. 예를 들어, GDSII 파일은 메트롤로지 타깃 구조상에서 실행되는 측정의 유형 및/또는 웨이퍼 상에서의 메트롤로지 타깃 구조의 위치를 가리킬 수 있다. 최적화된 메트롤로지 타깃 구조 디자인은 또한 타깃 레이아웃을 GDSII 파일 같은 표준적인 포맷으로 저장하는 사용자에게 제출될 것이다. 만들어진 메트롤로지 타깃 구조 디자인은 또한 이전에 사용된 메트롤로지 타깃 구조 디자인들의 데이터베이스에 저장될 수 있다. 데이터베이스는 또한 여기서 설명된 구현들에 이전의 메트롤로지 타깃 구조 디자인들을 제공할 수 있다.
여기서 설명된 구현들은 메트롤로지 타깃 구조 디자인들을 만들어내기 위한 다른 방법과 시스템들을 능가하는 많은 장점들을 가진다. 예를 들어, 과거에는, 메트롤로지 타깃 구조 최적화는 시행착오 방식에 의해 그리고 이전의 경험에 기반을 둔 경험상의 방법에 의해 실험적으로 실행되었다. 특히, 최근에 사용되는 공정들은 메트롤로지 도구 타깃 디자인 추천을 발생시키고 또 타깃 디자인 추천을 GDS 포맷으로 변환하는 것을 포함할 수 있다. 이 공정들은 또한 바이얼레이션을 피하기 위해 OPC 피처용 CAD 도구를 통해 GDS 포맷으로 이 메트롤로지 타깃 디자인을 작도하는 것을 포함할 수도 있다. 공정은 또한 레티클 상에서 메트롤로지 타깃 디자인들을 인쇄하는 것 그리고 레티클과 함께 웨이퍼를 프로세싱하는 것을 포함할 수 있다. 만약 메트롤로지 타깃 디자인들이 웨이퍼 상에서 잘 인쇄되지 않는다면, 메트롤로지 타깃 디자인들은 디자인들을 최적화하는 것을 시도하기위해 변경될 수 있다. 변경된 디자인은 그 다음 위에 설명된 대로 CAD 도구를 통해 작도될 것이고 위에서 설명된 다른 단계들이 변경된 디자인과 함께 실행될 수 있다. 만약 메트롤로지 타깃 디자인들이 웨이퍼 상에 잘 인쇄된다면, 메트롤로지 타깃 디자인 공정은 장치의 다음 레이어에 대해 실행될 수 있다.
따라서, 각 시도들이 메트롤로지 타깃 구조의 성능을 시험하기 위해서 새로운 레티클과 이 새로운 레티클에 대한 일련의 실험에 상응하기 때문에, 시행착오에 기반을 둔 디자인은 느리고 비용이 많이 든다. 반대로, 여기서 설명된 컴퓨터 구현방법의 구현들의 모든 단계는 레티클 레이아웃을 사용하는 레티클의 제조에 우선하여 실행될 수도 있다. 이런 방식으로, 여기서 설명된 것처럼 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내는 것은 레티클 제조 없이 실행될 수 있고 그로 인해 메트롤로지 타깃 구조를 디자인하는 것의 비용을 실질적으로 줄여나갈 수 있다. 게다가, "경험 법칙"은 종종 지적재산권으로 간주되어서 이 규칙 자체를 널리 보급하는 것은 불가능할 수도 있다. 그에 따라, 새로운 메트롤로지 타깃 구조 채택 및/또는 차선의 메트롤로지 타깃 구조들의 부재는 흔히 본 접근법의 결과다.
여기서 설명된 구현들은 리소그래피 또는 포토리소그래피 및/또는 제조 공정 및/또는 메트롤로지 공정의 모의실험을 실행하고 이로써 보다 적은 시도(아마도 단 한 번의 시도)로 메트롤로지 타깃 구조 디자인의 최적화를 가능하게 해준다. 이런 방식으로, 메트롤로지 타깃 구조 디자인들은 공정 호환성을 위해 여기서 설명된 것처럼 최적화될 수 있고, 공정 모의실험들은 사이클 타임을 줄이고 메트롤로지 타깃 구조들에 대한 실리콘상에서의 첫번째 사이클 성공을 보증하기 위해서 제조(DFM) 기회를 위한 디자인을 제공할 것이다. 결과적으로, 여기서 설명된 구현들은 메트롤로지 최적화 사이클을 단축시킬 것이다. 게다가, 여기서 설명된 구현들은 특히 메트롤로지 타깃 구조들이 점점 더 복잡해져가고 칩의 극도로 민감한 영역으로 이동해가기 시작하고 있으므로 이전에 사용된 메트롤로지 타깃 구조들과의 차별화를 위한 기회를 제공한다. 그러므로 좋은 메트롤로지 타깃 구조 디자인들을 디자인하기 위해 칩 디자이너, 공정 인테그레이션 기술자, 그리고 레이아웃 기술자들로부터의 원조에 점점 더 많이 의지해야 하는 메트롤로지 기술자들에게는 더욱 부담스러워져가고 있다. 그러나 여기서 설명된 구현들은 보다 짧은 사이클 타임으로 메트롤로지 타깃 구조 디자인들을 훨씬 더 쉽게 만들어내고 있다. 게다가, 여기서 설명된 바와 같이 공지된 제약과 타깃 디자인 고려사항(혹은 "경험 법칙")의 소프트웨어 실행은 메트롤로지 타깃 구조 디자인들의 수동 생성에 본래 갖춰져 있는 불확실성과 불리함 없이 메트롤로지 기술자의 경험으로 얻어진 전문지식의 이점을 전할 수 있다.
그러므로, 여기서 설명된 구현들은 낭비적인 지연과 "재-회전"을 줄임으로써 완전한 제조 사이클에서의 좋은 메트롤로지 타깃 구조를 갖는 좋은 레티클의 제조 시간을 크게 줄일 수 있다. 재-회전이란, 레티클이 만들어지고, 웨이퍼가 프로세싱되고, 그런 후 웨이퍼 상에서 수행되는 측정이 메트롤로지 타깃 구조가 쓸모가 없다는 것을 가리키는 최악의 경우의 시나리오이다. 그때 메트롤로지 타깃 구조 디자인은 재작업되어야 하고, 새로운 레티클이 만들어져야 하며, 새로운 웨이퍼가 생산되어야 하는데, 이는 모두 적기 개발 기간에서 많은 비용이 들고 심각하게 지연된다. 그러므로 여기서 설명된 구현들은 또한 인쇄불가능한 및/또는 측정불가능한 메트롤로지 타깃 구조들로 레티클을 기록하려고 시도하려는 과대한 레티클 기록 시간을 줄일 수 있고, 레이아웃에서의 오류로 인하여 메트롤로지 타깃 구조들이 적절하게 측정되지 못할 가능성을 줄일 수 있다.
여기서 설명된 구현에서 사용된 모델들은 또한 외부 데이터(주사전자현미경(SEM) 영상들)의 사용을 통해서 또는 다이 대 데이터베이스 레티클 정밀검사 도구들에 의해 채택된 현존하는 교정 방식과의 데이터 공유에 의해 실제 마스크 제조 공정을 반영하도록 교정될 수도 있다. 모델들에 대한 이 같은 교정은 여기서 설명된 구현들의 정확성을 증가시킬 수 있다. 예를 들어, 이 방법은 만들어진 메트롤로지 타깃 구조 디자인을 사용하여 마스크를 만드는 것을 포함할 수 있다. 이 방법은 또한 레티클이 제조된 다음에 메트롤로지 타깃 구조를 정밀 검사 또는 검증하는 것을 포함할 수 있다. 이 방법으로 메트롤로지 타깃 구조를 정밀 검사하거나 검증하는 것은 이 기술에서 알려진 임의의 정밀검사 및/또는 메트롤로지 도구를 사용하여 실행될 수 있다.
본 방법은 또한 레티클 제조 공정을 사용하여 제조된 레티클 상에서 측정된 데이터를 사용하여 레티클 제조 공정의 모델을 변경하는 것을 포함할 수 있다. 예를 들어, 마스크 제작 모델은 정밀검사 및/또는 메트롤로지에 의해 생성된 레티클에 대한 실험적인 정보로 업데이트될 수 있다. 그 후 업데이트된 마스크 제작 모델은 초기 메트롤로지 타깃 구조 디자인들에 대한 추가 모의실험 영상을 생성하는 데에 사용될 수 있다. 이런 방식으로, 마스크 공정 모델은 정밀검사 및/또는 메트롤로지 데이터 혹은 SEM 영상 같은 임의의 다른 외부 데이터 소스를 사용하여 교정될 수 있거나, 또는 KLA-Tencor, San Jose, California로부터 상업적으로 이용 가능한 다이 대 데이터베이스 레티클 정밀검사 도구상에서 이용 가능한 내장 교정 기능에 모델 업데이트 기능을 연결함으로써 교정될 수 있다.
위에서 설명된 것처럼 제조된 레티클을 정밀 검사 및/또는 측정하는 것은 레티클 상에서 형성된 메트롤로지 타깃 구조에 문제가 있는지를 판정하기 위해 실행될 수 있다. 게다가, 만약 레티클 상에서 형성된 메트롤로지 타깃 구조에 문제가 있다면, 이 만들어진 메트롤로지 타깃 구조 디자인은 여기서 설명된 구현들을 사용하여 정밀검사 및/또는 메트롤로지 데이터를 바탕으로 수정될 수 있으며, 이 수정된 디자인은 또 다른 마스크를 제조하는 데에 사용될 수 있다.
제조된 레티클은 웨이퍼들을 인쇄하는 데에 사용될 수 있다. 웨이퍼들은 모의실험이 실행되기 위한 리소그래피 공정를 사용하여 인쇄될 수 있다. 게다가, 웨이퍼들은 리소그래피 공정 중에서 가장 잘 알려진 공정 파라미터(예컨대, 초점, 노광, 등등)를 사용하여 인쇄될 수 있다. 웨이퍼 상에서 형성된 메트롤로지 타깃 구조는 또한 (예컨대, 모의실험이 실행되었던 메트롤로지 공정을 사용하여) 정밀 검사 및/또는 측정될 수 있다. 메트롤로지 타깃 구조의 정밀검사 및/또는 측정의 결과들은 메트롤로지 타깃 구조가 웨이퍼 상에 얼마나 잘 인쇄되는지를 판정하는 데에 사용될 수 있다. 예를 들어, 정밀검사 및/또는 측정의 결과들은 메트롤로지 타깃 구조가 웨이퍼 상에 비교적 정확하게 인쇄되는지 어떤지를 판정하기 위해 만들어진 메트롤로지 타깃 구조 디자인과 비교될 수 있다. 만약 메트롤로지 타깃 구조가 웨이퍼 상에 잘 인쇄되지 않았다면, 정밀검사 및/또는 측정의 결과들은 만들어진 메트롤로지 타깃 구조 디자인을 변경하는 데에 사용될 수 있고, 여기서 설명된 단계들이 변경된 디자인에 대해 실행될 수 있다. 만약 메트롤로지 타깃 구조가 웨이퍼 상에 잘 인쇄되었다면, 여기서 설명된 방법들은 또 다른 공정 레이어에 대해 실행될 수 있다.
만들어진 메트롤로지 타깃 디자인이 웨이퍼 상에서 어떻게 형성될 것인지를 모의 실험한 결과들은 웨이퍼 상에서 형성된 메트롤로지 타깃 구조의 실제 영상들 혹은 측정들과 비교될 수 있다. 이 같은 비교들은 웨이퍼 모델을 검증하는 데에 사용될 수 있다. 예를 들어, 인쇄된 웨이퍼에 대한 정밀검사 및/또는 메트롤로지에 의해 생성된 실험 데이터는 모의실험 결과들과 비교될 수 있다. 인쇄된 웨이퍼와 모의실험 결과들 사이의 임의의 차이점들은 분석되어 이 차이점들이 모델의 부정확함을 가리키는 것인지를 판정한다. 그 후 인쇄된 영상과 모의실험 결과들 사이의 차이점들은 상기 부정확성과 다른 임의의 부정확성을 보정하는 데에 사용될 수 있다. 그러므로 이 방법은 리소그래피 공정을 사용하여 인쇄된 웨이퍼 상에서 측정된 데이터를 사용하여 리소그래피 공정 모델을 변경하는 것을 포함할 수 있다. 여기서 설명된 임의의 다른 모델들은 위에서 설명된 것처럼 업데이트되고, 보정되고, 그리고/혹은 교정될 수 있다.
그러므로 여기서 설명된 방법들은 초기 메트롤로지 타깃 구조 디자인들에 대한 모의실험을 모델들이 필요한 허용범위 안에서 유효한지를 확실하게 하기 위해 실제 측정된 마스크와 웨이퍼에 연결할 수 있다. 이 공정에 의해 검증되고 승인된 각각의 디자인은 후에 적합한 도구에 의하여 측정될 수 있는 마스크와 웨이퍼들을 제조하는 데에 사용될 수 있다. 마스크 정밀검사 및/또는 메트롤로지 도구들 그리고 웨이퍼 정밀검사 및/또는 메트롤로지 도구들로부터 수집된 데이터는 그 후 모델 예측과 비교될 수 있다. 모델들이 가장 최근 상태의 다양한 공정들인 것을 나타내도록 보장하기 위해 모델들은 끊임없이 업데이트될 수 있다. 기술들을 모니터링하는 것이 실제의 마스크 또는 웨이퍼 결과가 모델 예측과 맞지 않은 경우를 확인하는 데에 적용될 수 있고, 따라서 모델은 예측된 결과와 실제의 결과들 사이의 정확한 상관관계를 복원하기 위해 업데이트될 수 있고, 공정은 조정될 수 있다.
다른 한 구현은 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내기 위한 컴퓨터 구현방법을 실행하기 위해 컴퓨터 시스템상에서 실행이 가능한 프로그램 명령어를 포함하는 전송 매체와 관련이 있다. 이러한 한 구현이 도 2에서 도시된다. 특히, 도 2에서 보여주듯이, 전송매체(12)는 컴퓨터 시스템(16) 상에서 실행이 가능한 프로그램 명령어(14)를 포함한다.
본 컴퓨터 구현방법은 웨이퍼상에서 메트롤로지 타깃 구조를 형성하는데에 사용될 하나 이상의 제조 공정들과 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성될 것인지를 모의 실험하는 것을 포함한다. 하나 이상의 초기 메트롤로지 타깃 구조들이 웨이퍼 상에서 어떻게 형성될지를 모의 실험하는 것은 여기서 설명된 것처럼 실행될 수 있다. 본 방법은 또한 모의 실험 단계의 결과를 기초로 메트롤로지 타깃 구조 디자인을 생성하는 것을 포함한다. 메트롤로지 타깃 구조 디자인을 생성하는 것은 여기서 자세히 설명된 것처럼 실행될 수 있다. 프로그램 명령어가 실행되도록 하기 위한 컴퓨터 구현방법은 여기서 설명된 임의의 기타 단계(들)를 포함할 수 있다.
여기서 설명된 컴퓨터 프로그램 명령어(14) 구현방법들은 전송매체(12)를 통해 전송되거나 혹은 이에 저장될 수 있다. 전송매체는 와이어, 케이블 혹은 무선 전송 링크 같은 전송매체일 수 있다. 전송매체는 또한 읽기전용 메모리, 랜덤 액세스 메모리, 자기 혹은 광디스크, 혹은 자기 테이프와 같은 저장 매체일 수 있다.
프로그램 명령어들은 공정절차 기반 기술, 구성요소 기반 테크닉, 그리고/혹은 객체 지향형 기술 등을 포함하는 임의의 다양한 방식으로 실행될 수 있다. 예를 들어, 프로그램 명령어들은 ActiveX Control, C++ object, Javabean, Microsoft Foundation Class("MFC"), 혹은 희망하는 기타 기술들 또는 방법들을 사용하여 실행될 수 있다.
컴퓨터 시스템은 개인 컴퓨터 시스템, 영상 컴퓨터, 메인프레임 컴퓨터 시스템, 워크스테이션, 네트워크 장비, 인터넷 장비, 혹은 기타 장치들을 포함하는 다양한 종류를 취할 있다. 일반적으로, "컴퓨터 시스템"이라는 용어는 기억매체로부터의 명령어를 실행하는 하나 이상의 프로세서를 가지고 있는 모든 장치를 포함하도록 넓게 정의될 수 있다. 컴퓨터 시스템은 또한 병렬 프로세서 같이 본 기술에서 알려진 임의의 적합한 프로세서도 포함할 수 있다. 게다가, 컴퓨터 시스템은 독립형 또는 네트워크방식 도구로서, 고속의 프로세싱과 소프트웨어를 가지고 있는 컴퓨터 플랫폼을 포함할 것이다.
다른 한 구현은 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내도록 설정된 시스템과 관련이 있다. 이 같은 시스템의 한 구현이 도. 2에서 도시된다. 도 2에서 보이듯이, 시스템(18)은 웨이퍼 상에서 메트롤로지 타깃 구조를 형성하는데에 사용될 하나 이상의 제조 공정들과 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 하나 이상의 초기 메트롤로지 타깃 구조들이 어떻게 웨이퍼 상에서 형성되는지를 모의 실험하도록 구성된 모의실험 엔진(20)을 포함한다. 모의실험 엔진은 여기서 자세히 설명된 것처럼 모의실험을 실행하도록 구성될 수 있다. 모의실험 엔진은 또한 여기서 설명된 임의의 다른 모의실험 단계(들)를 실행하도록 구성될 수 있다. 모의실험 엔진은 여기서 설명된 것처럼 하나 이상의 모의실험을 실행하도록 구성될 수 있는 임의의 적합한 하드웨어 및/또는 소프트웨어를 포함할 수 있다.
시스템(18)은 또한 모의실험 엔진의 출력을 기초로 메트롤로지 타깃 구조 디자인을 만들어내도록 구성된 컴퓨터 시스템(16)도 포함한다. 메트롤로지 타깃 구조 디자인을 만들어내기 위한 컴퓨터 시스템에 의해 사용된 모의실험 엔진의 출력은 여기서 설명된 임의의 모의실험의 임의의 결과들을 포함할 수 있다. 컴퓨터 시스템은 여기서 자세히 설명된 것처럼 메트롤로지 타깃 구조 디자인을 만들어내도록 구성될 수 있다. 게다가, 컴퓨터 시스템은 여기서 설명된 임의의 방법 구현(들)의 임의의 기타 단계(들)을 실행하도록 구성될 수 있다. 컴퓨터 시스템은 여기서 설명된 바와 같이 더 구성될 수 있다. 본 시스템은 또한 여기서 설명된 바와 같이 더 구성될 수 있다.
어떤 구현들에서는, 본 시스템은 정밀검사 및/또는 메트롤로지 도구(미도시)를 포함할 수 있다. 정밀검사 및/또는 메트롤로지 도구는 레티클 및/또는 웨이퍼 상에서 형성된 메트롤로지 타깃 구조들의 결함을 검출하도록 그리고/혹은 이들의 하나 이상의 특성들을 측정하도록 구성될 수 있다. 정밀검사 및/또는 메트롤로지 도구는 임의의 적합한 방법으로 컴퓨터 시스템과 연결될 수 있다. 상기 도구는 이 기술에서 알려진 임의의 적합한 구성을 가질 것이다. 게다가, 상기 도구는 광학촬영 시스템, 엘립소미터 기반 시스템, 스케터로미터 기반 시스템, CD SEM 같은 전자 빔 시스템 등과 같은 다양한 구성을 가질 수 있다. 더욱이, 본 시스템은 독립형 도구로서 구성될 수 있다. 예를 들어, 본 시스템은 여기서 설명된 하나 이상의 방법들을 실행하기 위해 특별히 디자인된(그리고 선택적으로 전용되는) 하나 이상의 구성요소를 포함할 수 있다.
여기서 설명된 방법들은 또한 공동 양도된 Verma 등에 의해 2005년 6월 16일에 제출된 미국 특허 출원 번호 제 11/154310 호와 2006년 3월 23일 미국 특허 출원서 출판물 제 2006/0062445 호로 출간된 Verma와 공동 저자에 의해 2005년 9월 14일에 제출된 제 11/226698 호에 기술된 모든 단계(들)의 모든 방법(들)도 포함할 수 있는데, 이는 앞으로 전부 설명할 것처럼 참조문이 첨부되어 있다. 게다가, 여기서 설명된 것처럼 최적화될 수 있는 메트롤로지 타깃 구조에 관한 예시들은 2006년 2월 2일에 미국 특허 출원서 출판물 제 2006/0024850호로 출간된 통상적으로 양도된 Monahan과 공동 저자에 의해 2005년 6월 22일에 제출된 미국 특허 출원서 시리얼 넘버 제 11/187609 호에 예시로 설명되어 있는데, 이는 앞으로 전부 설명할 것처럼 참조문이 첨부되어 있다.
이 발명의 다양한 측면에 대한 더 자세한 수정 및 대안적인 구현들은 이 설명에 비추어볼 때 이 기술에서 숙련된 사람들에게 명백한 것일 수 있다. 예를 들어, 컴퓨터 구현방법, 전송매체, 그리고 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 만들어내는 시스템들이 제공된다. 따라서 이 설명은 오직 예시로만 해석되어야 하고, 이 발명을 하는 일반적인 방법을 이 기술에서 숙련된 사람들에게 가르치는 것을 목적으로 한다. 여기서 보여지고 설명된 발명의 형식은 현재 선취권이 있는 구현으로 받아들여진다는 것이 이해되어야 한다. 구성요소와 재료들은 여기서 예시로 설명되고 기술된 것들로 대체될 수 있을 것이고, 장치들과 공정들은 파기될 수 있으며, 이 발명의 어떤 특징들은 자유롭게 활용될 수 있다. 발명에 대한 설명의 이익을 가진 다음에는 모든 것이 이 기술에 숙련된 사람에게는 명백할 수 있다. 다음의 청구항에서 기술된 것처럼 발명의 목적 및 적용범위에서 벗어나지 않으면서 여기서 설명된 구성요소에 변화가 일어날 수 있다.

Claims (28)

  1. 레티클 레이아웃용 메트롤로지 타깃 구조(metrology target structure) 디자인을 생성하기 위한 컴퓨터 구현방법으로서,
    웨이퍼 상에 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 인쇄적합성(printability)을 결정하는 단계로서, 상기 결정 단계는 상기 웨이퍼 상에서 메트롤로지 타깃 구조를 형성하는 데에 사용될 하나 이상의 제조 공정들과 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 하나 이상의 초기 메트롤로지 타깃 구조들이 상기 웨이퍼 상에서 어떻게 형성될 것인지를 모의 실험(simulating)하는 단계를 포함하는, 상기 결정 단계와
    상기 결정 단계의 결과를 기초로 상기 메트롤로지 타깃 구조 디자인을 생성하는 단계
    를 포함하는 것인 컴퓨터 구현방법.
  2. 제 1 항에 있어서, 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 인쇄적합성을 결정하는 단계는, 모의 실험한 영상들을 인쇄된 하나 이상의 초기 메트롤로지 타깃 구조들의 이상적인 영상들과 비교하는 단계를 포함하는 것인 컴퓨터 구현방법.
  3. 제 2 항에 있어서, 상기 비교 단계에 의해 식별된 차이들은, 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 상기 웨이퍼 상에 인쇄될 수 있는지(printable) 여부와, 상기 초기 메트롤로지 타깃 구조 디자인들이 상기 웨이퍼 상에 얼마나 잘 인쇄되는지를 결정하는데 사용되는 것인 컴퓨터 구현방법.
  4. 제 2 항에 있어서, 상기 모의 실험한 영상들을 인쇄된 하나 이상의 초기 메트롤로지 타깃 구조들의 이상적인 영상들과 비교하는 단계는, 상기 모의 실험한 영상들과 상기 이상적인 영상들의 임의의 하나 이상의 특성들을 비교하는 단계를 포함하는 것인 컴퓨터 구현방법.
  5. 제 2 항에 있어서, 상기 이상적인 영상들은 상기 초기 메트롤로지 타깃 구조 디자인들로부터 직접적으로 생성되는 것인 컴퓨터 구현방법.
  6. 제 4 항에 있어서, 상기 모의 실험한 영상들과 상기 이상적인 영상들의 하나 이상의 특성들은 임계치수(CD), 측벽 각, 프로파일, 각 피처들의 에지 배치, 또는 이들의 조합을 포함하는 것인 컴퓨터 구현방법.
  7. 제 1 항에 있어서, 상기 결정 단계는 상기 모의 실험 이전에 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 그래픽 데이터 열(GDS) 포맷으로 변환하는 단계를 더 포함하는 것인 컴퓨터 구현방법.
  8. 제 1 항에 있어서, 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 인쇄적합성을 결정하는 단계는 모의 실험한 영상들을 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들 자체와 비교하는 단계를 포함하는 것인 컴퓨터 구현방법.
  9. 제 1 항에 있어서, 상기 컴퓨터 구현방법의 모든 단계들은 자동적으로 실행되는 것인 컴퓨터 구현방법.
  10. 제 1 항에 있어서, 상기 컴퓨터 구현방법의 모든 단계들은 상기 레티클 레이아웃을 이용하는 레티클의 제조 이전에 수행되는 것인 컴퓨터 구현방법.
  11. 제 1 항에 있어서, 상기 하나 이상의 제조 공정들을 기술하는 하나 이상의 파라미터들을 기초로 선택된 규칙 세트를 사용하여 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 생성하는 단계를 더 포함하는 것인 컴퓨터 구현방법.
  12. 제 1 항에 있어서, 상기 웨이퍼 상의 상기 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들을 기초로 상기 하나 이상의 초기 메트롤로지 타깃 구조들에 대한 측정을 모의 실험함으로써 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 생성하는 단계를 더 포함하는 것인 컴퓨터 구현방법.
  13. 제 1 항에 있어서, 상기 웨이퍼 상의 상기 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들을 기술하는 하나 이상의 파라미터들을 기초로 선택된 규칙 세트들을 사용하여 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 생성하는 단계를 더 포함하는 것인 컴퓨터 구현방법.
  14. 제 1 항에 있어서, 상기 하나 이상의 초기 메트롤로지 타깃 구조들이 상기 웨이퍼 상에서 어떻게 형성될 것인지를 모의 실험한 결과와 상기 웨이퍼 상의 상기 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들을 기초로 상기 웨이퍼 상에 형성된 상기 하나 이상의 초기 메트롤로지 타깃 구조들의 측정을 모의 실험하는 단계를 더 포함하며, 상기 생성하는 단계는 상기 하나 이상의 초기 메트롤로지 타깃 구조들이 상기 웨이퍼 상에서 어떻게 형성될 것인지를 모의 실험하는 단계의 결과와 상기 측정을 모의 실험하는 단계의 결과를 기초로 상기 메트롤로지 타깃 구조 디자인을 생성하는 단계를 포함하는 것인 컴퓨터 구현방법.
  15. 제 1 항에 있어서, 상기 모의 실험하는 단계는 상기 하나 이상의 제조 공정들의 하나 이상의 파라미터들의 여러가지 값들에서 상기 하나 이상의 초기 메트롤로지 타깃 구조들이 상기 웨이퍼 상에서 어떻게 형성될 것인지를 모의 실험하는 단계를 포함하는 것인 컴퓨터 구현방법.
  16. 제 15 항에 있어서, 상기 생성하는 단계는 상기 모의 실험하는 단계의 결과를 기초로 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 위한 공정 윈도우를 판정하는 단계와, 최대 공정 윈도우를 갖는 초기 메트롤로지 타깃 구조 디자인을 상기 생성된 메트롤로지 타깃 구조 디자인으로서 선택하는 단계를 포함하는 것인 컴퓨터 구현방법.
  17. 제 15 항에 있어서, 상기 생성하는 단계는, 상기 모의 실험하는 단계의 결과를 기초로 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인용 공정 윈도우를 판정하는 단계, 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인용 공정 윈도우를 상기 하나 이상의 제조 공정들을 사용하여 상기 웨이퍼 상에서 형성될 장치 구조용 공정 윈도우와 비교하는 단계, 및 상기 장치 구조용 공정 윈도우보다 큰 공정 윈도우를 가지고 있는 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 상기 메트롤로지 타깃 구조 디자인을 선택하는 단계를 포함하는 것인 컴퓨터 구현방법.
  18. 제 1 항에 있어서, 상기 생성하는 단계는 상기 모의 실험하는 단계의 결과에 제약성을 적용하는 단계를 포함하며, 상기 제약성은 상기 하나 이상의 제조 공정들과, 상기 웨이퍼 상의 상기 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들에 대한 제한을 나타내는 것인 컴퓨터 구현방법.
  19. 제 1 항에 있어서, 상기 생성하는 단계는 상기 모의 실험하는 단계의 결과를 기초로 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들 중 하나의 초기 메트롤로지 타깃 구조 디자인을 최적화하는 단계를 포함하는 것인 컴퓨터 구현방법.
  20. 제 1 항에 있어서, 상기 생성된 메트롤로지 타깃 구조 디자인용 레이아웃을 상기 레티클 레이아웃용 표준 포맷으로 저장하는 단계를 더 포함하는 것인 컴퓨터 구현방법.
  21. 제 1 항에 있어서, 상기 하나 이상의 제조 공정들은 리소그래피를 포함하는 것인 컴퓨터 구현방법.
  22. 제 1 항에 있어서, 상기 하나 이상의 제조 공정들은 식각(etch)을 포함하는 것인 컴퓨터 구현방법.
  23. 제 1 항에 있어서, 상기 하나 이상의 제조 공정들은 화학적 기계적 연마(polishing)를 포함하는 것인 컴퓨터 구현방법.
  24. 제 1 항에 있어서, 상기 하나 이상의 제조 공정들은 증착(deposition)을 포함하는 것인 컴퓨터 구현방법.
  25. 제 1 항에 있어서, 상기 생성하는 단계는 상기 모의 실험하는 단계의 결과를 기초로 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 상기 하나 이상의 제조 공정들과 호환성이 있는지를 판정하는 단계를 포함하는 것인 컴퓨터 구현방법.
  26. 제 1 항에 있어서, 상기 생성하는 단계는 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들이 상기 웨이퍼 상의 상기 메트롤로지 타깃 구조를 측정하는 데에 사용될 하나 이상의 메트롤로지 공정들과 호환성이 있는지를 판정하는 단계를 포함하는 것인 컴퓨터 구현방법.
  27. 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현 방법을 실행하기 위해 컴퓨터 시스템상에서 실행될 수 있는 프로그램 명령어를 포함하는 컴퓨터 판독가능한 기록매체로서, 상기 컴퓨터 구현 방법은:
    웨이퍼 상에 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 인쇄적합성(printability)을 결정하는 단계로서, 상기 결정 단계는 상기 웨이퍼 상에서 메트롤로지 타깃 구조를 형성하는 데에 사용될 하나 이상의 제조 공정들과 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 하나 이상의 초기 메트롤로지 타깃 구조들이 상기 웨이퍼 상에서 어떻게 형성될 것인지를 모의 실험하는 단계를 포함하는, 상기 결정 단계; 및
    상기 결정 단계의 결과를 기초로 상기 메트롤로지 타깃 구조 디자인을 생성하는 단계
    를 포함하는 것인 컴퓨터 판독가능한 기록매체.
  28. 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하도록 구성된 시스템으로서:
    웨이퍼 상에 하나 이상의 초기 메트롤로지 타깃 구조 디자인들의 인쇄적합성(printability)을 결정하도록 구성된 모의 실험 엔진으로서, 상기 결정은 웨이퍼 상에서 메트롤로지 타깃 구조를 형성하는 데에 사용될 하나 이상의 제조 공정들과 상기 하나 이상의 초기 메트롤로지 타깃 구조 디자인들을 기초로 하나 이상의 초기 메트롤로지 타깃 구조들이 상기 웨이퍼 상에서 어떻게 형성될 것인지를 모의 실험하는 것을 포함하는, 상기 모의 실험 엔진; 및
    상기 모의 실험 엔진의 출력을 기초로 상기 메트롤로지 타깃 구조 디자인을 생성하도록 구성된 컴퓨터 시스템
    을 포함하는 것인 시스템.
KR1020137018479A 2006-03-14 2007-03-14 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템 KR101450500B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US78207506P 2006-03-14 2006-03-14
US60/782,075 2006-03-14
US11/685,501 US7925486B2 (en) 2006-03-14 2007-03-13 Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US11/685,501 2007-03-13
PCT/US2007/063995 WO2007106864A2 (en) 2006-03-14 2007-03-14 Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020087025041A Division KR101338598B1 (ko) 2006-03-14 2007-03-14 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템

Publications (2)

Publication Number Publication Date
KR20130087623A KR20130087623A (ko) 2013-08-06
KR101450500B1 true KR101450500B1 (ko) 2014-10-13

Family

ID=38510273

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020087025041A KR101338598B1 (ko) 2006-03-14 2007-03-14 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템
KR1020137018479A KR101450500B1 (ko) 2006-03-14 2007-03-14 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020087025041A KR101338598B1 (ko) 2006-03-14 2007-03-14 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템

Country Status (5)

Country Link
US (1) US7925486B2 (ko)
EP (1) EP1997045B1 (ko)
JP (1) JP5204753B2 (ko)
KR (2) KR101338598B1 (ko)
WO (1) WO2007106864A2 (ko)

Families Citing this family (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7631286B2 (en) * 2005-12-30 2009-12-08 Wafertech Llc Automated metrology recipe generation
US9454739B2 (en) 2006-05-01 2016-09-27 Western Michigan Universitry Research Foundation Multi-period financial simulator of a process
US20070255605A1 (en) * 2006-05-01 2007-11-01 David Meade Multi-period financial simulator of a manufacturing operation
US7873504B1 (en) 2007-05-07 2011-01-18 Kla-Tencor Technologies Corp. Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
US8078997B2 (en) * 2007-12-28 2011-12-13 Cadence Design Systems, Inc. Method, system, and computer program product for implementing a direct measurement model for an electronic circuit design
JP5224853B2 (ja) * 2008-02-29 2013-07-03 株式会社東芝 パターン予測方法、パターン補正方法、半導体装置の製造方法、及びプログラム
US7966583B2 (en) * 2008-07-08 2011-06-21 Synopsys, Inc. Method and apparatus for determining the effect of process variations
NL2003919A (en) * 2008-12-24 2010-06-28 Asml Netherlands Bv An optimization method and a lithographic cell.
US8214771B2 (en) * 2009-01-08 2012-07-03 Kla-Tencor Corporation Scatterometry metrology target design optimization
WO2014138057A1 (en) 2013-03-04 2014-09-12 Kla-Tencor Corporation Metrology target identification, design and verification
CN105051611B (zh) 2013-03-14 2017-04-12 Asml荷兰有限公司 图案形成装置、在衬底上生成标记的方法以及器件制造方法
JP6509225B2 (ja) * 2013-12-11 2019-05-08 ケーエルエー−テンカー コーポレイション 要件に対するターゲット及びプロセス感度の分析
CN105874388B (zh) 2013-12-30 2019-03-15 Asml荷兰有限公司 用于量测目标的设计的方法和设备
KR101860042B1 (ko) * 2013-12-30 2018-05-21 에이에스엠엘 네델란즈 비.브이. 메트롤로지 타겟의 디자인을 위한 장치 및 방법
WO2015101461A2 (en) 2013-12-30 2015-07-09 Asml Netherlands B.V. Method and apparatus for design of a metrology target
SG11201604641PA (en) 2013-12-30 2016-07-28 Asml Netherlands Bv Method and apparatus for design of a metrology target
US9536299B2 (en) 2014-01-16 2017-01-03 Kla-Tencor Corp. Pattern failure discovery by leveraging nominal characteristics of alternating failure modes
WO2016078861A1 (en) 2014-11-17 2016-05-26 Asml Netherlands B.V. Process based metrology target design
EP3037878B1 (en) * 2014-12-23 2020-09-09 Aselta Nanographics Method of applying vertex based corrections to a semiconductor design
US10394136B2 (en) 2015-09-30 2019-08-27 Asml Netherlands B.V. Metrology method for process window definition
CN109073981B (zh) 2016-04-04 2021-09-24 科磊股份有限公司 通过填充因数调制的工艺兼容性改善
US10018919B2 (en) 2016-05-29 2018-07-10 Kla-Tencor Corporation System and method for fabricating metrology targets oriented with an angle rotated with respect to device features
US10095122B1 (en) 2016-06-30 2018-10-09 Kla-Tencor Corporation Systems and methods for fabricating metrology targets with sub-resolution features
WO2019029933A1 (en) * 2017-08-07 2019-02-14 Asml Netherlands B.V. COMPUTER METROLOGY
US10776277B2 (en) 2017-10-31 2020-09-15 Sandisk Technologies Llc Partial memory die with inter-plane re-mapping
US10290354B1 (en) 2017-10-31 2019-05-14 Sandisk Technologies Llc Partial memory die
WO2020141040A1 (en) 2019-01-03 2020-07-09 Asml Netherlands B.V. Methods and patterning devices and apparatuses for measuring focus performance of a lithographic apparatus, device manufacturing method
WO2021001109A1 (en) * 2019-07-03 2021-01-07 Asml Netherlands B.V. Method for applying a deposition model in a semiconductor manufacturing process
WO2024017807A1 (en) * 2022-07-19 2024-01-25 Asml Netherlands B.V. Systems and methods for optimizing metrology marks

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003043075A1 (en) 2001-11-14 2003-05-22 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US20040181768A1 (en) 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08202020A (ja) 1995-01-31 1996-08-09 Sony Corp フォトマスクにおけるパターン形状評価方法、フォトマスク、フォトマスクの作製方法、フォトマスクのパターン形成方法、並びに露光方法
US5805290A (en) 1996-05-02 1998-09-08 International Business Machines Corporation Method of optical metrology of unresolved pattern arrays
US6248602B1 (en) * 1999-11-01 2001-06-19 Amd, Inc. Method and apparatus for automated rework within run-to-run control semiconductor manufacturing
US6430737B1 (en) * 2000-07-10 2002-08-06 Mentor Graphics Corp. Convergence technique for model-based optical and process correction
US6581193B1 (en) * 2001-06-13 2003-06-17 Kla-Tencor Apparatus and methods for modeling process effects and imaging effects in scanning electron microscopy
US6886153B1 (en) * 2001-12-21 2005-04-26 Kla-Tencor Corporation Design driven inspection or measurement for semiconductor using recipe
US20030160163A1 (en) * 2002-02-25 2003-08-28 Alan Wong Optical metrology target design for simultaneous measurement of multiple periodic structures
US7092110B2 (en) 2002-07-25 2006-08-15 Timbre Technologies, Inc. Optimized model and parameter selection for optical metrology
US7346878B1 (en) * 2003-07-02 2008-03-18 Kla-Tencor Technologies Corporation Apparatus and methods for providing in-chip microtargets for metrology or inspection
US7135344B2 (en) * 2003-07-11 2006-11-14 Applied Materials, Israel, Ltd. Design-based monitoring
JP2005345871A (ja) * 2004-06-04 2005-12-15 Toppan Printing Co Ltd 近接効果補正精度検証装置及び近接効果補正精度検証方法並びにそのプログラム
US7573574B2 (en) 2004-07-13 2009-08-11 Asml Netherlands Bv Lithographic apparatus and device manufacturing method
WO2006012388A2 (en) 2004-07-22 2006-02-02 Kla-Tencor Technologies Corp. Test structures and methods for monitoring or controlling a semiconductor fabrication process
JP4904034B2 (ja) 2004-09-14 2012-03-28 ケーエルエー−テンカー コーポレイション レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
US7094507B2 (en) * 2004-10-29 2006-08-22 Infineon Technologies Ag Method for determining an optimal absorber stack geometry of a lithographic reflection mask
US7695876B2 (en) 2005-08-31 2010-04-13 Brion Technologies, Inc. Method for identifying and using process window signature patterns for lithography process control
US7480050B2 (en) 2006-02-09 2009-01-20 Asml Netherlands B.V. Lithographic system, sensor, and method of measuring properties of a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2003043075A1 (en) 2001-11-14 2003-05-22 Kla-Tencor Corporation Method and apparatus for the production of process sensitive lithographic features
US20040181768A1 (en) 2003-03-12 2004-09-16 Krukar Richard H. Model pattern simulation of semiconductor wafer processing steps

Also Published As

Publication number Publication date
WO2007106864A2 (en) 2007-09-20
US20070276634A1 (en) 2007-11-29
KR101338598B1 (ko) 2013-12-06
US7925486B2 (en) 2011-04-12
WO2007106864A3 (en) 2008-01-31
KR20090008223A (ko) 2009-01-21
EP1997045B1 (en) 2016-06-01
JP2009530668A (ja) 2009-08-27
JP5204753B2 (ja) 2013-06-05
EP1997045A4 (en) 2010-03-31
EP1997045A2 (en) 2008-12-03
KR20130087623A (ko) 2013-08-06

Similar Documents

Publication Publication Date Title
KR101450500B1 (ko) 레티클 레이아웃용 메트롤로지 타깃 구조 디자인을 생성하기 위한 컴퓨터 구현방법, 전송매체, 및 시스템
US7873504B1 (en) Computer-implemented methods, carrier media, and systems for creating a metrology target structure design for a reticle layout
JP4758358B2 (ja) レチクル設計データにおける欠陥を検出するためのコンピュータに実装される方法
US7735053B2 (en) Correction method and correction system for design data or mask data, validation method and validation system for design data or mask data, yield estimation method for semiconductor integrated circuit, method for improving design rule, mask production method, and semiconductor integrated circuit production method
JP4904034B2 (ja) レチクル・レイアウト・データを評価するための方法、システム及び搬送媒体
EP1424595B1 (en) Automatic calibration of a masking process simulator
US20170004233A1 (en) Method of simultaneous lithography and etch correction flow
JP2009508167A (ja) 個別マスクエラーモデルを使用するマスク検証を行うシステムおよび方法
WO2008003084A2 (en) Computer-implemented methods and systems for determining different process windows for a wafer printing process for different reticle designs
JP2004514938A (ja) 集積回路の特性を測定するプロセスと装置
US20070111112A1 (en) Systems and methods for fabricating photo masks
KR100674964B1 (ko) 포토마스크 교정 방법 및 시스템 장치
US11366397B2 (en) Method and apparatus for simulation of lithography overlay
US20130232456A1 (en) Optical proximity correction methods for masks to be used in multiple patterning processes
TW202235999A (zh) 用於遮罩合成之隨機感知微影模型
TWI440967B (zh) 光罩之製造方法
US9459523B2 (en) Pattern optical similarity determination
TWI804839B (zh) 用於組態插補模型的方法及相關聯電腦程式產品
Tabery et al. Design-based metrology: advanced automation for CD-SEM recipe generation
US20100082313A1 (en) Optical Lithographic Process Model Calibration
US20240118625A1 (en) Metrology target simulation
JP2009288497A (ja) パターン検証方法、パターン決定方法、製造条件決定方法、パターン検証プログラム及び製造条件検証プログラム
Isoyan et al. Compact OPC model optimization using emulated data
Wu et al. Lithography process calibration with applications in defect printability analysis
Qian et al. Advanced physical models for mask data verification and impacts on physical layout synthesis

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170927

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180927

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190925

Year of fee payment: 6