KR101400723B1 - 그래핀의 패터닝 방법 - Google Patents

그래핀의 패터닝 방법 Download PDF

Info

Publication number
KR101400723B1
KR101400723B1 KR1020130021855A KR20130021855A KR101400723B1 KR 101400723 B1 KR101400723 B1 KR 101400723B1 KR 1020130021855 A KR1020130021855 A KR 1020130021855A KR 20130021855 A KR20130021855 A KR 20130021855A KR 101400723 B1 KR101400723 B1 KR 101400723B1
Authority
KR
South Korea
Prior art keywords
graphene
metal pattern
oxide layer
minutes
group
Prior art date
Application number
KR1020130021855A
Other languages
English (en)
Inventor
염근영
임규욱
임종태
이경재
정석민
강태희
박진우
오종식
김성희
양미현
Original Assignee
포항공과대학교 산학협력단
성균관대학교산학협력단
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 포항공과대학교 산학협력단, 성균관대학교산학협력단 filed Critical 포항공과대학교 산학협력단
Priority to KR1020130021855A priority Critical patent/KR101400723B1/ko
Application granted granted Critical
Publication of KR101400723B1 publication Critical patent/KR101400723B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01BNON-METALLIC ELEMENTS; COMPOUNDS THEREOF; METALLOIDS OR COMPOUNDS THEREOF NOT COVERED BY SUBCLASS C01C
    • C01B32/00Carbon; Compounds thereof
    • C01B32/15Nano-sized carbon materials
    • C01B32/182Graphene
    • C01B32/194After-treatment
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0037Production of three-dimensional images
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • CCHEMISTRY; METALLURGY
    • C01INORGANIC CHEMISTRY
    • C01PINDEXING SCHEME RELATING TO STRUCTURAL AND PHYSICAL ASPECTS OF SOLID INORGANIC COMPOUNDS
    • C01P2004/00Particle morphology
    • C01P2004/10Particle morphology extending in one dimension, e.g. needle-like
    • C01P2004/17Nanostrips, nanoribbons or nanobelts, i.e. solid nanofibres with two significantly differing dimensions between 1-100 nanometer

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Inorganic Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Carbon And Carbon Compounds (AREA)

Abstract

본원은 그래핀의 패터닝 방법에 관한 것으로서, 구체적으로, 기재 상에 산화물 층을 형성하고; 상기 산화물 층 상에 금속 패턴을 형성하고; 상기 산화물 층 및 상기 금속 패턴 상에 그래핀 (graphene)을 전사하고; 및, 상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시켜 제거하여 그래핀 패턴을 형성하는 것을 포함하는, 그래핀의 패터닝 방법에 관한 것이다.

Description

그래핀의 패터닝 방법 {PATTERNING METHOD OF GRAPHENE}
본원은, 그래핀의 패터닝 방법에 관한 것으로서, 구체적으로, 기재 상에 산화물 층을 형성하고; 상기 산화물 층 상에 금속 패턴을 형성하고; 상기 산화물 층 및 상기 금속 패턴 상에 그래핀 (graphene)을 전사하고; 및, 상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시켜 제거하여 그래핀 패턴을 형성하는 것을 포함하는, 그래핀의 패터닝 방법에 관한 것이다.
현재 높은 집적도를 갖는 나노 패터닝 기술로는 광 또는 입자 빔을 이용하는 포토리소그래피 (photolithography), 전자빔 리소그래피 (electron-beam lithography), 레이저 간섭 리소그래피 (laser interference lithography) 등이 있다. 이러한 리소그래피 공정은 빛의 조사 여부에 따라 감응하는 화학 물질인 포토레지스트를 이용하고 식각 (etching) 기술을 동반하여 패턴을 형성하게 된다.
그런데, 종래의 리소그래피 기술들은 장치나 공정에서 기술 비용이 클 뿐만 아니라, 복잡한 공정을 포함하므로 시간 소모도 크다. 또한, UV 파장대에서 포토레지스트로 이용되는 고분자 소재 및 장비 개발은 한계에 도달하고 있으며, 특히 전자빔 리소그래피는 공정시간이 오래 걸리는 순차적인 쓰기 방법을 사용하는 고가의 공정 기술이다.
이에 반하여 빛이나 큰 에너지의 입자를 사용하지 않는 유연한 고분자 스탬프에 유기물을 묻혀 반복적으로 패턴이나 구조물을 제조하는 나노 임프린팅 (nano-imprinting) 기술이 개발되고 있다. 이러한 나노 임프린팅의 핵심은 우선 나노 스케일의 구조를 갖는 스탬프를 전자빔 리소그래피 방법으로 제작하고, 이 스탬프를 고분자 박막에 인쇄하여 나노 스케일의 구조를 전사한 후, 이를 반복적으로 사용함으로써 전자빔 리소그래피의 생산성 문제를 극복할 수 있다. 대한민국 공개 특허 제 10-2012-0111288호에는 나노 임프린트용 몰드와 포토 마스크를 동시에 이용한 미세 패턴 형성 방법이 개시되어 있다.
그러나, 나노 임프린팅 기술은 미리 제작된 스탬프를 이용하는 것이므로, 한번에 인쇄할 수 있는 패턴 면적이 결정되어 있어 생산성에 한계점이 존재한다. 또한, 고밀도의 나노 패턴을 갖는 큰 면적의 스탬프를 전자빔 리소그래피로 제작하는 것은 고비용이 발생되는 문제가 있다. 따라서, 스텝 반복 임프린팅 방식은 주어진 기판 크기의 스탬프를 이용하여 순차적으로 반복 인쇄하는 방법이기 때문에 패턴 면적이 커질수록 종래의 방식에 비해 시간당 생산량이 떨어지는 문제를 가진다. 즉, 현재의 기술로 제작 가능한 주형 스탬프 또는 마스터 몰드의 크기는 최대 10 인치 이하로 대면적화에 한계를 가지고 있으며, 마스터 몰드는 종래의 전자빔 리소그래피 방법을 사용하여 패턴을 형성하기 때문에 마스터 몰드의 제작비용이 고가라는 문제를 내포하고 있다.
또한, 산소 플라즈마를 이용한 패터닝 방법의 경우, 산소 분위기 하에서 플라즈마의 높은 반응성을 이용하기 때문에 반응성이 없는 물질의 표면에도 용이하게 기능화할 수 있고, 낮은 온도에서도 공정이 가능하지만 패터닝 후 결과물의 모서리 패턴이 불규칙하다는 단점을 갖는다.
본원은 상기와 같은 문제점을 해결하기 위한 것으로서, 비용 절감의 효과를 얻으면서 모서리 패턴까지 균일한 결과물을 수득할 수 있는, 그래핀의 패터닝 방법을 제공하고자 한다.
그러나, 본원이 해결하고자 하는 과제는 이상에서 언급한 과제로 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
본원의 일 측면은, 기재 상에 산화물 층을 형성하고; 상기 산화물 층 상에 금속 패턴을 형성하고; 상기 산화물 층 및 상기 금속 패턴 상에 그래핀 (graphene)을 전사하고; 및, 상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시켜 제거하여 그래핀 패턴을 형성하는 것을 포함하는, 그래핀의 패터닝 방법을 제공한다.
전술한 본원의 과제 해결 수단에 의하면, 기재 상에 자연적으로 형성된 산화물 층을 산소 공급원으로 이용하고 금속 촉매 상에서 그래핀의 기체화 반응을 유도하여 그래핀의 패턴을 용이하게 형성할 수 있다. 특히, 본원에 따른 그래핀의 패터닝 방법을 이용하면 모서리 패턴이 균일한 패터닝 결과물을 얻을 수 있다. 따라서, 별도의 산소 공급원 없이 패터닝이 가능함으로써, 본원에 따른 촉매 연소 반응에 의한 패터닝 방법은 공정의 비용 절감을 기대할 수 있고, 공정이 용이하여 그래핀을 활용하는 전자 소자 개발 분야 등에 유용하게 사용될 수 있을 것으로 기대된다.
도 1은 본원의 일 구현예에 따른 촉매 연소 반응을 통한 그래핀의 패턴 제작 공정의 순서를 나타내는 공정도이다.
도 2는 본원의 일 실시예에 따른 Si/SiO2/Pt (5 nm)/그래핀 샘플의 촉매 연소 반응 전·후의 탄소 1s X-선 광방출 스펙트럼의 변화를 나타내는 그래프이다.
도 3은 본원의 일 실시예에 따른 Si/SiO2/Pt (5 nm)/그래핀 샘플의 촉매 연소 반응 전·후의 산소 1s X-선 광방출 스펙트럼의 변화를 나타내는 그래프이다.
도 4는 본원의 일 실시예에 따른 Si/SiO2/Pt (5 nm)/그래핀 샘플의 촉매 연소 반응의 전·후의 실리콘 2p X-선 광방출 스펙트럼의 변화를 나타내는 그래프이다.
도 5는 본원의 일 실시예에 따른 Si/SiO2/Pt (5 nm)/그래핀 샘플의 촉매 연소 반응의 전·후의 백금 4f X-선 광방출 스펙트럼의 변화를 나타내는 그래프이다.
아래에서는 첨부한 도면을 참조하여 본원이 속하는 기술 분야에서 통상의 지식을 가진 자가 용이하게 실시할 수 있도록 본원의 실시예를 상세히 설명한다. 그러나 본원은 여러 가지 상이한 형태로 구현될 수 있으며 여기에서 설명하는 실시예에 한정되지 않는다. 그리고 도면에서 본원을 명확하게 설명하기 위해서 설명과 관계없는 부분은 생략하였으며, 명세서 전체를 통하여 유사한 부분에 대해서는 유사한 도면 부호를 붙였다.
본원 명세서 전체에서, 어떤 부분이 다른 부분과 "연결"되어 있다고 할 때, 이는 "직접적으로 연결"되어 있는 경우뿐 아니라, 그 중간에 다른 소자를 사이에 두고 "전기적으로 연결"되어 있는 경우도 포함한다.
본원 명세서 전체에서, 어떤 부재가 다른 부재 "상에" 위치하고 있다고 할 때, 이는 어떤 부재가 다른 부재에 접해 있는 경우뿐 아니라 두 부재 사이에 또 다른 부재가 존재하는 경우도 포함한다.
본원 명세서 전체에서, 어떤 부분이 어떤 구성 요소를 "포함"한다고 할 때, 이는 특별히 반대되는 기재가 없는 한 다른 구성 요소를 제외하는 것이 아니라 다른 구성 요소를 더 포함할 수 있는 것을 의미한다.
본원 명세서 전체에서 사용되는 정도의 용어 "약", "실질적으로" 등은 언급된 의미에 고유한 제조 및 물질 허용오차가 제시될 때 그 수치에서 또는 그 수치에 근접한 의미로 사용되고, 본원의 이해를 돕기 위해 정확하거나 절대적인 수치가 언급된 개시 내용을 비양심적인 침해자가 부당하게 이용하는 것을 방지하기 위해 사용된다.
본원 명세서 전체에서 사용되는 정도의 용어 "~(하는) 단계" 또는 "~의 단계"는 "~를 위한 단계"를 의미하지 않는다.
본원 명세서 전체에서, 마쿠시 형식의 표현에 포함된 "이들의 조합(들)"의 용어는 마쿠시 형식의 표현에 기재된 구성 요소들로 이루어진 군에서 선택되는 하나 이상의 혼합 또는 조합을 의미하는 것으로서, 상기 구성 요소들로 이루어진 군에서 선택되는 하나 이상을 포함하는 것을 의미한다.
본원 명세서 전체에서, "그래핀"이라는 용어는 복수 개의 탄소 원자들이 서로 공유 결합으로 연결되어 폴리시클릭 방향족 분자를 형성한 것을 의미하는 것으로서, 상기 공유 결합으로 연결된 탄소 원자들은 기본 반복 단위로서 6 원환을 형성하나, 5 원환 및/또는 7 원환을 더 포함하는 것도 가능하다. 따라서, 상기 그래핀이 형성하는 시트는 서로 공유 결합된 탄소 원자들의 단일층으로서 보일 수 있으나, 이에 제한되지 않을 수 있다. 상기 그래핀이 형성하는 시트는 다양한 구조를 가질 수 있으며, 이와 같은 구조는 그래핀 내에 포함될 수 있는 5 원환 및/또는 7 원환의 함량에 따라 달라질 수 있다. 또한, 상기 그래핀이 형성하는 시트가 단일층으로 이루어진 경우, 이들이 서로 적층되어 복수층을 형성할 수 있으며, 상기 그래핀 시트의 측면 말단부는 수소 원자로 포화될 수 있으나, 이에 제한되지 않을 수 있다.
본원 명세서 전체에서, "그래핀 산화물"이라는 용어는 그래핀 옥사이드 (graphene oxide)라고도 불리우고, "GO"로 약칭될 수 있다. 단일층 그래핀 상에 카르복실기, 히드록시기, 또는 에폭시기 등의 산소를 함유하는 작용기가 결합된 구조를 포함할 수 있으나, 이에 제한되지 않을 수 있다.
이하, 첨부된 도면을 참조하여 본원의 구현예를 상세히 설명하였으나, 본원이 이에 제한되지 않을 수 있다.
본원의 일 측면은 기재 상에 산화물 층을 형성하고; 상기 산화물 층 상에 금속 패턴을 형성하고; 상기 산화물 층 및 상기 금속 패턴 상에 그래핀 (graphene)을 전사하고; 및, 상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시켜 제거하여 그래핀 패턴을 형성하는 것을 포함하는, 그래핀의 패터닝 방법을 제공한다.
도 1은 본원의 일 구현예에 따른 촉매 연소 반응을 통한 그래핀의 패턴 제작 공정의 순서를 나타내는 공정도이다. 도 1의 각 단계에서 상단 그림은 평면도를 나타내고, 하단 그림은 정면도를 나타낸다.
본원에 따르면, 산소 공급원인 기재 상에 소량 존재하는 산화물 층 (200) 및 탄소 공급원인 그래핀 (400) 사이에서 일어날 수 있는 금속 촉매화된 연소 반응을 이용하여 단층 또는 복수 층의 그래핀에 대하여 소정의 패턴 모양을 제작할 수 있다.
산소 분위기에서 금속 촉매화된 탄소의 일반적인 기체화 반응 메커니즘은 금속 입자 및 응집체 (agglomeration) 크기에 의해 반응 온도 및 탄소가 기화되는 양에 크게 영향을 끼친다. 이들 탄소의 기체화 반응은 산소 이동 (oxygen transfer) 및 전자 이동 (electron transfer)의 두 개의 주요한 메커니즘으로 분류될 수 있다. 일반적인 산소 이동의 메커니즘에 있어서, 고체 촉매는 산소 분위기에서 산소가 함유된 중간 생성물을 형성하고 촉매 입자로부터 탄소 표면으로 산소가 이동하면서 근거리에 탄소를 산화시키며 이산화탄소로 기화된다. 일반적인 전자 이동 메커니즘에 있어서, 탄소의 표면이나 모서리에 붙은 탄소-탄소 결합들은 탄소 매트릭스부터 전자가 촉매에 존재하는 이온으로 이동하기 때문에 결합이 약해지게 되고, 이러한 약해진 탄소-탄소 결합은 탄소의 기체화 반응을 일으키게 된다. 이들 메커니즘과 관련 없이, 촉매 작용이 국지화된다는 것이 잘 알려져 있다. 촉매가 잘 분산된 국지화된 영역에서 탄소의 기체화 반응 속도는, 패턴이 되지 않은 지역보다 산소 분위기에서 패턴된 금속 촉매들, 예를 들어, 구리 (Cu), 니켈 (Ni), 철 (Fe), 및 은 (Ag) 위에서 휠씬 더 빠르다. 상기 기체화 반응은 주로 금속 촉매가 존재하는 근거리에서 발생한다. 이것은 산화 반응이 탄소/촉매 계면에서 직접적으로 발생한다는 것을 의미한다.
본원의 일 구현예에 따르면, 상기 기재 (100)는 실리콘, 유리, ITO (indium tin oxide), FTO (F-doped tin oxide), 세라믹, 유리-세라믹, 금속, 금속 산화물, 폴리머, 및 이들의 조합들로 이루어진 군에서 선택되는 것을 포함하는 것일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 따르면, 상기 산화물 층 (200)은 실리콘, 금속, 및 이들의 조합들로 이루어진 군에서 선택되는 것의 산화물; 또는 유리, 세라믹, 유리-세라믹, ITO 또는 FTO를 포함하는 것일 수 있으나, 이에 제한되지 않을 수 있다. 상기 산화물 층 (200)은, 예를 들어, 상기 기재가 실리콘인 경우, 실리콘 표면을 공기 중에 노출시킴으로써 공기 중의 산소 및 수분에 의해 실리콘 산화물 (naked silicon oxide) 층을 자연적으로 형성시킨 것일 수 있다.
상기 산화물 층 (200)이 형성되어 있는 기재 (도 1에 도시된 A의 구조) 상에 금속 패턴 (300)을 수백 ㎛ 이하의 두께로 형성할 수 있으나, 이에 제한되지 않을 수 있다. 예를 들어, 상기 금속 패턴의 두께는 약 100 nm 내지 약 500 ㎛, 약 100 nm 내지 약 200 nm, 약 150 nm 내지 약 300 nm, 약 200 nm 내지 약 400 nm, 약 300 nm 내지 약 500 nm, 약 400 nm 내지 약 800 nm, 약 500 nm 내지 약 900 nm, 약 800 nm 내지 약 1 ㎛, 약 900 nm 내지 약 1.5 ㎛, 약 1 ㎛ 내지 약 5 ㎛, 약 1.5 ㎛ 내지 약 8 ㎛, 약 5 ㎛ 내지 약 10 ㎛, 약 8 ㎛ 내지 약 20 ㎛, 약 10 ㎛ 내지 약 50 ㎛, 약 20 ㎛ 내지 약 80 ㎛, 약 50 ㎛ 내지 약 100 ㎛, 약 80 ㎛ 내지 약 200 ㎛, 약 100 ㎛ 내지 약 300 ㎛, 약 200 ㎛ 내지 약 400 ㎛, 약 300 ㎛ 내지 약 500 ㎛, 또는 약 400 ㎛ 내지 약 500 ㎛일 수 있으나, 이에 제한되지 않을 수 있다. 기체화 반응의 촉매로서 작용하는 상기 금속 패턴 (300)의 두께가 약 100 nm 미만이 되면 패터닝의 균질성이 떨어지게 되고, 500 ㎛를 초과하게 되면 상기 산화물 층 (200)의 해리가 잘 이루어지지 않아 패터닝 반응이 잘 일어나지 않게 된다.
본원의 일 구현예에 따르면, 상기 금속 패턴 (300)은 Au, Pt, Pd, V, Cu, Fe, Mn, Cr, W, Ni, Co, Pb, Ag, Ir, Rh, Ru, Sn, Cs, Na, Ba, Sr, Mg, Ca, Zn, Hg, Cd, 및 이들의 조합들로 이루어진 군에서 선택되는 것을 함유하는 금속 또는 합금을 포함하는 것일 수 있으나, 이에 제한되지 않을 수 있다. 상기 기재 상에 형성되는 금속은 산소 및 그래핀 간의 반응에 있어서, 반응 활성화 에너지를 낮추고, 연소 반응 온도를 낮춰 줄 수 있는 촉매로 작용한다.
상기 금속 패턴 (300)의 모양은 나노리본 (nanoribbons), 양자점 (quantum dots), 나노선 (nanowire), 나노다공성 (nanoperforates), 나노스트립 (nanostrips), 안티도트 (antidots), 나노라멜라 (nanolamellas), 나노아일랜드 (nanoislands), 나노플레이크 (nanoflakes), 나노그물망 (nanomesh), 또는 나노띠 (nanoband) 등 일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 따르면, 상기 금속 패턴 (300)은 K, Na, Ba, Ca, Mg, Sr, Na, 및 Li 각각의 탄산염, 산화물, 할로겐화염, 및 이들의 조합들로 이루어진 군에서 선택되는 것을 추가 포함하는 것일 수 있으나, 이에 제한되지 않을 수 있다. 상기 추가 포함하는 탄산염, 산화물, 할로겐화염 등은 상기 금속 패턴의 촉매 활성화 에너지를 더 낮춰줄 수 있는 첨가제로서, 금속, 멀티금속, 금속/첨가제, 및 첨가제/금속의 금속 및 첨가제의 혼합 층의 구조로 구성될 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 따르면, 상기 산화물 층 (200) 상에 금속 패턴을 형성하는 것은, 열 증발 (thermal evaporation), 전자빔 증발 (electron-beam evaporation), 전자빔 리소그래피 (electron-beam lithography), 포토리소그래피 (photolithography), 나노 임프린팅 (nano imprinting), 전사 프린팅 (transfer printing), 온-디맨드 스크린프린트 (on-demand screenprint), 잉크젯 프린팅 (inkjet printing), 및 이들의 조합들로 이루어진 군에서 선택되는 것을 포함하는 방법에 의하여 수행되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 이때, 소정의 마스크를 이용하여 상기 산화물 층 상에 금속 패턴을 형성할 수 있고, 도 1에 도시된 B의 구조와 같이, 산화물 층을 포함하는 기재 상에 형성된 금속 패턴 (300)을 수득할 수 있다.
본원의 일 구현예에 따르면, 상기 산화물 층 (200) 및 상기 금속 패턴 (300) 상에 그래핀을 전사하는 것은 습식 전사, 건식 전사, 롤투롤 전사, 및 이들의 조합들로 이루어진 군에서 선택되는 것을 포함하는 방법에 의하여 수행되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 이때, 도 1에 도시된 C의 구조와 같이, 상기 산화물 층 및 상기 금속 패턴 상에 전사된 그래핀 층 (400)을 수득할 수 있다.
본원의 일 구현예에 따르면, 상기 그래핀은 약 1 층 내지 약 100 층의 그래핀을 포함하는 것일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 따르면, 상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시키는 것은 약 200℃ 내지 약 500℃의 온도에서 수행되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 예를 들어, 상기 온도는 약 200℃ 내지 약 500℃, 약 200℃ 내지 약 400℃, 또는 약 300℃ 내지 약 500℃일 수 있으나, 이에 제한되지 않을 수 있다.
상기 산화물 층으로부터 제공된 산소와 그래핀의 탄소 간에 금속 촉매의 활성화 에너지를 낮추는 특성을 이용하여 낮은 온도에서의 열처리 (anealing) 만으로도 연소 반응이 가능하다. 이때, 기재/기재 산화물/금속/그래핀의 구조로 구성된 국지적 영역은 상기 그래핀이 연소 반응에 의하여 이산화탄소로 분해되고, 기재/기재 산화물/그래핀의 구조로 구성된 다른 국지적 영역은 열처리에 영향을 받지 않은 그래핀이 남아있게 된다. 금속 촉매 하에서 연소 반응에 의하여 결과적으로, 도 1에 도시된 D의 구조와 같이, 소정의 모양으로 패턴된 그래핀을 수득할 수 있다.
본원의 일 구현예에 따르면, 상기 열처리는 약 10 분 내지 약 300 분의 시간 동안 수행되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 예를 들어, 상기 열처리 시간은 약 10 분 내지 약 300 분, 약 10 분 내지 약 30 분, 약 20 분 내지 약 40 분, 약 30 분 내지 약 50 분, 약 40 분 내지 약 60 분, 약 50 분 내지 약 70 분, 약 60 분 내지 약 80 분, 약 70 분 내지 약 90 분, 약 80 분 내지 약 100 분, 약 90 분 내지 약 110 분, 약 100 분 내지 약 120 분, 약 110 분 내지 약 130 분, 약 120 분 내지 약 140 분, 약 130 분 내지 약 150 분, 약 140 분 내지 약 160 분, 약 150 분 내지 약 170 분, 약 160 분 내지 약 180 분, 약 170 분 내지 약 190 분, 약 180 분 내지 약 200 분, 약 190 분 내지 약 210 분, 약 180 분 내지 약 200 분, 약 190 분 내지 약 210 분, 약 200 분 내지 약 220 분, 약 210 분 내지 약 230 분, 약 220 분 내지 약 240 분, 약 230 분 내지 약 250 분, 약 240 분 내지 약 260 분, 약 250 분 내지 약 270 분, 약 260 분 내지 약 280 분, 약 270 분 내지 약 290 분, 약 280 분 내지 약 300 분, 또는 290 분 내지 약 300 분일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 따르면, 상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시키는 것은 무산소 분위기 하에서 수행되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 본원에 따른 그래핀의 패터닝 방법은 상기 기재 상에 자연적으로 형성된 산화물 층을 산소 공급원으로 사용하기 때문에 별도의 산소 공급원이 필요하지 않고 산소 분위기 하에서 진행되는 종래의 패터닝 방법과 달리 무산소 분위기에서 수행될 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 따르면, 상기 금속 패턴 상의 그래핀을 기화시키는 것 이후에, 상기 금속 패턴을 식각하여 제거하는 것을 추가 포함할 수 있으나, 이에 제한되지 않을 수 있다.
본원의 일 구현예에 따르면, 상기 식각은 습식 식각 또는 건식 식각에 의해 수행되는 것일 수 있으나, 이에 제한되지 않을 수 있다. 본원의 패터닝 방법에 따라 제조된 도 1에 도시된 E의 구조를 갖는, 패턴된 그래핀을 수득할 수 있다.
본원의 일 구현예에 따르면, 상기 그래핀의 패턴은 나노리본 (nanoribbons), 양자점 (quantum dots), 나노선 (nanowire), 나노다공성 (nanoperforates), 나노스트립 (nanostrips), 안티도트 (antidots), 나노라멜라 (nanolamellas), 나노아일랜드 (nanoislands), 나노플레이크 (nanoflakes), 나노그물망 (nanomesh), 또는 나노띠 (nanoband)의 모양을 가지는 것일 수 있으나, 이에 제한되지 않을 수 있다.
본원의 패터닝 방법에 따른 이차원 또는 삼차원 그래핀 패턴은 높은 전하 이동도 (high carrier mobility), 높은 광학적 투과도 (high optical property), 높은 인장 강도 (tensile strength), 높은 화학적 내구성 (high chemical stability), 높은 결정성 (high crystallinity), 높은 표면적 (high surface area), 및 높은 기계적 성질 (high mechanical property)을 달성할 수 있다. 그에 따라, 가용성 유기전계 발광 소자 (flexible organic light-emitting diodes) 및 전자 종이 (electron paper)의 디스플레이, 화학, 광, 압력 등의 나노 센서 소자, 태양전지 (solar cells)의 에너지 소자, 전계 효과 트랜지스터 (field effect transistor)의 반도체소자, 터치 패널 (touch panel) 등의 분야에 널리 사용될 수 있다. 구체적으로, 소재분야로 투명 전도성 전극 (conductive transparent electrodes), 배리어 코팅 (barrier coating materials), 산화 방지 소재 (oxidation-preventing matrials), 열전도성 필름 (thermal-conducting films), 전도성 나노잉크 (conductive nano inks), 나노 생체적합 소재 (nano biomaterials), 초전도체 (superconducting materials) 및 기계적 소재 (mechanical strength matrials)에 적용 가능성이 매우 크다.
이하, 본원의 바람직한 실시예를 기재한다. 다만, 하기 실시예는 본원의 이해를 돕기 위하여 예시하는 것일 뿐, 본원의 내용이 하기 실시예에 한정되는 것은 아니다.
[실시예]
<실시예 1> 백금이 패턴된 Si / SiO 2 / Pt (5 nm ) 샘플의 제작
p 형 1.5×1.5 cm2크기의 실리콘 기판 위에 소정 모양의 패턴을 가진 마스크를 고정시킨다. 그리고 전자빔 증착 장비를 이용하여 5 nm로 증착하여 백금이 패턴된 Si/SiO2/pt (5 nm)의 샘플을 수득하였다.
<실시예 2> Si / SiO 2 / Pt (5 nm )/그래핀 (단층) 샘플의 제작
그래핀 샘플의 제작은 열 화학기상증착법을 이용하여 950℃에서 구리 포일 위에 메탄 10 sccm 및 수소 25 sccm을 흘려주며 10 분간 반응시켜 단층의 그래핀 시트를 합성하였다.
실시예 1에서 수득된 백금 패턴된 Si/SiO2/Pt (5 nm) 샘플 위에 상기 그래핀 샘플을 전사하기 위하여, 상기 Si/SiO2/Pt (5 nm) 샘플 위에 그래핀을 전사하는 단계는 PMMA (poly methyl methacrylate)를 이용하여 그래핀 층을 고정시킨 후에 식각액 속에 구리/그래핀/PMMA 샘플을 침지하고 식각 단계를 거쳐서 구리 포일로부터 단층의 그래핀으로 분리했다. 상기 분리된 그래핀/ PMMA 샘플을 Si/SiO2/Pt (5 nm) 위에 전사하고 아세톤으로 PMMA를 제거함으로써 Si/SiO2/Pt (5 nm)/그래핀 (단층)의 샘플을 수득하였다.
<실시예 3> Si / SiO 2 / Pt (5 nm )/그래핀 (단층) 샘플의 촉매 연소 반응
10-9 torr의 진공 하에 실시예 2에서 수득된 Si/SiO2/Pt (5 nm)/그래핀 (단층) 샘플을 위치시키고 200℃ 및 250℃에서 각각 촉매 연소 반응을 3 시간 동안 실시함으로써 Si/SiO2/그래핀 (단층) 샘플을 수득하였다.
도 2는 본 실시예에 따른 Si/SiO2/Pt (5 nm)/그래핀 샘플의 촉매 연소 반응 전·후의 탄소 1s X-선 광방출 스펙트럼의 변화를 나타내는 그래프로, 열처리 전의 샘플, 및 촉매 연소 반응을 위하여 200℃ 및 250℃에서 열처리한 샘플에 대한 탄소 양의 변화를 나타낸다. 도 2에 나타난 바와 같이, 열처리 전 그래핀의 광방출 스펙트럼은 약 81.5 eV에서 한 개의 피크와 함께 불순물에 해당하는 약 77 eV의 약한 피크와 약 80.5 eV에서 어깨 피크를 보여주는 반면, 200℃ 및 250℃로 열처리 한 후의 광방출 스펙트럼은 이러한 피크들은 관찰되지 않는다. 200℃에서 3 시간 동안 열처리 한 경우, 그래핀은 백금촉매 연소 반응에 의하여 많은 기체화 반응을 일으키며 탄소 1s X-선 광방출 스펙트럼에서 약 1/2의 피크세기로 감소하였음을 알 수 있고, 250℃에서 3 시간 동안 열처리 한 경우, Si/SiO2/Pt/그래핀 (단층)의 영역에서는 이산화탄소로 대부분 분해됨을 탄소 1s X-선 광방출 스펙트럼으로부터 확인할 수 있었다. 반면에, 백금의 패턴이 없는 Si/SiO2/그래핀 (단층)의 영역에서는 그래핀이 그대로 남아있었다.
도 3은 본 실시예에 따른 Si/SiO2/Pt (5 nm)/그래핀 샘플의 촉매 연소 반응 전·후의 산소 1s X-선 광방출 스펙트럼의 변화를 나타내는 그래프로, 도 3을 통하여 백금촉매 존재 하에서 연소 반응이 일어날 때 실리콘 위에 존재하는 실리콘 산화물의 산소를 실제로 산소 공급원으로 사용하는지를 알 수 있다. 도 3에 나타난 바와 같이, 본 실시예에 따른 샘플의 열처리 전 스펙트럼에는 약 104 eV에서 산소의 큰 강도 피크가 나타나 있지만, 200℃ 및 250℃에서 열처리한 후에는, 본 실시예에 따른 Si/SiO2/Pt/그래핀 샘플에서 산소의 피크 세기가 열처리 전보다 크게 낮아짐을 확인 할 수 있다. 이것은 그래핀의 기화 반응에 산소가 사용되었기 때문으로, 촉매 연소 반응에서 산소 공급원으로 실제로 실리콘 산화물의 산소를 사용한다는 첫 번째 증거가 된다.
도 4는 본 실시예에 따른 Si/SiO2/Pt (5 nm)/그래핀 샘플의 촉매 연소 반응의 전·후의 실리콘 2p X-선 광방출 스펙트럼의 변화를 나타내는 그래프이다. 도 4에 나타난 바와 같이, 약 91 eV의 실리콘 산화물과 관련된 피크가 관찰되었다. 본 실시예에 따른 샘플의 열처리 후의 스펙트럼은 열처리 전 스펙트럼과 비교할 때, 200℃ 및 250℃의 가열로, 약 91 eV의 피크의 강도는 거의 사라짐을 알 수 있었다. 이것은 촉매 연소 반응에서 산소 공급원으로 실제로 실리콘 산화물의 산소를 사용한다는 두 번째 증거가 된다.
도 5는 본 실시예에 따른 Si/SiO2/Pt (5 nm)/그래핀 샘플의 촉매 연소 반응의 전·후의 백금 4f X-선 광방출 스펙트럼의 변화를 나타내는 그래프이다. 도 5에 나타난 바와 같이, 약 79.5 eV의 피크가 관찰되고, 이로부터 실리콘 산화물에서 백금촉매로 산소가 이동하고 백금과 결합하여 형성된 백금 산화물에 대한 정보를 알 수 있다. 열처리 전에는 약 79.5 eV의 백금 산화물에 대한 피크는 존재하지 않지만, 200℃로 열처리한 후에는 약 79.5 eV의 백금 산화물의 피크의 강도가 증가함을 확인할 수 있다. 또한, 250℃로 온도를 더 상승시키면 백금 산화물의 피크는 거의 존재하지 않는다. 이것은 그래핀의 촉매 연소 반응이 백금 산화물의 형성과 밀접한 관계가 있음을 보여주는 것으로, 이것 또한 산소의 확산과 관련이 있다는 세 번째 증거가 된다.
도 2 내지 도 5의 광방출 스펙트럼들은, 촉매 하에서의 연소 반응 메커니즘이 실제로 산소 공급원으로 실리콘 산화물의 산소를 사용하고, 그래핀의 기체화 반응이 백금 산화물의 형성과 밀접한 관련이 된다 사실을 증명해 주는 것이다.
전술한 본원의 설명은 예시를 위한 것이며, 본원이 속하는 기술분야의 통상의 지식을 가진 자는 본원의 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 쉽게 변형이 가능하다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들은 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 예를 들어, 단일형으로 설명되어 있는 각 구성 요소는 분산되어 실시될 수도 있으며, 마찬가지로 분산된 것으로 설명되어 있는 구성 요소들도 결합된 형태로 실시될 수도 있다.
본원의 범위는 상기 상세한 설명보다는 후술하는 특허청구범위에 의하여 나타내어지며, 특허청구범위의 의미 및 범위, 그리고 그 균등 개념으로부터 도출되는 모든 변경 또는 변형된 형태가 본원의 범위에 포함되는 것으로 해석되어야 한다.
100: 기재
200: 기재의 산화물 층
300: 금속 패턴
400: 그래핀 층

Claims (13)

  1. 기재 상에 산화물 층을 형성하고;
    상기 산화물 층 상에 금속 패턴을 형성하고;
    상기 산화물 층 및 상기 금속 패턴 상에 그래핀 (graphene)을 전사하고; 및,
    상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시켜 제거하여 그래핀 패턴을 형성하는 것
    을 포함하는,
    그래핀의 패터닝 방법.
  2. 제 1 항에 있어서,
    상기 기재는 실리콘, 세라믹, 금속, 및 이들의 조합들로 이루어진 군에서 선택되는 것을 포함하는 것인, 그래핀의 패터닝 방법.
  3. 제 1 항에 있어서,
    상기 산화물 층은 실리콘, 금속, 및 이들의 조합들로 이루어진 군에서 선택되는 것의 산화물; 또는 유리, 세라믹, 유리-세라믹, ITO 또는 FTO를 포함하는 것인, 그래핀의 패터닝 방법.
  4. 제 1 항에 있어서,
    상기 금속 패턴은 Au, Pt, Pd, V, Cu, Fe, Mn, Cr, W, Ni, Co, Pb, Ag, Ir, Rh, Ru, Sn, Cs, Na, Ba, Sr, Mg, Ca, Zn, Hg, Cd, 및 이들의 조합들로 이루어진 군에서 선택되는 것을 함유하는 금속 또는 합금을 포함하는 것인, 그래핀의 패터닝 방법.
  5. 제 4 항에 있어서,
    상기 금속 패턴은 K, Na, Ba, Ca, Mg, Sr, Na, 및 Li 각각의 탄산염, 산화물, 할로겐화염, 및 이들의 조합들로 이루어진 군에서 선택되는 것을 추가 포함하는 것인, 그래핀의 패터닝 방법.
  6. 제 1 항에 있어서,
    상기 산화물 층 상에 금속 패턴을 형성하는 것은, 열 증발 (thermal evaporation), 전자빔 증발 (electron-beam evaporation), 전자빔 리소그래피 (electron-beam lithography), 포토리소그래피 (photolithography), 나노 임프린팅 (nano imprinting), 전사 프린팅 (transfer printing), 온-디맨드 스크린프린트 (on-demand screenprint), 잉크젯 프린팅 (inkjet printing), 및 이들의 조합들로 이루어진 군에서 선택되는 것을 포함하는 방법에 의하여 수행되는 것인, 그래핀의 패터닝 방법.
  7. 제 1 항에 있어서,
    상기 산화물 층 및 상기 금속 패턴 상에 그래핀을 전사하는 것은 습식 전사, 건식 전사, 롤투롤 전사, 및 이들의 조합들로 이루어진 군에서 선택되는 것을 포함하는 방법에 의하여 수행되는 것인, 그래핀의 패터닝 방법.
  8. 제 1 항에 있어서,
    상기 그래핀은 1 층 내지 100 층의 그래핀을 포함하는 것인, 그래핀의 패터닝 방법.
  9. 제 1 항에 있어서,
    상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시키는 것은 200℃ 내지 500℃의 온도에서 수행되는 것인, 그래핀의 패터닝 방법.
  10. 제 1 항에 있어서,
    상기 그래핀에 열을 가하여 상기 금속 패턴 상의 그래핀을 기화시키는 것은 무산소 분위기 하에서 수행되는 것인, 그래핀의 패터닝 방법.
  11. 제 1 항에 있어서,
    상기 금속 패턴 상의 그래핀을 기화시키는 것 이후에, 상기 금속 패턴을 식각하여 제거하는 것을 추가 포함하는, 그래핀의 패터닝 방법.
  12. 제 11 항에 있어서,
    상기 식각은 습식 식각 또는 건식 식각에 의해 수행되는 것인, 그래핀의 패터닝 방법.
  13. 제 1 항에 있어서,
    상기 그래핀의 패턴은 나노리본 (nanoribbons), 양자점 (quantum dots), 나노선 (nanowire), 나노다공성 (nanoperforates), 나노스트립 (nanostrips), 안티도트 (antidots), 나노라멜라 (nanolamellas), 나노아일랜드 (nanoislands), 나노플레이크 (nanoflakes), 나노그물망 (nanomesh), 또는 나노띠 (nanoband)의 모양을 가지는 것인, 그래핀의 패터닝 방법.
KR1020130021855A 2013-02-28 2013-02-28 그래핀의 패터닝 방법 KR101400723B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020130021855A KR101400723B1 (ko) 2013-02-28 2013-02-28 그래핀의 패터닝 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020130021855A KR101400723B1 (ko) 2013-02-28 2013-02-28 그래핀의 패터닝 방법

Publications (1)

Publication Number Publication Date
KR101400723B1 true KR101400723B1 (ko) 2014-05-30

Family

ID=50895611

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020130021855A KR101400723B1 (ko) 2013-02-28 2013-02-28 그래핀의 패터닝 방법

Country Status (1)

Country Link
KR (1) KR101400723B1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9994007B2 (en) 2015-07-30 2018-06-12 Korea Institute Of Science And Technology Apparatus for graphene wet transfer
US10468473B2 (en) 2015-12-31 2019-11-05 Lg Display Co., Ltd. Active layer, thin-film transistor array substrate comprising the same, and display device comprising the same
CN112859514A (zh) * 2021-03-23 2021-05-28 北京科技大学 一种图案化转移石墨炔薄膜的方法
KR102352572B1 (ko) * 2020-07-21 2022-01-18 한국원자력연구원 그래핀 양자점 패턴 및 이의 제조방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110137564A (ko) * 2010-06-17 2011-12-23 삼성테크윈 주식회사 그래핀의 전사 방법
KR20120053294A (ko) * 2010-11-17 2012-05-25 경희대학교 산학협력단 그래핀 패턴의 형성방법 및 그래핀 패턴을 갖는 전자소자의 제조방법
KR101174670B1 (ko) 2011-05-13 2012-08-17 한국화학연구원 그래핀 기반 소자에 응용 할 수 있는 패턴된 그래핀의 제조방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110137564A (ko) * 2010-06-17 2011-12-23 삼성테크윈 주식회사 그래핀의 전사 방법
KR20120053294A (ko) * 2010-11-17 2012-05-25 경희대학교 산학협력단 그래핀 패턴의 형성방법 및 그래핀 패턴을 갖는 전자소자의 제조방법
KR101174670B1 (ko) 2011-05-13 2012-08-17 한국화학연구원 그래핀 기반 소자에 응용 할 수 있는 패턴된 그래핀의 제조방법

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9994007B2 (en) 2015-07-30 2018-06-12 Korea Institute Of Science And Technology Apparatus for graphene wet transfer
US10468473B2 (en) 2015-12-31 2019-11-05 Lg Display Co., Ltd. Active layer, thin-film transistor array substrate comprising the same, and display device comprising the same
KR102352572B1 (ko) * 2020-07-21 2022-01-18 한국원자력연구원 그래핀 양자점 패턴 및 이의 제조방법
CN112859514A (zh) * 2021-03-23 2021-05-28 北京科技大学 一种图案化转移石墨炔薄膜的方法
CN112859514B (zh) * 2021-03-23 2022-10-21 北京科技大学 一种图案化转移石墨炔薄膜的方法

Similar Documents

Publication Publication Date Title
Liu et al. Recent developments in flexible organic light‐emitting devices
Hong et al. Micropatterning of graphene sheets: recent advances in techniques and applications
Zhao et al. Graphene oxide: physics and applications
EP1760527B1 (en) Photochemical method for manufacturing nanometrically surface-decorated substrates
US8735985B2 (en) Doped graphene electronic materials
KR101400723B1 (ko) 그래핀의 패터닝 방법
CN104681126A (zh) 透明电极层积体
KR100590727B1 (ko) 임프린트된 나노구조물을 이용한 미세접촉 인쇄기법과이의 나노 구조물
Zhang et al. Ethanol gas sensing properties of lead sulfide quantum dots-decorated zinc oxide nanorods prepared by hydrothermal process combining with successive ionic-layer adsorption and reaction method
KR101831017B1 (ko) 그래핀 나노리본의 제조방법 및 이에 의해 제조된 나노리본을 포함하는 센서
KR20060003332A (ko) 자기 조립법 및 관련 장치에 의한 나노구조체 함유 물질의패턴화된 용착을 위한 방법 및 장치
Chang-Jian et al. Laser patterning of carbon-nanotubes thin films and their applications
Wei et al. Highly Efficient and Reversible Covalent Patterning of Graphene: 2D‐Management of Chemical Information
US20150279677A1 (en) Forming Patterned Graphene Layers
KR20130038836A (ko) 그래핀 및 그리드 하이브리드 구조물에 기초한 투명 전극
Huang et al. Laser direct writing of heteroatom (N and S)‐doped graphene from a polybenzimidazole ink donor on polyethylene terephthalate polymer and glass substrates
Aliofkhazraei et al. Graphene science handbook: Fabrication methods
US8049406B2 (en) Optoelectronic devices and a method for producing the same
Hassan et al. Extrusion‐Printed CNT–Graphene Sensor Array with Embedded MXene/PEDOT: PSS Heater for Enhanced NO2 Sensing at Low Temperature
Tsoi et al. Surface functionalization of porous nanostructured metal oxide thin films fabricated by glancing angle deposition
US8518489B2 (en) Method for making fine patterns using mask template
US20110186807A1 (en) Doped graphene electronic materials
Lu et al. Production and patterning of fluorescent quantum dots by cryogenic electron-beam writing
TW590985B (en) Selective area growth of carbon nanotubes by metal imprint method
KR100979387B1 (ko) 탄소나노물질패턴 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170329

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180508

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190319

Year of fee payment: 6