KR101381305B1 - Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells - Google Patents

Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells Download PDF

Info

Publication number
KR101381305B1
KR101381305B1 KR1020127030770A KR20127030770A KR101381305B1 KR 101381305 B1 KR101381305 B1 KR 101381305B1 KR 1020127030770 A KR1020127030770 A KR 1020127030770A KR 20127030770 A KR20127030770 A KR 20127030770A KR 101381305 B1 KR101381305 B1 KR 101381305B1
Authority
KR
South Korea
Prior art keywords
thin film
amorphous silicon
silicon
silicon nitride
deposition
Prior art date
Application number
KR1020127030770A
Other languages
Korean (ko)
Other versions
KR20130036010A (en
Inventor
아난드 데스판데
라파엘 리콜콜
세안 세웃테르
칼 조세프 크라메르
메흐르다드 엠 모스레히
Original Assignee
솔렉셀, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 솔렉셀, 인크. filed Critical 솔렉셀, 인크.
Publication of KR20130036010A publication Critical patent/KR20130036010A/en
Application granted granted Critical
Publication of KR101381305B1 publication Critical patent/KR101381305B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • H01L31/02161Coatings for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/02167Coatings for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0216Coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0224Electrodes
    • H01L31/022408Electrodes for devices characterised by at least one potential jump barrier or surface barrier
    • H01L31/022425Electrodes for devices characterised by at least one potential jump barrier or surface barrier for solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0232Optical elements or arrangements associated with the device
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02363Special surface textures of the semiconductor body itself, e.g. textured active layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/02Details
    • H01L31/0236Special surface textures
    • H01L31/02366Special surface textures of the substrate or of a layer on the substrate, e.g. textured ITO/glass substrate or superstrate, textured polymer layer on glass substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1864Annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/186Particular post-treatment for the devices, e.g. annealing, impurity gettering, short-circuit elimination, recrystallisation
    • H01L31/1868Passivation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Electromagnetism (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Sustainable Development (AREA)
  • Sustainable Energy (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

개시된 기술적 특징은 효율적 ARC로서도 작용하는 이중층 패시베이션 기술을 이용해서 결정 실리콘 기판 기반 태양 전지에서의 고효율 표면 패시베이션으로부터 극 저 표면 재결합 속도를 얻기 위한 방법 및 구조를 제공한다. 본 이중층 패시베이션은 습윤 화학 산화물의 제 1 박막 층 또는 수소화된 비정질 실리콘 층으로 이루어진다. 비정질 수소화 실리콘 질화물 필름의 제 2 층을 상기 습윤 화학 산화물 또는 비정질 실리콘 필름의 상단에 증착시킨다. 본 증착 이후 어닐링이 이어져서 상기 표면 패시베이션을 더욱 강화시킨다.The disclosed technical features provide a method and structure for obtaining extremely low surface recombination rates from high efficiency surface passivation in crystalline silicon substrate based solar cells using bilayer passivation techniques that also act as efficient ARC. This bilayer passivation consists of a first thin film layer of wet chemical oxide or a layer of hydrogenated amorphous silicon. A second layer of amorphous hydrogenated silicon nitride film is deposited on top of the wet chemical oxide or amorphous silicon film. Annealing follows the main deposition to further enhance the surface passivation.

Description

고효율 태양 전지 극 저 표면 재결합 속도를 달성하기 위한 패시베이션 방법 및 장치{PASSIVATION METHODS AND APPARATUS FOR ACHIEVING ULTRA-LOW SURFACE RECOMBINATION VELOCITIES FOR HIGH-EFFICIENCY SOLAR CELLS}Passivation methods and apparatus for achieving ultra-high efficiency solar cell ultra low surface recombination rate

본 출원은 2010년 4월 23일에 출원되고, 여기에 참조문헌으로 통합된 특허 가출원번호 61/327,506의 이익을 주장한다.This application claims the benefit of patent provisional application number 61 / 327,506, filed April 23, 2010, incorporated herein by reference.

본 개시문헌은 일반적으로 광전지와 태양 전지 분야에 관한 것으로, 특히 실리콘 태양 전지의 표면 패시베이션에 관한 것이다.TECHNICAL FIELD This disclosure generally relates to the field of photovoltaic cells and solar cells, and more particularly to surface passivation of silicon solar cells.

태양 전지 등의 반도체 결정 실리콘 기반 장치의 성능은 소수 캐리어 재결합 뿐만 아니라 셀 자체의 표면 영역에 강하게 의존한다. 결과적으로, 상기 표면 재결합을 줄이는 것이 이러한 장치에 있어서 매우 중요하다. 태양 전지 웨이퍼 두께 등의 실리콘 반도체 소자 크기가 감소하는 것만큼 표면 재결합 효과가 점점 더 중요해지고 있다. 수소화된 실리콘 화합물에 기반한 비정질 필름을 사용하는 실리콘의 표면 패시베이션은 특히 태양 전지 응용예에서, 최근 몇 년 동안 강력한 연구의 대상이 되고 있다. 비정질 실리콘, 비정질 실리콘 산화물, 비정질 실리콘 질화물, 및 비정질 실리콘 탄화물로 패시베이션할 때 실리콘 인터페이스에서 유효 표면 재결합 속도 (seff)의 상당한 감소가 보고되었다. 연구된 필름은 비정질, 수소화 실리콘 질화물 (SixNy:Hz), 이후 SiNx 필름으로 칭하는 것을 포함하고 있다. 이 필름들은 일반적으로 실란 가스 및 암모니아나 질소 등의 기타 반응 가스를 사용하여 낮은 온도 (400℃)에서 플라즈마 강화 화학 기상 증착 (PECVD)에 의해 증착된다. 현재 방법들은 2.3보다 큰 굴절 지수를 갖는 실리콘이 풍부한 SiNx 필름을 사용했을 때 표면 패시베이션이 최대화되는 것을 증명했지만, 이러한 필름들은 또한 패시베이션 층에서의 흡수에 의해 광 트래핑 효율의 손실로 고통 받고 있기도 하다. The performance of semiconductor crystalline silicon based devices such as solar cells is strongly dependent on the surface area of the cell itself as well as minority carrier recombination. As a result, reducing the surface recombination is very important for such devices. Surface recombination effects are becoming more and more important as silicon semiconductor device sizes, such as solar cell wafer thickness, are reduced. Surface passivation of silicon using amorphous films based on hydrogenated silicon compounds has been a subject of intense research in recent years, especially in solar cell applications. A significant reduction in the effective surface recombination rate (seff) at the silicon interface has been reported when passivating with amorphous silicon, amorphous silicon oxide, amorphous silicon nitride, and amorphous silicon carbide. The films studied included amorphous, hydrogenated silicon nitride (SixNy: Hz), hereinafter referred to as SiNx films. These films are generally deposited by plasma enhanced chemical vapor deposition (PECVD) at low temperatures (400 ° C.) using silane gas and other reactive gases such as ammonia or nitrogen. Current methods have demonstrated that surface passivation is maximized when using silicon-rich SiNx films with refractive indices greater than 2.3, but these films also suffer from loss of light trapping efficiency by absorption in the passivation layer.

역사적으로, 전면 (수광) 쪽 패시베이션은 상대적으로 낮은 표면 재결합 속도를 제공하는 열 산화물을 이용해서 더 나은 것으로 보고되고 있으며, 실리콘 질화물 증착 조건의 영향 및 그들의 패시베이션에 미치는 영향에 대한 광범위한 조사가 있었다. 결정 실리콘 기반 장치에 대한 태양 전지 효율을 높이는 방법에 대한 현재의 노력과 함께, 표면 재결합 속도를 줄이는 것이 관건이다. 전면과 후면 접촉 또는 전부 후면 접촉 구조를 가진 종래의 전지 구조에서, 전면 재조합과 양호한 광 트래핑 속성을 감소시키는 것이 전면의 수광면에서 주요 요구 사항입니다. 종종 SiNx 층의 소재 특성으로 인해 이 두 가지 핵심 요구 사항이 충돌하고 있다. 패시베이션/ARC 층에 사용되는 증착 매개 변수 또한 후속 처리 단계에서 낮은 온도의 사용과 패시베이션이 달성될 수 있는 온도의 제한된 창과 같은 요구 사항들로 인해 소자 제조에 대한 제약을 가지고 있기도 하다. Historically, front side (passivation) side passivation has been reported to be better with thermal oxides providing relatively low surface recombination rates, and there has been extensive investigation into the effects of silicon nitride deposition conditions and their effects on passivation. With current efforts to improve solar cell efficiency for crystalline silicon-based devices, reducing the rate of surface recombination is key. In conventional cell structures with front and back contact or all back contact structures, reducing front recombination and good light trapping properties is a major requirement in the front receiving face. Often, the material properties of SiNx layers clash with these two key requirements. Deposition parameters used for passivation / ARC layers also have constraints on device fabrication due to requirements such as the use of low temperatures in subsequent processing steps and the limited window of temperature at which passivation can be achieved.

박막 구조에 적용되는 것처럼, 기계적으로 약한 얇은 기판 때문에 저온 증착은 중요하다. 그러나, 패시베이션 층으로서 열 산화물과 실리콘 질화물의 사용 등과 같이 많은 현재의 패시베이션 방법은, 패시베이션과 광 트래핑 층 모두에서 효율을 위해 고온 프로세스가 필요하다.As applied to thin film structures, low temperature deposition is important because of mechanically weak thin substrates. However, many current passivation methods, such as the use of thermal oxides and silicon nitride as passivation layers, require a high temperature process for efficiency in both the passivation and light trapping layers.

따라서 결정 실리콘 기판에 대한 향상된 광학 특성을 제공하고 낮은 온도에서 처리될 수 있는, 우수한 표면 패시베이션 방법에 대한 요구가 생겨났다. 여기에 개시한 기술적 특징에 따르면, 이전에 개발된 패시베이션 방법과 관련된 불이익과 문제들을 실질적으로 제거하거나 문제를 줄이는 이중층 패시베이션 방법과 구조가 제공된다. Thus, there is a need for an excellent surface passivation method that provides improved optical properties for crystalline silicon substrates and can be processed at low temperatures. According to the technical features disclosed herein, a dual layer passivation method and structure is provided that substantially eliminates or reduces the disadvantages and problems associated with previously developed passivation methods.

여기에 개시한 기술적 특징의 일 측면에 따르면, 화학 산화물 박막을 형성하고, 비정질 실리콘 질화물 박막을 증착하기 위한 이중층 패시베이션 체계가 제공된다. 여기에 개시한 기술적 특징의 또 다른 측면에 따르면, 비정질 실리콘 박막을 증착하고, 비정질 실리콘 질화물 박막을 증착하기위한 이중층 패시베이션 체계가 제공된다.According to one aspect of the technical features disclosed herein, a bilayer passivation scheme for forming a chemical oxide thin film and depositing an amorphous silicon nitride thin film is provided. According to another aspect of the technical features disclosed herein, a bilayer passivation scheme is provided for depositing an amorphous silicon thin film and for depositing an amorphous silicon nitride thin film.

여기에 개시한 기술적 특징의 기술 장점들에는 낮은 처리 온도, 향상된 표면 패시베이션, 및 실리콘 기판에 대한 광학 특성 향상이 포함되어 있다. Technical advantages of the technical features disclosed herein include low processing temperatures, improved surface passivation, and optical properties improvements for silicon substrates.

여기에 개시한 기술적 특징 뿐만 아니라 추가적인 신규한 특징들은 여기에 제공된 설명으로부터 명백하다. 본 발명의 내용의 목적은 청구된 기술적 특징의 포괄적인 설명을 하기 위한 것이 아니라, 기술적 특징의 기능 중 일부의 약식 개요를 제공하기 위한 것이다. 여기에 제공된 다른 시스템, 방법, 기능과 장점은 첨부된 도면들과 상세한 설명의 심사시에 당해 기술을 가진 자에게 명백해질 것이다. 본 설명에 포함된 모든 그러한 부가적인 시스템, 방법, 기능 및 장점은 첨부된 청구 범위의 범주 내에 있다.Additional novel features as well as the technical features disclosed herein are apparent from the description provided herein. The purpose of the present disclosure is not to provide a comprehensive description of the claimed technical features, but rather to provide a brief overview of some of the functions of the technical features. Other systems, methods, functions and advantages provided herein will be apparent to those of ordinary skill in the art upon examination of the attached drawings and detailed description. All such additional systems, methods, functions, and advantages included in this description are within the scope of the appended claims.

여기에 개시한 기술적 특징과 그 장점들에 대한 보다 완전한 이해를 위해서, 참조 부호들이 기능과을 나타내는 것과 같은, 첨부된 도면과 함께 다음의 설명을 참고한다 :
도 1은 400℃에서 SiN의 증착 매개 변수를 조정하는 것을 보여주는 화학 산화물을 갖는 이중층 더미(stack)에서 PECVD SiNx 필름 굴절 지수 (RI)와 표면 패시베이션 품질 (Seff)을 비교한 그래프이다;
도 2는 열 (고온) 산화물/SiN 더미와 400℃ 비정질 Si/SiN 및 화학-산화물/400C SiN 이중층 더미의 패시베이션 품질을 비교한 그래프이다;
도 3은 얇은 비정질 실리콘층에 매칭된 매개 변수를 보여주면서 단일층 SiN 대비 이중층 더미에 대해서, 광학 매개 변수, 즉 파장 대비 굴절 지수(n) 및 흡광 계수(k)를 보여주는 그래프이다;
도 4는 이중층 더미 (a-Si 10A 및 30A/SiN 및 화학-산화물/SiN)의 250℃에서의 패시베이션 성능을 보여주는 그래프이다;
도 5는 다양한 처리 온도에서 a-Si/SiN 더미에서 비정질 실리콘층 두께 대비 패시베이션 (Seff)을 보여주는 그래프이다; 그리고
도 6은 다양한 처리 온도에서 a-Si/SiN 더미에서 온도 대비 패시베이션 (Seff)을 보여주는 그래프이다.
For a more complete understanding of the technical features and their advantages disclosed herein, reference is made to the following description in conjunction with the accompanying drawings, in which the reference numerals indicate the function.
1 is a graph comparing PECVD SiNx film refractive index (RI) and surface passivation quality (Seff) in a bilayer stack with chemical oxides showing adjustment of the deposition parameters of SiN at 400 ° C .;
2 is a graph comparing the passivation quality of a thermal (high temperature) oxide / SiN stack with a 400 ° C. amorphous Si / SiN and chemical-oxide / 400C SiN bilayer stack;
FIG. 3 is a graph showing the optical parameters, namely refractive index (n) and extinction coefficient (k), for the bilayer dummy versus single layer SiN, showing parameters matched to the thin amorphous silicon layer;
4 is a graph showing the passivation performance at 250 ° C. of bilayer piles (a-Si 10A and 30A / SiN and chemical-oxide / SiN);
5 is a graph showing passivation (Seff) versus amorphous silicon layer thickness in a-Si / SiN piles at various processing temperatures; And
6 is a graph showing passivation versus temperature (Seff) in a-Si / SiN piles at various processing temperatures.

다음의 설명은 제한하려는 의미에서 취급되지 않고, 본 명세서의 일반 원칙들을 설명하기 위한 목적으로 구성되어 있다. 본 개시물의 범위는 청구범위들을 참조하여 결정해야 하다. 본 개시물의 예시적인 실시예들은 다양한 도면의 유사하고 해당하는 부분을 참조하는 데 사용되고 있는 번호와 같이, 도면에서 설명하고 도시되어 있다.The following description is not to be taken in a limiting sense, and is for the purpose of illustrating the general principles of the present specification. The scope of the disclosure should be determined with reference to the claims. Exemplary embodiments of the present disclosure are described and illustrated in the drawings, such as numbers used to refer to similar and corresponding parts of the various drawings.

고품질 표면 패시베이션(High-quality surface passivation)은 태양 광전 전지 등 다양한 적용예들을 위한 결정 실리콘 기판에 낮은 표면 재결합 속도(surface recombination velocity)와 고효율 소수 캐리어 수명(minority carrier lifetime)을 얻기 위해 필요하다. 역사적으로 뛰어난 표면 패시베이션 기술은 고온 열 산화 공정을 사용하는 것을 포함하고 있다. 그러나, 이러한 고온 공정은 박막 실리콘 기판의 기계적으로 약한 성질로 인해 부분적으로 박막 태양 전지의 제조에 있어서 바람직하지 않을 수도 있다. 따라서, 본 개시물은 저온 공정을 통해 고성능 태양 전지에 필요한 좋은 광학 성능 (무시할 수준의 광 흡수 포함)을 유지하면서 실리콘 표면에 고품질의, 감소된 재결합 패시베이션을 달성하기 위한 방법을 제공하다. 여기에 개시된 공정들은 적정한 표면 준비 및 세정, 이중층 박막의 성장 및/또는 증착, 예컨대 화학 산화물(chemical oxide)이나 비정질 실리콘(amorphous silicon) 상에 수소화된 실리콘 질화물(hydrogenated silicon nitride), 및 최종 후-어닐링을 포함한다. 개시된 상기 저온 프로세스는 알려진 고온 열 산화 공정을 사용하여 얻어진 결과에 해당하거나 이보다 낮은 표면 재결합 속도를 달성한다.High-quality surface passivation is needed to achieve low surface recombination velocity and high efficiency minority carrier lifetime on crystalline silicon substrates for various applications such as solar photovoltaic cells. Historically, surface passivation techniques have included the use of high temperature thermal oxidation processes. However, such high temperature processes may be undesirable in part in the manufacture of thin film solar cells due to the mechanically weak nature of the thin film silicon substrate. Thus, the present disclosure provides a method for achieving high quality, reduced recombination passivation on a silicon surface while maintaining good optical performance (including negligible levels of light absorption) required for high performance solar cells through low temperature processes. The processes disclosed herein may be performed by appropriate surface preparation and cleaning, growth and / or deposition of bilayer thin films such as hydrogenated silicon nitride on chemical oxide or amorphous silicon, and final post- Annealing. The low temperature process disclosed achieves surface recombination rates corresponding to or lower than results obtained using known high temperature thermal oxidation processes.

설명된 실시예들은 더욱 낮은 처리 온도에서 결정 실리콘 기판에 좋은 광학 특성과 함께 좋은 표면 패시베이션을 제공한다 - 바람직하게는 250℃ 또는 그 이하 및 100℃ 증착 및 후-증착. 여기에 개시한 기술적 특징의 또 다른 장점은 기존의 제조 공정 뿐만 아니라 표면 패시베이션을 위한 저온 처리의 사용이 필요할 수 있는 미래의 기술에 쉽게 통합하고 사용할 수 있는 실리콘 기판 기반 태양 전지의 고효율 표면 패시베이션을 위한 프로세스를 제공하는 것이다.The described embodiments provide good surface passivation with good optical properties to crystalline silicon substrates at lower processing temperatures—preferably 250 ° C. or lower and 100 ° C. deposition and post-deposition. Another advantage of the technical features disclosed herein is for high efficiency surface passivation of silicon substrate based solar cells that can be easily integrated and used in existing manufacturing processes as well as future technologies that may require the use of low temperature treatment for surface passivation. To provide a process.

여기에 개시한 기술적 특징은 효율적 ARC로 작동하기도 하는 이중층 패시베이션 방식을 활용함으로써 결정 (단결정 또는 다결정) 박막 (1 μm 내지 150 μm) 실리콘 기판 기반의 태양 전지에서 고효율 표면 패시베이션으로부터 극 저(ultra-low) 표면 재결합 속도를 얻기 위한 방법을 제공한다. 상기 이중층 패시베이션은 습윤 화학 산화물의 얇은 제 1 박막층 (예, 1-3nm 두께의 SiO2 층) 또는 얇은 수소화된 (바람직하게 제어된 수소화) 비정질 실리콘 층 (예, 1-10nm 두께의 a-Si 층)으로 이루어지고, 상기 습윤 화학 산화물 또는 비정질 실리콘 필름의 상단에 비정질 수소화된 실리콘 질화물 필름 (SiNx:H 10-1000 nm)을 증착하는 것이 이어진다. 그런 다음 본 증착에는 상기 증착 온도에서나 그 이상에서 N2+H2 주변 (성형 가스 어닐링, FGA) 또는 N2 주변에서 어닐링이 이어져서, 상기 표면 패시베이션을 더욱 강화한다.The technical features disclosed here are ultra-low from high efficiency surface passivation in solar cells based on crystalline (monocrystalline or polycrystalline) thin film (1 μm to 150 μm) silicon substrates by utilizing a double layer passivation scheme that also works with efficient ARC. ) Provides a method for obtaining the surface recombination rate. The bilayer passivation may comprise a thin first thin film layer of wet chemical oxide (e.g., 1-3 nm thick SiO 2 Layer) or a thin hydrogenated (preferably controlled hydrogenated) amorphous silicon layer (e.g., an a-Si layer 1-10 nm thick), and an amorphous hydrogenated silicon nitride film on top of the wet chemical oxide or amorphous silicon film Deposition of (SiNx: H 10-1000 nm) is followed. The present deposition is then followed by annealing around N 2 + H 2 (molded gas annealing, FGA) at or above the deposition temperature or around N 2 to further enhance the surface passivation.

중요한 것은, 상기 수소화된 비정질 실리콘 질화물 박막 자체는 이중층 또는 다중층일 수도 있다. 일 실시예에서, 상기 수소화된 비정질 실리콘 질화물 박막 이중층은 더 높은 굴절 지수와 더 높은 상대적 질소 대비 실리콘 비율을 지닌 제 1 층 및 더 낮은 굴절지수와 더 낮은 질소 대비 실리콘 비율을 지닌 제 2 층을 포함할 수도 있다. 따라서 상기 더 높은 굴절 지수를 지닌 층이 상기 실리콘 기판에 더 가까이 위치하고, 상기 더 낮은 굴절 지수를 지닌 층이 상기 실리콘 기판에 더 멀리 위치하고 있다.Importantly, the hydrogenated amorphous silicon nitride thin film itself may be a double layer or multiple layers. In one embodiment, the hydrogenated amorphous silicon nitride thin film bilayer comprises a first layer having a higher refractive index and a higher relative nitrogen to silicon ratio and a second layer having a lower refractive index and a lower nitrogen to silicon ratio. You may. Thus, the layer with the higher index of refraction is located closer to the silicon substrate, and the layer with the lower index of refraction is located farther to the silicon substrate.

상술한 상기 두 층은 같은 챔버 내에서, 또는 공기 노출 또는 진공 중단이 있거나 없이 하나의 처리 단계 또는 순차적 처리 단계들에서 증착될 수도 있다. 상기 실리콘 질화물과 비정질 실리콘 필름은 낮은 주파수 또는 높은 주파수의 직접 또는 원격 플라즈마로 플라즈마 강화 화학 기상 증착 (PECVD)을 이용하고, 인라인 또는 배치/클러스터 도구를 사용하여 증착될 수도 있다. 기타 증착 방법들에는 저압 화학 기상 증착 (LPCVD), 물리적 기상 증착 (PVD), 대기 화학-기상 증착 (APCVD), 플라즈마 스퍼터링 또는 이온 빔 증착 (IBD)이 포함되어 있다.The two layers described above may be deposited in the same chamber or in one processing step or sequential processing steps with or without air exposure or vacuum interruption. The silicon nitride and amorphous silicon film may be deposited using plasma enhanced chemical vapor deposition (PECVD) with low or high frequency direct or remote plasma, and using an inline or batch / cluster tool. Other deposition methods include low pressure chemical vapor deposition (LPCVD), physical vapor deposition (PVD), atmospheric chemical-vapor deposition (APCVD), plasma sputtering or ion beam deposition (IBD).

표면 전처리는 상기 패시베이션 필름의 증착에서 중요한 역할을 한다. 습식 화학 산화물이 연관된 이중층 패시베이션 형성의 경우에, 질감이 있거나 평평한 실리콘 표면은 HF 및 HCl이 관련되지만, 이들에만 한정되지 않는 용액으로 세정될 필요가 있다. NH4OH:H2O2 또는 HCl:H2O2 함유 용액도 사용할 수 있다. 이와 같이 상기 표면 세정은 깨끗한 소수성 수소-패시베이션된 실리콘 표면을 형성한다. 그런 다음 상기 표면 세정 단계에는 20-80℃ 범위 온도에서 수성 HNO3 (10-50% 희석) 침지 또는 오존 함유 DI 물 (DIO3) 침지 또는 오존화된 DI 물 + 희석 HF 혼합 침지 (결과적으로 표면 패시베이션 수소)가 이어지고, 상기 표면 품질과 결과적으로 표면 패시베이션을 저하시킬 수도 있는 어떠한 오염 물질도 없이 적절하게 .3-5nm 두께 범위인 습윤 화학 산화물 층을 형성한다. 상기 산화물 층의 두께는 원하는 속성에 따라 조정될 수 있으며, 따라서 여기에 개시한 기술적 특징에는 여기에 개시한 범위 (예, .5-5nm)인 두께 모두가 포함된다. Surface pretreatment plays an important role in the deposition of the passivation film. In the case of double layer passivation formation involving wet chemical oxides, the textured or flat silicon surface needs to be cleaned with a solution involving, but not limited to, HF and HCl. NH 4 OH: H 2 O 2 or HCl: H 2 O 2 containing solutions may also be used. As such, the surface clean forms a clean hydrophobic hydrogen-passivated silicon surface. The surface cleaning step then involves dipping with aqueous HNO 3 (10-50% dilution) or ozone containing DI water (DIO 3 ) dipping or ozonated DI water + dilute HF mixed dipping at temperatures ranging from 20-80 ° C. Passivation hydrogen) followed by forming a wet chemical oxide layer suitably in the .3-5 nm thickness range without any contaminants that may degrade the surface quality and consequently the surface passivation. The thickness of the oxide layer can be adjusted according to the desired properties, so the technical features disclosed herein include all thicknesses in the ranges disclosed herein (eg .5-5 nm).

비정질 실리콘 박막을 포함한 이중층 패시베이션의 경우, 원래의 실리콘 산화물의 전부가 표면에서 제거되어야 한다. 기타 금속 및 유기물 표면 오염 물질도 제거해야 하다. 따라서 상기 기판은 증착 전에 희석 HF에서 세정된다. 상기 HF 세정은 용액 HF, HCl 및/또는 NH4OH:H2O2 , HCl:H2O2 용액을 포함한 표면 세정에 의해 진행될 수도 있다. 적절한 표면 처리 및 세척 후, 화학 산화물 또는 비정질 실리콘, 그런 다음 실리콘 질화물의 증착이 수행되어서 듀얼 더미(dual stack) 이중 층을 형성한다.In the case of double layer passivation including an amorphous silicon thin film, all of the original silicon oxide must be removed from the surface. Other metal and organic surface contaminants should also be removed. Thus, the substrate is cleaned in dilute HF before deposition. The HF cleaning may be carried out by surface cleaning including solution HF, HCl and / or NH 4 OH: H 2 O 2 , HCl: H 2 O 2 solution. After proper surface treatment and cleaning, deposition of chemical oxides or amorphous silicon, then silicon nitride, is performed to form a dual stack double layer.

습윤 화학 산화물과 실리콘 질화물이 연관된 패시베이션의 경우, 화학 산화물을 갖는 세정된 기판을 증착 챔버 내로 도입하고, 거기서 100-500℃ 범위 온도, 또는 더욱 구체적으로 100-450℃ 범위 온도에서 SiH4 와 NH3를 이용해서 플라즈마 강화 화학 기상 증착을 이용해서 1.85-2.3 사이 (또는 원하는 특성에 따라 1.85-2.2)의 굴절 지수를 갖는 10-200nm (또는 10-100nm 처럼 얇은) 두께의 실리콘 질화물을 증착시킨다. 다른 프로세스 실시예들은 디실란(disilane) (Si2H6) 등의 실리콘 함유 가스나 주변공기로 금속-유기 실리콘 소스와, NH3, H2, 및 N2 가스 전구체 등의 질소 및 수소 함유 가스를 이용한다. 상기 실리콘 질화물 층의 두께는 원하는 속성에 따라 조정될 수 있으며, 따라서 여기에 개시한 기술적 특징은 개시된 범위의 모든 두께를 포함하고 있다.For passivation involving wet chemical oxides and silicon nitride, a cleaned substrate with chemical oxides is introduced into the deposition chamber, where SiH 4 and NH 3 are at a temperature in the range 100-500 ° C., or more specifically in the range 100-450 ° C. Plasma-enhanced chemical vapor deposition is used to deposit 10-200 nm (or as thin as 10-100 nm) thick silicon nitride with a refractive index between 1.85-2.3 (or 1.85-2.2, depending on the desired properties). Other process embodiments include a metal-organic silicon source such as silicon containing gas such as disilane (Si 2 H 6 ) or ambient air, and nitrogen and hydrogen containing gas such as NH 3 , H 2 , and N 2 gas precursors. Use The thickness of the silicon nitride layer can be adjusted according to the desired properties, so the technical features disclosed herein cover all the thicknesses of the disclosed ranges.

수소화된 비정질 실리콘 박막 (예를 들어 비정질 실리콘 a-Si, 산소 및/또는 탄소 함유 비정질 실리콘 a-SiOC, 또는 산소 및/질소 함유 - 시온를 포함하는 비정질 실리콘 a-SiON)이 연관된 패시베이션의 경우, 산화물 불포함 표면을 갖는 세정된 기판(예컨대, 희석 HF 침지에 의해 제조)을 증착 챔버에 도입하고, 거기서 100-500℃, 또는 더욱 구체적으로 100-400℃ 범위 온도에서 H2을 전구체로 갖거나 없이 SiH4을 이용해서 플라즈마 강화 증착을 이용해서 비정질 실리콘의 1-10 nm 범위 박막을 증착시킨다. 다른 프로세스 실시예들은 디실란(disilane) (Si2H6) 등의 실리콘 함유 가스나 금속-유기 실리콘 소스와, H2, 및 N2 가스 전구체 등의 추가 가스를 이용할 수도 있다. 상기 실리콘 박막의 두께는 원하는 속성에 따라 조정될 수 있으며, 따라서 여기에 개시한 기술적 특징은 개시된 범위의 모든 두께를 포함하고 있다. 또한, 상기 수소화된 비정질 실리콘 박막의 실시예들에는 수소화된 비정질 아화학양론(sub-stoichiometric) 실리콘 산화물, 수소화된 비정질 아화학양론 실리콘 질화물, 수소화된 비정질 아화학양론 실리콘 산화질화물, 및 수소화된 비정질 아화학양론 실리콘 탄화물을 포함하고 있다. 상기 비정질 실리콘 증착 후, 10-200nm (또는 10-100nm 처럼 얇은) 두께와 1.85-2.3 사이 (또는 원하는 특성에 따라 1.85-2.2)의 굴절 지수를 갖는 실리콘 질화물 필름의 플라즈마 강화 화학 기상 증착을 100-500℃ 범위 온도, 또는 더욱 구체적으로 100-400℃ 범위 온도에서 수행한다. 공정 실시예들은 SiH4, 디실란 (Si2H6) 등의 실리콘 함유 가스나 주변공기로 금속-유기 실리콘 소스와, NH3, H2, 및 N2 가스 전구체 등의 질소 및 수소 함유 가스를 이용할 수도 있다. 상기 실리콘 질화묵 층의 두께는 원하는 속성에 따라 조정될 수 있으며, 따라서 여기에 개시한 기술적 특징은 개시된 범위의 모든 두께를 포함하고 있다.For passivation involving hydrogenated amorphous silicon thin films (e.g. amorphous silicon a-Si, oxygen and / or carbon containing amorphous silicon a-SiOC, or oxygen and / or nitrogen containing-amorphous silicon a-SiON comprising zion) A cleaned substrate having a free surface (eg, prepared by dilution HF immersion) is introduced into the deposition chamber, where SiH with or without H 2 as precursor at temperatures in the range 100-500 ° C., or more specifically 100-400 ° C. 4 is used to deposit a thin film of 1-10 nm range of amorphous silicon using plasma enhanced deposition. Other process embodiments may utilize a silicon-containing gas such as disilane (Si 2 H 6 ) or a metal-organic silicon source, and additional gases such as H 2 , and N 2 gas precursors. The thickness of the silicon thin film can be adjusted according to the desired properties, so the technical features disclosed herein cover all the thicknesses of the disclosed ranges. In addition, embodiments of the hydrogenated amorphous silicon thin film include hydrogenated amorphous sub-stoichiometric silicon oxide, hydrogenated amorphous substoichiometry silicon nitride, hydrogenated amorphous substoichiometry silicon oxynitride, and hydrogenated amorphous It contains substoichiometric silicon carbide. After the amorphous silicon deposition, plasma-enhanced chemical vapor deposition of silicon nitride films having a thickness of 10-200 nm (or as thin as 10-100 nm) and a refractive index of between 1.85-2.3 (or 1.85-2.2, depending on the desired properties) was performed. At a temperature in the range of 500 ° C., or more particularly in the range 100-400 ° C. Process embodiments use a metal-organic silicon source, such as SiH 4 , disilane (Si 2 H 6 ), or ambient air, and nitrogen and hydrogen-containing gases, such as NH 3 , H 2 , and N 2 gas precursors. It can also be used. The thickness of the silicon nitride layer can be adjusted according to the desired properties, so the technical features disclosed herein cover all the thicknesses of the disclosed ranges.

상기 패시베이션 더미의 증착 후, 어닐링 온도가 더 높을 수도 있지만 (예, 100-500℃, 또는 더 구체적으로 100-450℃), 상기 기판을 바람직하게는 증착 온도와 동일한 온도에서 어닐링한다. 또한, 진공, 질소 또는 성형 가스 (N2 , H2, NH3, 또는 N2 + H2 등 주변 형성 가스)에서 후(post) 어닐링을 수행하는 것이 상기 패시베이션을 향상시킬 수도 있다. 예를 들어, 상기 어닐링 온도를 100-450 ℃에서 약 1-20 분 동안으로 유지하게 되면, 무반사 코팅(ARC) 용으로서의 전도성 용도를 위한 상기 패시베이션 층의 최적 성능을 보존하는 것을 돕게 되고, 상기 표면 패시베이션을 향상시킨다. 그러나, 중요한 것은 여기에 개시한 기술적 특징의 프로세스 실시예들은 형성 가스나 질소에서 후-증착 어닐링을 사용하지 않을 수도 있다.After deposition of the passivation pile, the annealing temperature may be higher (eg, 100-500 ° C., or more specifically 100-450 ° C.), but the substrate is preferably annealed at the same temperature as the deposition temperature. In addition, vacuum, nitrogen or forming gas (N 2 , H 2 , NH 3 , or N 2 Post annealing in an ambient forming gas, such as + H 2 , may improve the passivation. For example, maintaining the annealing temperature at 100-450 ° C. for about 1-20 minutes helps to preserve the optimal performance of the passivation layer for conductive applications for antireflective coatings (ARCs), and the surface Improves passivation However, it is important to note that process embodiments of the technical features disclosed herein may not use post-deposition annealing in forming gas or nitrogen.

여기에 개시한 기술적 특징의 중요한 측면은, 효율적으로 광을 트래핑하면서 (예컨대 광 반사 손실 최소화) 패시베이션 유전 및 효율 무반사 코팅 (ARC)으로서 이중 기능을 위해서 패시베이션의 주요 구성 요소 즉, 실리콘 질화물이 최적화되어야 하는 패시베이션 방법에 있어서 정확한 프로세스-성능 관계를 발견하는 것에 관한 것이다. 상기 수소화된 비정질 실리콘 박막 및 수소화된 비정질 실리콘 질화물 박막에 대한 증착 매개 변수들 - 예컨대, 온도, SiH4, Si2H6, NH3, H2 and N2, N2O, CO2 등의 가스 흐름, 챔버 압력, 및 플라즈마 전원 - 이 최적화되어서, 모든 파수 300-1600 cm-1에서 최소 흡광도를 가지고 상대적으로 높은 Si-H 결합 밀도를 제공할 수도 있다.An important aspect of the technical features disclosed herein is the passivation dielectric and efficiency antireflective coating (ARC) while efficiently trapping light (e.g. minimizing light reflection loss), so that the key component of the passivation, ie, silicon nitride, must be optimized for dual functionality. It relates to finding the correct process-performance relationship in a passivation method. Deposition parameters for the hydrogenated amorphous silicon thin film and the hydrogenated amorphous silicon nitride thin film-for example, gas such as temperature, SiH 4 , Si 2 H 6 , NH 3 , H 2 and N 2 , N 2 O, CO 2, etc. Flow, chamber pressure, and plasma power source-may be optimized to provide a relatively high Si-H bond density with minimum absorbance at all waves 300-1600 cm < -1 >.

도 1은 400℃에서 SiN의 증착 매개 변수를 조정하는 것을 보여주는 습윤 화학 산화물을 갖는 이중층 더미에서 PECVD SiNx 필름 굴절 지수 (RI)와 표면 패시베이션 품질 (Seff)을 비교한 것을 실제 측정한 결과를 보여주는 그래프이다. 역사적으로 전면 (수광) 쪽 패시베이션은 열 산화물로 개선되는 것으로 알려져 있는데-상대적으로 낮은 표면 재결합 속도를 제공한다. 또한, 실리콘 질화물 증착 조건의 영향 및 패시베이션에 미치는 그들의 영향에 대한 광범위한 연구가 있었다. 그러나, 어닐링이 있는 이중층 패시베이션에 대해 개시된 방법을 이용하여, 상기 표면 패시베이션을 크게 품질을 향상시키고 - 도 1에 묘사된 측정 결과에 보이는 바와 같음 - 그리고 400℃에서 열 (더 고온) 산화물과 실리콘 질화물 패시베이션한 표면의 이중층 더미의 성능 보다 우수하거나 동일한 성능을 발휘한다. 여기에 개시한 프로세스들의 중요한 장점은 열 산화물 처리에 필요한 더 높은 온도가 개시된 이중층 방법들에서 필요하지 않다는 점으로, - 따라서 박막 기판 상에서 고온 프로세스를 수행하는 것과 연관된 불이익을 줄이거나 없앤다.1 is a graph showing the actual measurement of the comparison of PECVD SiNx film refractive index (RI) and surface passivation quality (Seff) in a bilayer pile with wet chemical oxides showing adjustment of the deposition parameters of SiN at 400 ° C. to be. Historically, front side (passivation) side passivation is known to be improved with thermal oxide—providing a relatively low surface recombination rate. There has also been extensive research on the effects of silicon nitride deposition conditions and their effects on passivation. However, using the disclosed method for bilayer passivation with annealing, the surface passivation is greatly improved in quality-as shown in the measurement results depicted in FIG. 1-and at 400 ° C., thermal (higher) oxide and silicon nitride. Better than or equal to that of a passivated bilayer pile. An important advantage of the processes disclosed herein is that the higher temperatures required for thermal oxide treatment are not needed in the disclosed bilayer methods-thus reducing or eliminating the disadvantages associated with performing high temperature processes on thin film substrates.

여기에 개시한 기술적 특징은 증착된 비정질 실리콘 및 실리콘 질화물 필름의 속성을 조정해서 최적의 패시베이션을 얻는 것을 포함하고 있다. 도 2는 열 (고온) 산화물/SiN 더미와 400℃ 비정질 Si/SiN 및 화학-산화물/400℃ SiN 이중층 더미의 패시베이션 품질 비교를 보여주는 실제 측정 결과를 제시하는 그래프이다. 상기 열 (고온) 산화물/SiN 더미와 비교할 때, 패시베이션 층으로서 상기 비정질-Si/SiN 및 화학-산화물/SiN 더미의 동일 또는 더 우수한 성능을 유의한다. 도 2의 그래프에 도시된 결과들 - 증착 매개 변수들의 측정된 상호작용과 광 전도성 붕괴로 측정한 바와 같은 패시베이션 품질에 대한 실리콘 질화물 굴절 지수 (RI)의 영향 - 은 2.0-2.3 사이의 RI가, 습윤 화학 산화물을 패시베이션 층들의 하나로서 사용하는 경우에 400℃에서 이중층 패시베이션에 있어서 패시베이션에 최선으로 작용한다.Technical features disclosed herein include adjusting the properties of the deposited amorphous silicon and silicon nitride films to obtain optimal passivation. FIG. 2 is a graph showing actual measurement results showing a passivation quality comparison of a thermal (hot) oxide / SiN dummy and a 400 ° C. amorphous Si / SiN and a chemical-oxide / 400 ° C. SiN bilayer pile. Note the same or better performance of the amorphous-Si / SiN and chemical-oxide / SiN dummy as a passivation layer when compared to the thermal (hot) oxide / SiN dummy. The results shown in the graph of FIG. 2-the effect of silicon nitride refractive index (RI) on the passivation quality as measured by the measured interaction of the deposition parameters and the light conductance collapse-the RI between 2.0-2.3, When wet chemical oxide is used as one of the passivation layers, it works best for passivation in bilayer passivation at 400 ° C.

상기 제 1 층으로 비정질 실리콘을 이용하는 패시베이션 이중층의 경우, 증착 조건들과 필름 두께도 패시베이션 품질에 영향을 미친다. 도 3은 얇은 비정질 실리콘층에 매칭된 매개 변수를 보여주면서 단일층 SiN 대비 이중층 더미에 대해서, 광학 매개 변수, 즉 파장 대비 굴절 지수(n) 및 흡광 계수(k)를 보여주는 실제 측정 결과를 제시한 그래프이다. 도 3의 그래프에서 보여지듯이, 1-10nm 사이 두께에서 비정질 실리콘 층 때문에 흡광 저하 없이최선의 패시베이션을 제공한다. 도 3은 또한 상기 얇은 비정질 실리콘 층이 존재하는 이중층 패시베이션 더미의 흡광 계수의 변화가 없음을 보여준다.In the case of a passivation bilayer using amorphous silicon as the first layer, deposition conditions and film thickness also affect passivation quality. FIG. 3 shows the actual measurement results showing the optical parameters, i.e. refractive index (n) and extinction coefficient (k), for the bilayer dummy versus single layer SiN, showing the parameters matched to the thin amorphous silicon layer. It is a graph. As shown in the graph of FIG. 3, the best passivation is provided without a decrease in absorption due to the amorphous silicon layer at a thickness between 1-10 nm. 3 also shows that there is no change in the absorption coefficient of the bilayer passivation pile in which the thin amorphous silicon layer is present.

도 4는 이중층 더미 (a-Si 10A 및 30A/SiN 및 화학-산화물/SiN)의 250℃에서의 패시베이션 성능을 보여주는 실제 측정 결과를 제시한 그래프이며, - 30A a-Si/SiN 더미가 더 나은 성능을 달성한다. 다른 실시예에서, 우수한 표면 패시베이션은 증착 온도와 동일 온도에서 후 증착 어닐링이 있는, 수소화된 비정질 실리콘 박막 (예, a-Si, a-SiOC 또는 a-SiON) 및 실리콘 질화물 이중층 패시베이션을 이용해서 매우 낮은 증착 온도 ≤150℃ 에서 이루어진다. 이렇게 저온 패시베이션 방식을 사용하여, 상기 얇은 비정질 실리콘 층 (1-10 nm)은 H2가 있거나 없이 SiH4을 사용해서, 상술한 것처럼 온도 ≤150℃에서 세정된 실리콘 기판 상에 증착된 후, ≤150℃ 에서 실리콘 질화물 증착이 이어지고, N2 또는 FGA에서 1-120 분간 증착 온도와 같은 온도에서 어닐링이 이어진다. 도 4의 그래프에서 보여지듯이 본 방법은 ≥250 ℃ 온도에서 증착되고 어닐링된 필름과 동일한 수준의 패시베이션을 제공한다. 더 낮은 온도 패시베이션을 위해서, 상기 실리콘 질화물 증착 매개 변수들은 1.85-2.2 사이에 RI를 얻도록 조정해야 한다.4 is a graph showing the actual measurement results showing the passivation performance of the bilayer pile (a-Si 10A and 30A / SiN and chemical-oxide / SiN) at 250 ° C., with a -30A a-Si / SiN dummy being better. To achieve performance. In another embodiment, good surface passivation is highly exploited using hydrogenated amorphous silicon thin films (eg, a-Si, a-SiOC or a-SiON) and silicon nitride bilayer passivation with post deposition annealing at the same temperature as the deposition temperature. At a low deposition temperature < Using this low temperature passivation method, the thin amorphous silicon layer (1-10 nm) was deposited on a cleaned silicon substrate at a temperature ≦ 150 ° C. as described above, using SiH 4 with or without H 2 , and then ≦ Silicon nitride deposition is followed at 150 ° C. followed by annealing at a temperature equal to the deposition temperature for 1-120 minutes in N 2 or FGA. As shown in the graph of FIG. 4, the method provides the same level of passivation as the film deposited and annealed at a temperature of ≧ 250 ° C. FIG. For lower temperature passivation, the silicon nitride deposition parameters should be adjusted to obtain RI between 1.85-2.2.

개시된 방법은 또한 증착된 비정질 실리콘 및 실리콘 질화물 필름의 성능들을 튜닝하고 조정해서,더 낮은 온도에서 최적의 패시베이션을 얻는 것을 더 포함하고 있다.The disclosed method further includes tuning and adjusting the performances of the deposited amorphous silicon and silicon nitride films to obtain optimal passivation at lower temperatures.

도 5는 더 낮은 처리 온도에서 (예, 200℃) 동일한 성능을 보여주면서 다양한 처리 온도에서 a-Si/SiN 더미에서 비정질 실리콘층 두께 대비 패시베이션 (Seff)을 보여주는 실제 측정 결과를 제시한 그래프이다. 도 5의 그래프에서 보여지듯이, 증착 매개 변수들의 측정된 영향과 비정질 실리콘 층 두께의 영향은 비정질 실리콘이 패시베이션 층들 중 하나로 사용되는 경우에 10nm 미만 두께, 바람직하게는 3-10nm가 250℃ 아래 이중층 패시베이션에 있어서 패시베이션에 최적으로 작용함을 보여주고 있다. 도 6의 그래프에서 보여지듯이, 이러한 관계를 이해함으로써 처리 온도를 더 150℃ 아래로 내리는 데에 도와 주었다. 도 6은 150℃의 더 낮은 처리 온도에서 동일한 성능을 보여주면서 다양한 처리 온도에서 a-Si/SiN 더미에서 온도 대비 패시베이션 (seff)을 보여주는 실제 관측 결과를 제시한 그래프이다.FIG. 5 is a graph showing actual measurement results showing passivation (Seff) versus amorphous silicon layer thickness in a-Si / SiN piles at various processing temperatures with the same performance at lower processing temperatures (eg 200 ° C.). As shown in the graph of FIG. 5, the measured influence of the deposition parameters and the influence of the amorphous silicon layer thickness are bilayer passivation of less than 10 nm thick, preferably 3-10 nm below 250 ° C. when amorphous silicon is used as one of the passivation layers. It is shown that it works best for passivation. As shown in the graph of FIG. 6, understanding this relationship helped to lower the treatment temperature further down to 150 ° C. FIG. 6 is a graph showing actual observations showing the passivation (seff) versus temperature in a-Si / SiN piles at various treatment temperatures with the same performance at lower treatment temperatures of 150 ° C.

필요한 경우 패시베이션은 두 단계 또는 여러 단계에서 수행될 수 있는 것처럼 본 방법들은 실리콘 기반 소자 제조를 위한 유연성을 제공한다. 예를 들어, 습식 화학 산화물의 형성은 증착 전 정기적 표면 세정의 일부가 될 수도 있다. 또한 비정질 실리콘 증착은 실리콘 질화물의 그것과 동일한 프로세스 단계에서나, 동일한 챔버, 인접 챔버와 진공 중단이 있거나 없이 수행될 수도 있다. As passivation can be performed in two or several stages as needed, the methods provide flexibility for fabricating silicon-based devices. For example, the formation of wet chemical oxides may be part of regular surface cleaning prior to deposition. Amorphous silicon deposition may also be performed in the same process step as that of silicon nitride, with or without the same chamber, adjacent chamber and vacuum interruption.

본 개시물은 이중층 비정질 실리콘 및 실리콘 질화물 구조를 사용하여 감소된 온도 표면 패시베이션을 설명하는 한편, 추가 실시예들 또한 이중층 또는 다중층 구조의 비정질 실리콘 및/또는 이중층 또는 다중층 구조의 실리콘 질화물 (예컨대 각 층마다 상이한 Si:N:H 비율을 갖는 구조)을 갖는 구조들을 포함하고 있다. 더욱이, 태양 전지의 광대역 무반사 코팅 (ARC) 층 역할도 하는 패시베이션 층들을 위해서, 개시된 방법들은 설명한 패시베이션/ARC 구조의 상단에 증착되거나 형성된 추가 소재들을 포함할 수도 있다.While the present disclosure describes reduced temperature surface passivation using bilayer amorphous silicon and silicon nitride structures, further embodiments also provide amorphous silicon and / or double layer or multilayer structure silicon nitride (eg, bilayer or multilayer structure). Each layer includes structures having different Si: N: H ratios). Moreover, for passivation layers, which also serve as a broadband antireflective coating (ARC) layer of the solar cell, the disclosed methods may include additional materials deposited or formed on top of the described passivation / ARC structure.

작동시, 상술한 패시베이션 방법들은, 상기 실리콘 기판의 전면/상단 (수광) 면 패시베이션을 위해서 제조 방법들이 매우 낮은 온도, 예를 들어 <250℃ 를 요구할 경우에 유용하다. 개시된 이중층 방법들은 저온 어닐링이 이어지는 낮은 증착 온도에서 얻은 소수 캐리어의 낮은 표면 재결합을 가진 좋은 품질의 표면 패시베이션을 제공한다. 또한, 개시된 이중층 패시베이션 방법은 박막 후면 접촉 후면 접합 실리콘 태양 전지의 전면/상단 (수광) 면 패시베이션에 특히 적용 가능한데, 저온 공정이, 후면 접촉 후면 접합 실리콘 태양 전지의 수광면에 필요한 우수한 광학 특성을 유지하면서 박막 기판에 바람직하기 때문이다. 또한, 개시된 이중 패시베이션 방법들은, 얇은, 80 미크론 미만인, 실리콘 (단결정 또는 다결정) 흡수 층을 포함 할 수도 있다.In operation, the passivation methods described above are useful when fabrication methods require very low temperatures, for example <250 ° C., for passivation of the front / top (light receiving) side of the silicon substrate. The disclosed bilayer methods provide good quality surface passivation with low surface recombination of minority carriers obtained at low deposition temperatures followed by low temperature annealing. In addition, the disclosed double layer passivation method is particularly applicable to front / top (receiving) face passivation of thin film back contact back junction silicon solar cells, where low temperature processes maintain the excellent optical properties required for the light receiving surface of back contact back junction silicon solar cells. This is because it is preferable to a thin film substrate. In addition, the disclosed dual passivation methods may include a thin (less than 80 micron) silicon (monocrystalline or polycrystalline) absorbing layer.

바람직한 실시예들에 대한 전술한 설명은 당해 기술 분야에서 통상의 지식을 가진 자들이 청구된 기술적 특징을 만들거나 사용할 수 있도록 제공된다. 본 실시예들에 대한 다양한 변형예들이 당해 기술 분야에서 통상의 지식을 가진 자들에게 매우 명백할 것이며, 여기에 정의된 일반 원리들은 혁신적인 능력을 사용함이 없이 다른 실시예들에 적용할 수도 있다. 따라서, 청구된 기술적 특징은 여기에 보여진 실시예들에 대해 제한하려는 의도가 아니며, 여기에 개시된 원리들과 신규한 기능들과 부합하는 최광의의 것으로 부여되어야 한다.
The foregoing description of the preferred embodiments is provided to enable any person skilled in the art to make or use the claimed technical features. Various modifications to the present embodiments will be readily apparent to those skilled in the art, and the generic principles defined herein may be applied to other embodiments without using innovative capabilities. Accordingly, the claimed technical features are not intended to be limiting on the embodiments shown herein, but should be accorded the widest scope consistent with the principles and novel functions disclosed herein.

Claims (31)

실리콘 기판의 표면에 화학 산화물 박막 층을 형성하는 단계;
상기 화학 산화물 박막 층 위에 100-500℃의 범위 온도에서 수소화된 비정질 실리콘 질화물 박막을 증착시키는 단계; 및
100-500℃의 범위 온도에서 상기 실리콘 기판을 어닐링시키는 단계를 포함하는,
실리콘 기판의 표면에서의 이중층 패시베이션 방법.
Forming a chemical oxide thin film layer on the surface of the silicon substrate;
Depositing a hydrogenated amorphous silicon nitride thin film on the chemical oxide thin film layer at a temperature in the range of 100-500 ° C .; And
Annealing the silicon substrate at a temperature in the range of 100-500 ° C.,
Bilayer passivation method on the surface of a silicon substrate.
제 1 항에 있어서, 상기 이중층 패시베이션 방법은 고효율 후면-접촉/후면-접합 결정 실리콘 태양 전지의 수광면에 적용하는 것인, 방법.The method of claim 1, wherein the bilayer passivation method is applied to the light receiving surface of a high efficiency back-contact / back-junction crystalline silicon solar cell. 제 1 항에 있어서, 상기 화학 산화물 박막 층을 형성하기 전에, 상기 실리콘 기판의 표면을 세정하는 단계를 더 포함하는, 방법.The method of claim 1, further comprising cleaning the surface of the silicon substrate before forming the chemical oxide thin film layer. 제 3항에 있어서, 상기 실리콘 기판의 표면을 세정하는 단계는 NH4OH, H2O2, HCl로 이루어진 그룹으로부터 선택된 세정액을 사용하고, 희석된 HF 용액으로 상기 표면을 패시베이션하는 원래의 산화물과 수소를 제거하는 것인, 방법.The method of claim 3, wherein the cleaning of the surface of the silicon substrate is performed using a cleaning solution selected from the group consisting of NH 4 OH, H 2 O 2 , HCl, and the original oxide passivating the surface with diluted HF solution. Removing hydrogen. 제 1 항에 있어서, 상기 화학 산화물 박막 층은 0.3 내지 5nm 범위의 두께를 가지고, 20-80℃ 범위의 온도에서 HNO3 수용액에서 형성되는 것인, 방법.The method of claim 1, wherein the chemical oxide thin film layer has a thickness in the range of 0.3 to 5 nm and is formed in an aqueous HNO 3 solution at a temperature in the range of 20-80 ° C. 3 . 제 1 항에 있어서, 상기 수소화된 비정질 실리콘 질화물 박막을 증착시키는 단계는, 하기 공정들 중 하나를 이용하는 인라인 또는 배치/클러스터 도구에서 수행되는 것인 방법: 플라즈마 강화 화학 기상 증착(PECVD), 저압 화학 기상 증착(LPCVD), 대기 화학-기상 증착(APCVD), 또는 물리적 기상 증착(PVD).The method of claim 1, wherein depositing the hydrogenated amorphous silicon nitride thin film is performed in an inline or batch / cluster tool using one of the following processes: plasma enhanced chemical vapor deposition (PECVD), low pressure chemistry Vapor deposition (LPCVD), atmospheric chemical-vapor deposition (APCVD), or physical vapor deposition (PVD). 제 1 항에 있어서, 상기 수소화된 비정질 실리콘 질화물 박막 증착은 더 높은 굴절 지수와 더 높은 상대적 질소 대비 실리콘 비율을 지닌 제 1 층, 및 더 낮은 굴절 지수와 더 낮은 질소 대비 실리콘 비율을 지닌 제 2 층을 포함하는 것인 방법.The method of claim 1, wherein the hydrogenated amorphous silicon nitride thin film deposition has a first layer having a higher refractive index and a higher relative nitrogen to silicon ratio, and a second layer having a lower refractive index and a lower nitrogen to silicon ratio. How to include. 제 1 항에 있어서, 상기 수소화된 비정질 실리콘 질화물 박막 증착은, 상기 실리콘 기판을 플라즈마 강화 화학 기상 증착(PECVD) 챔버에 위치시키는 단계 및 10-200nm 범위의 두께를 갖는 비정질 실리콘 질화물 박막을 100-500℃ 범위의 온도에서 SiH4, Si2H6, 또는 금속-유기 실리콘 소스의 그룹에서 선택한 하나 이상의 실리콘 함유 가스와, NH3, H2, 및 N2 가스 전구체의 그룹으로부터 선택된 하나 이상의 질소 및 수소 함유 가스를 이용하여 증착시키는 단계를 더 포함하고, 상기 실리콘 질화물 증착 조건은 1.85와 2.3 사이의 굴절지수를 얻도록 조정되는 것인, 방법.The method of claim 1, wherein the hydrogenated amorphous silicon nitride thin film deposition comprises: placing the silicon substrate in a plasma enhanced chemical vapor deposition (PECVD) chamber and depositing an amorphous silicon nitride thin film having a thickness in the range of 10-200 nm 100-500. At least one silicon-containing gas selected from the group of SiH 4 , Si 2 H 6 , or metal-organic silicon sources , and at least one nitrogen and hydrogen selected from the group of NH 3 , H 2, and N 2 gas precursors at temperatures ranging from Depositing with a containing gas, wherein the silicon nitride deposition conditions are adjusted to obtain a refractive index between 1.85 and 2.3. 제 8 항에 있어서, 상기 실리콘 질화물 박막은 두 가지 상이한 굴절 지수를 가진 2 이상의 실리콘 질화물 필름 더미를 포함하고, 더 높은 굴절 지수를 지닌 층이 상기 실리콘 기판에 더 가까이 위치하고, 더 낮은 굴절 지수를 지닌 층이 상기 실리콘 기판에 더 멀리 위치하는 것인, 방법.9. The silicon nitride film of claim 8, wherein the silicon nitride thin film comprises at least two stacks of silicon nitride films having two different refractive indices, wherein a layer with a higher refractive index is located closer to the silicon substrate and has a lower refractive index. Wherein the layer is located further away from the silicon substrate. 제 1 항에 있어서, 상기 수소화된 비정질 실리콘 질화물 박막은 10-200 nm 범위의 두께를 가지고, 굴절 지수가 전체 파수 300-1600 cm-1에서 최소 흡광도로 무반사 코팅을 위해 1.85-2.2 사이로 유지되는 것인, 방법.The method of claim 1, wherein the hydrogenated amorphous silicon nitride thin film has a thickness in the range of 10-200 nm, the refractive index is maintained between 1.85-2.2 for the antireflective coating with a minimum absorbance at the total wave number 300-1600 cm-1. That's how. 제 1 항에 있어서, 상기 실리콘 기판을 어닐링시키는 단계는, 진공, 또는 N2, H2, NH3에서 상기 실리콘 기판을 어닐링시키는 단계, 또는 상기 비정질 실리콘 질화물 박막의 증착 온도 또는 더 높은 온도에서 1-120 분 동안 가스 (N2 + H2) 주변을 형성하는 단계를 더 포함하는, 방법.The method of claim 1, wherein the annealing of the silicon substrate comprises: vacuum, or annealing the silicon substrate at N 2 , H 2 , NH 3 , or at a deposition temperature or higher temperature of the amorphous silicon nitride thin film. Forming a gas (N 2 + H 2 ) periphery for -120 minutes. 실리콘 기판의 표면을 세정해서 원래의 산화물과 기타 금속 및 유기 표면 오염물질을 제거하는 단계;
상기 실리콘 기판의 표면 위에 수소화된 비정질 실리콘 박막을 100-500℃의 범위 온도에서 증착시키는 단계;
상기 수소화된 비정질 실리콘 박막 위에 수소화된 비정질 실리콘 질화물 박막을 100-500℃의 범위 온도에서 증착시키는 단계; 및
상기 실리콘 기판을 100-500℃의 범위 온도에서 어닐링시키는 단계를 포함하는,
실리콘 기판의 표면에서의 이중층 패시베이션 방법.
Cleaning the surface of the silicon substrate to remove native oxides and other metal and organic surface contaminants;
Depositing a hydrogenated amorphous silicon thin film on the surface of the silicon substrate at a temperature in the range of 100-500 ° C .;
Depositing a hydrogenated amorphous silicon nitride thin film on the hydrogenated amorphous silicon thin film at a temperature in the range of 100-500 ° C .; And
Annealing the silicon substrate at a temperature in the range of 100-500 ° C.,
Bilayer passivation method on the surface of a silicon substrate.
제 12 항에 있어서, 상기 수소화된 비정질 실리콘 박막은 비정질 실리콘 (a-Si), 산소와 탄소 중 1 이상을 함유하는 비정질 실리콘 (a-SiOC), 및 산소와 질소 중 1 이상을 함유하는 비정질 실리콘 (a-SiON)으로 이루어진 그룹으로부터 선택되는 것인, 방법.The method of claim 12, wherein the hydrogenated amorphous silicon thin film is amorphous silicon (a-Si), amorphous silicon containing at least one of oxygen and carbon (a-SiOC), and amorphous silicon containing at least one of oxygen and nitrogen and (a-SiON). 제 12 항에 있어서, 상기 이중층 패시베이션 방법은 고효율 후면-접촉/후면-접합 결정 실리콘 태양 전지의 수광면에 적용하는 것인, 방법.The method of claim 12, wherein the bilayer passivation method is applied to the light receiving surface of a high efficiency back-contact / back-junction crystalline silicon solar cell. 제 12 항에 있어서, 상기 실리콘 기판의 표면을 세정하는 단계는 NH4OH, H2O2, HCl로 이루어진 그룹으로부터 선택된 세정액을 활용하고, 희석된 HF 용액으로 원래의 산화물을 제거하는 것인, 방법.The method of claim 12, wherein the cleaning of the surface of the silicon substrate is performed using a cleaning solution selected from the group consisting of NH 4 OH, H 2 O 2 , HCl, and removing the original oxide with a diluted HF solution. Way. 제 12 항에 있어서, 상기 수소화된 비정질 실리콘 박막과 상기 수소화된 비정질 실리콘 질화물 박막을 증착시키는 단계는 하기 공정들 중 하나를 이용하는 인라인 또는 배치/클러스터 도구에서 수행되는 것인 방법: 플라즈마 강화 화학 기상 증착(PECVD), 저압 화학 기상 증착(LPCVD), 대기 화학-기상 증착(APCVD), 또는 물리적 기상 증착(PVD).The method of claim 12, wherein depositing the hydrogenated amorphous silicon thin film and the hydrogenated amorphous silicon nitride thin film is performed in an inline or batch / cluster tool using one of the following processes: plasma enhanced chemical vapor deposition. (PECVD), low pressure chemical vapor deposition (LPCVD), atmospheric chemical-vapor deposition (APCVD), or physical vapor deposition (PVD). 제 16 항에 있어서, 상기 수소화된 비정질 실리콘 질화물 박막 증착은 더 높은 굴절지수와 더 높은 상대적 질소 대비 실리콘 비율을 지닌 제 1 층, 및 더 낮은 굴절지수와 더 낮은 질소 대비 실리콘 비율을 지닌 제 2 층을 포함하는 것인 방법.17. The method of claim 16, wherein the hydrogenated amorphous silicon nitride thin film deposition comprises a first layer having a higher refractive index and a higher relative nitrogen to silicon ratio, and a second layer having a lower refractive index and a lower nitrogen to silicon ratio. How to include. 제 12 항에 있어서, 상기 수소화된 비정질 실리콘 박막을 증착시키는 단계와 상기 수소화된 비정질 실리콘 질화물 박막을 증착시키는 단계는,
상기 세정된 실리콘 기판을 플라즈마 강화 화학 기상 증착 챔버에 위치시키는 단계 및 1-10nm 범위의 두께를 갖는 수소화된 비정질 실리콘 박막을 100-500℃ 범위의 온도에서 SiH4, Si2H6, 또는 오르가노-실리콘 소스로부터 유래한 실리콘 함유 가스와, H2, N2 가스 전구체의 그룹으로부터 유래한 하나 이상의 추가 가스를 이용하여 증착시키는 단계; 및
10-200nm 범위의 두께를 갖는 수소화된 비정질 실리콘 질화물 박막을 100-500℃ 범위의 온도에서 SiH4, Si2H6, 또는 오르가노-실리콘 전구체의 그룹에서 유래한 실리콘 함유 가스와, NH3, H2, 및 N2 가스 전구체의 그룹으로부터 유래한 하나 이상의 질소 및 수소 함유 가스를 이용하여 증착시키는 단계를 더 포함하고, 상기 실리콘 질화물 증착 조건은 1.85와 2.3 사이의 굴절지수를 얻도록 조정되는 것인, 방법.
The method of claim 12, wherein depositing the hydrogenated amorphous silicon thin film and depositing the hydrogenated amorphous silicon nitride thin film include:
Placing the cleaned silicon substrate in a plasma enhanced chemical vapor deposition chamber and depositing a hydrogenated amorphous silicon thin film having a thickness in the range of 1-10 nm at a temperature in the range of 100-500 ° C., SiH 4 , Si 2 H 6 , or organo. Depositing using a silicon containing gas derived from a silicon source and one or more additional gases derived from a group of H 2 , N 2 gas precursors; And
A hydrogenated amorphous silicon nitride thin film having a thickness in the range of 10-200 nm was prepared using a silicon-containing gas derived from a group of SiH 4 , Si 2 H 6 , or organo-silicon precursors at a temperature in the range of 100-500 ° C., and NH 3 , Depositing using at least one nitrogen and hydrogen containing gas derived from a group of H 2 , and N 2 gas precursors, wherein the silicon nitride deposition conditions are adjusted to obtain a refractive index between 1.85 and 2.3. That's how.
제 18 항에 있어서, 상기 실리콘 질화물 박막은 두 가지 상이한 굴절 지수를 가진 2 이상의 실리콘 질화물 필름 더미를 포함하고, 더 높은 굴절 지수를 지닌 층이 상기 실리콘 기판에 더 가까이 위치하고, 더 낮은 굴절 지수를 지닌 층이 상기 실리콘 기판에 더 멀리 위치하는 것인, 방법.19. The silicon nitride film of claim 18, wherein the silicon nitride thin film comprises at least two silicon nitride film stacks having two different refractive indices, wherein a layer with a higher refractive index is located closer to the silicon substrate and has a lower refractive index. Wherein the layer is located further away from the silicon substrate. 제 12 항에 있어서, 상기 수소화된 비정질 실리콘 박막은 1-10 nm 범위의 두께를 가지는 것인, 방법.The method of claim 12, wherein the hydrogenated amorphous silicon thin film has a thickness in the range of 1-10 nm. 제 12 항에 있어서, 상기 수소화된 비정질 실리콘 질화물 박막은 10-200 nm 범위의 두께를 가지고, 굴절 지수가 전체 파수 300-1600 cm-1에서 최소 흡광도로 무반사 코팅을 위해 1.85-2.2 사이로 유지되는 것인, 방법.13. The hydrogenated amorphous silicon nitride thin film according to claim 12, wherein the hydrogenated amorphous silicon nitride thin film has a thickness in the range of 10-200 nm, and the refractive index is maintained between 1.85-2.2 for the antireflective coating with a minimum absorbance at a total wave number of 300-1600 cm &lt; -1 &gt; That's how. 제 12 항에 있어서, 상기 비정질 실리콘 질화물과 상기 비정질 실리콘 박막의 증착은, 상기 비정질 실리콘 질화물과 상기 비정질 실리콘의 증착 사이의 진공 중단과 주변 공기 노출을 제거하기 위해서 동일한 증착 온도에서와 동일한 챔버 또는 도구에서 증착될 수 있는 것인, 방법.The method of claim 12, wherein the deposition of the amorphous silicon nitride and the amorphous silicon thin film is performed at the same chamber or tool as at the same deposition temperature to eliminate vacuum interruption and ambient air exposure between the deposition of the amorphous silicon nitride and the amorphous silicon. Which can be deposited in. 제 12 항에 있어서, 상기 실리콘 기판을 어닐링시키는 단계는, 진공, 또는 N2, H2, NH3에서 상기 실리콘 기판을 어닐링시키는 단계, 또는 상기 비정질 실리콘 및 비정질 실리콘 질화물 박막의 증착 온도 또는 더 높은 온도에서 1-120 분 동안 가스 (N2 + H2) 주변을 형성하는 단계를 더 포함하는, 방법.The method of claim 12, wherein the annealing of the silicon substrate comprises vacuum, or annealing the silicon substrate at N 2 , H 2 , NH 3 , or a deposition temperature or higher of the amorphous silicon and amorphous silicon nitride thin film. Forming around gas (N 2 + H 2 ) for 1-120 minutes at temperature. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020127030770A 2010-04-23 2011-04-23 Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells KR101381305B1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US32750610P 2010-04-23 2010-04-23
US61/327,506 2010-04-23
PCT/US2011/033706 WO2011133965A2 (en) 2010-04-23 2011-04-23 Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020137012059A Division KR20130056364A (en) 2010-04-23 2011-04-23 Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells

Publications (2)

Publication Number Publication Date
KR20130036010A KR20130036010A (en) 2013-04-09
KR101381305B1 true KR101381305B1 (en) 2014-04-07

Family

ID=44834853

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020137012059A KR20130056364A (en) 2010-04-23 2011-04-23 Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells
KR1020127030770A KR101381305B1 (en) 2010-04-23 2011-04-23 Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020137012059A KR20130056364A (en) 2010-04-23 2011-04-23 Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells

Country Status (4)

Country Link
US (1) US20110284068A1 (en)
EP (1) EP2561558A4 (en)
KR (2) KR20130056364A (en)
WO (1) WO2011133965A2 (en)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8399331B2 (en) 2007-10-06 2013-03-19 Solexel Laser processing for high-efficiency thin crystalline silicon solar cell fabrication
US8168465B2 (en) 2008-11-13 2012-05-01 Solexel, Inc. Three-dimensional semiconductor template for making high efficiency thin-film solar cells
US8193076B2 (en) 2006-10-09 2012-06-05 Solexel, Inc. Method for releasing a thin semiconductor substrate from a reusable template
US8906218B2 (en) 2010-05-05 2014-12-09 Solexel, Inc. Apparatus and methods for uniformly forming porous semiconductor on a substrate
US9076642B2 (en) 2009-01-15 2015-07-07 Solexel, Inc. High-Throughput batch porous silicon manufacturing equipment design and processing methods
US9318644B2 (en) 2009-05-05 2016-04-19 Solexel, Inc. Ion implantation and annealing for thin film crystalline solar cells
US8241940B2 (en) 2010-02-12 2012-08-14 Solexel, Inc. Double-sided reusable template for fabrication of semiconductor substrates for photovoltaic cell and microelectronics device manufacturing
JP5440433B2 (en) * 2010-07-15 2014-03-12 信越化学工業株式会社 Solar cell manufacturing method and film forming apparatus
DE102011001946A1 (en) * 2011-04-11 2012-10-11 Q-Cells Se Method for manufacturing wafer solar cell, involves carrying out wet-chemical oxidation of front and back side surfaces of substrate and deposition of passivation layer consisting of metal oxide or nitride compound on substrate surfaces
EP2710639A4 (en) 2011-05-20 2015-11-25 Solexel Inc Self-activated front surface bias for a solar cell
FR2985608B1 (en) * 2012-01-05 2016-11-18 Commissariat Energie Atomique PHOTOVOLTAIC CELL AND METHOD OF MAKING SAME
DE102012101456A1 (en) 2012-02-23 2013-08-29 Schott Solar Ag Process for producing a solar cell
WO2013162720A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Contact and interconnect metallization for solar cells
JP5884911B2 (en) * 2012-08-09 2016-03-15 信越化学工業株式会社 Manufacturing method of solar cell
CN103633185A (en) * 2012-08-29 2014-03-12 浙江昱辉阳光能源江苏有限公司 Preparation method of crystalline silicon solar cell passive film
FI20125989A (en) * 2012-09-24 2014-03-25 Optitune Oy A method for manufacturing a photoelectric device
CN103094366A (en) * 2013-01-25 2013-05-08 中山大学 Solar cell passivation antireflection film and preparation technology and method thereof
CN103117310A (en) * 2013-02-27 2013-05-22 上海艾力克新能源有限公司 Double-layer silicon nitride antireflection film and manufacture method thereof
KR20140135881A (en) * 2013-05-16 2014-11-27 엘지전자 주식회사 Solar cell and method for manufacturing the same
US20150083212A1 (en) 2013-09-23 2015-03-26 Markus Eberhard Beck Thin-film photovoltaic devices with discontinuous passivation layers
CN103590014B (en) * 2013-10-12 2016-04-06 南昌大学 The method of oxygen-doped hydrogenation non crystal silicon film efficient passivation silicon/crystalline silicon heterojunction solar battery silicon chip
US9978902B2 (en) 2013-11-19 2018-05-22 Institutt For Energiteknikk Passivation stack on a crystalline silicon solar cell
NO341687B1 (en) 2013-11-19 2017-12-18 Inst Energiteknik Passivation saber on a crystalline silicon solar cell
KR101614190B1 (en) 2013-12-24 2016-04-20 엘지전자 주식회사 Solar cell and manufacturing method thereof
US9593414B2 (en) * 2013-12-31 2017-03-14 Intermolecular, Inc. Hydrogenated amorphous silicon dielectric for superconducting devices
US9972740B2 (en) 2015-06-07 2018-05-15 Tesla, Inc. Chemical vapor deposition tool and process for fabrication of photovoltaic structures
RU2614080C1 (en) * 2015-12-16 2017-03-22 Общество с ограниченной ответственностью "НТЦ тонкопленочных технологий в энергетике при ФТИ им. А.Ф. Иоффе", ООО "НТЦ ТПТ" Silicon wafer surface passivation by magnetron sputtering
US9748434B1 (en) 2016-05-24 2017-08-29 Tesla, Inc. Systems, method and apparatus for curing conductive paste
KR102072884B1 (en) 2016-07-22 2020-02-03 주식회사 엘지화학 Method of manufacturing laminate for organic-inorganic complex solar cell, and method for manufacturing organic-inorganic complex solar cell
US9954136B2 (en) 2016-08-03 2018-04-24 Tesla, Inc. Cassette optimized for an inline annealing system
US10115856B2 (en) 2016-10-31 2018-10-30 Tesla, Inc. System and method for curing conductive paste using induction heating
CN109964303B (en) * 2016-11-18 2023-08-29 应用材料公司 Method for depositing amorphous silicon layer or silicon oxycarbide layer by physical vapor deposition
CN110596917B (en) * 2019-09-18 2023-04-07 深圳先进技术研究院 Terahertz wave light-operated modulator and preparation method thereof
CN110854243B (en) * 2019-12-31 2024-03-22 太仓市哲泰天产品设计有限公司 Passivation method and passivation furnace for PERC back of silicon oxynitride
CN112038422B (en) * 2020-08-31 2022-05-27 常州时创能源股份有限公司 Laminated film for color solar cell, preparation method of laminated film and color solar cell
US20220246747A1 (en) * 2021-02-04 2022-08-04 Tokyo Electron Limited Contact Etch Stop Layer with Improved Etch Stop Capability
CN113345815B (en) * 2021-06-01 2023-06-23 通威太阳能(金堂)有限公司 Passivation layer measuring method and solar cell manufacturing method
CN113937185A (en) * 2021-09-26 2022-01-14 福建新峰二维材料科技有限公司 Method for manufacturing heterojunction solar cell adopting hydrogen passivation
CN114351111B (en) * 2021-12-23 2023-10-31 清华大学 Coating for solar photovoltaic panel and solar photovoltaic panel
CN117153950A (en) * 2023-10-19 2023-12-01 无锡松煜科技有限公司 Low-temperature boron activation method
CN118039500B (en) * 2024-04-10 2024-06-18 江苏晟驰微电子有限公司 Passivation technology for reducing TVS leakage current

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090260685A1 (en) 2008-04-17 2009-10-22 Daeyong Lee Solar cell and method of manufacturing the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3091555A (en) * 1960-09-08 1963-05-28 Texas Instruments Inc Method for forming low reflectance coatings of critical thickness on silicon solar energy converters
JPS61278133A (en) * 1985-06-03 1986-12-09 Toyobo Co Ltd Amorphous silicon film
US5918147A (en) * 1995-03-29 1999-06-29 Motorola, Inc. Process for forming a semiconductor device with an antireflective layer
JP4001662B2 (en) * 1997-06-27 2007-10-31 株式会社半導体エネルギー研究所 Method for cleaning silicon and method for producing polycrystalline silicon
KR100334518B1 (en) * 2000-05-25 2002-04-26 박순 A method for controlling the admission of a call in CDMA network
US8916768B2 (en) * 2005-04-14 2014-12-23 Rec Solar Pte. Ltd. Surface passivation of silicon based wafers
DE102006042617B4 (en) * 2006-09-05 2010-04-08 Q-Cells Se Method for generating local contacts
NL2000248C2 (en) * 2006-09-25 2008-03-26 Ecn Energieonderzoek Ct Nederl Process for the production of crystalline silicon solar cells with improved surface passivation.
US8198528B2 (en) * 2007-12-14 2012-06-12 Sunpower Corporation Anti-reflective coating with high optical absorption layer for backside contact solar cells
US20090199901A1 (en) * 2008-02-08 2009-08-13 Applied Materials, Inc. Photovoltaic device comprising a sputter deposited passivation layer as well as a method and apparatus for producing such a device
US20100203242A1 (en) * 2009-02-06 2010-08-12 Applied Materials, Inc. self-cleaning susceptor for solar cell processing

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090260685A1 (en) 2008-04-17 2009-10-22 Daeyong Lee Solar cell and method of manufacturing the same

Also Published As

Publication number Publication date
WO2011133965A3 (en) 2012-02-02
EP2561558A2 (en) 2013-02-27
EP2561558A4 (en) 2014-04-16
WO2011133965A2 (en) 2011-10-27
KR20130056364A (en) 2013-05-29
KR20130036010A (en) 2013-04-09
US20110284068A1 (en) 2011-11-24

Similar Documents

Publication Publication Date Title
KR101381305B1 (en) Passivation methods and apparatus for achieving ultra-low surface recombination velocities for high-efficiency solar cells
CN109216473B (en) A kind of the surface and interface passivation layer and its passivating method of crystal silicon solar battery
US20170222067A1 (en) Surface passivation of high-efficiency crystalline silicon solar cells
CN101952971B (en) Method for manufacturing a solar cell with a surface-passivating dielectric double layer, and corresponding solar cell
US20110272008A1 (en) Oxide nitride stack for backside reflector of solar cell
CN105745768B (en) Passivation stack part on crystal silicon solar energy battery
CN104025304A (en) Buffer layer for improving the performance and stability of surface passivation of si solar cells
TW201143125A (en) Method of forming a negatively charged passivation layer over a diffused p-type region
CN111192935B (en) Back passivation structure of tubular PERC solar cell and preparation method thereof
CN102640301A (en) Method of cleaning and forming a negatively charged passivation layer over a doped region
EP2656401B1 (en) Method for heterojunction interface passivation
CN109004038B (en) Solar cell, preparation method thereof and photovoltaic module
CN105355723B (en) Preparation method of silicon dioxide passivation film of crystalline silicon solar cell
CN112071951B (en) Preparation method of solar cell and solar cell
CN112864279A (en) Double-sided battery with anti-PID effect and preparation method thereof
US20120325284A1 (en) Thin-film silicon tandem solar cell and method for manufacturing the same
CN112267105A (en) Single-cavity PECVD deposition process for producing silicon heterojunction solar cell
CN103080371A (en) Method of coating a substrate for manufacturing a solar cell
CN113013267A (en) Solar cell, manufacturing method of cell passivation layer and solar module
CN117457777A (en) Solar cell and preparation method and application thereof
WO2015006247A1 (en) Surface passivation of high-efficiency crystalline silicon solar cells
CN116613244A (en) Solar cell passivation layer preparation method and solar cell
CN114583016A (en) TOPCon battery and preparation method thereof
CN111628044A (en) Surface passivation treatment method and system for silicon solar cell
CN113930748A (en) Preparation method of solar cell, solar cell and photovoltaic module

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
A107 Divisional application of patent
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170317

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee