KR101348280B1 - Method of forming fine pitch hardmask and method of fine patterns of semiconductor device - Google Patents

Method of forming fine pitch hardmask and method of fine patterns of semiconductor device Download PDF

Info

Publication number
KR101348280B1
KR101348280B1 KR1020070068170A KR20070068170A KR101348280B1 KR 101348280 B1 KR101348280 B1 KR 101348280B1 KR 1020070068170 A KR1020070068170 A KR 1020070068170A KR 20070068170 A KR20070068170 A KR 20070068170A KR 101348280 B1 KR101348280 B1 KR 101348280B1
Authority
KR
South Korea
Prior art keywords
hard mask
layer
pattern
mask layer
forming
Prior art date
Application number
KR1020070068170A
Other languages
Korean (ko)
Other versions
KR20090004172A (en
Inventor
이학선
김명철
전경엽
고차원
이지영
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020070068170A priority Critical patent/KR101348280B1/en
Priority to US11/978,719 priority patent/US7998874B2/en
Publication of KR20090004172A publication Critical patent/KR20090004172A/en
Application granted granted Critical
Publication of KR101348280B1 publication Critical patent/KR101348280B1/en

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/70466Multiple exposures, e.g. combination of fine and coarse exposures, double patterning or multiple exposures for printing a single feature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Abstract

미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한 반도체 소자의 미세 패턴 형성 방법에 관하여 개시한다. 하드마스크 패턴을 형성하기 위하여 기판상에 식각 특성이 서로 다른 제1 하드마스크층, 제2 하드마스크층, 및 제3 하드마스크층을 차례로 형성한다. 제3 하드마스크층 위에 제1 피치로 반복 형성되는 복수의 제1 희생 패턴을 형성하고, 제1 희생 패턴의 양 측벽에 제1 피치의 1/2인 제2 피치로 반복 형성되는 복수의 제4 하드마스크 패턴을 형성한다. 상기 제4 하드마스크 패턴을 식각 마스크로 하여 제3 하드마스크층, 제2 하드마스크층, 및 제1 하드마스크층을 차례로 식각하여 원하는 높이를 확보하는 제1 하드마스크 패턴을 형성한다. 제1 하드마스크 패턴을 식각마스크로 이용하여 기판을 식각하여 원하는 깊이의 트렌치를 형성한다. Disclosed are a method of forming a fine mask hard mask pattern and a method of forming a fine pattern of a semiconductor device using the same. In order to form a hard mask pattern, a first hard mask layer, a second hard mask layer, and a third hard mask layer having different etching characteristics are sequentially formed on the substrate. A plurality of first sacrificial patterns repeatedly formed at a first pitch on the third hard mask layer, and a plurality of fourths repeatedly formed at a second pitch that is 1/2 of the first pitch on both sidewalls of the first sacrificial pattern; A hard mask pattern is formed. The third hard mask layer, the second hard mask layer, and the first hard mask layer are sequentially etched using the fourth hard mask pattern as an etch mask to form a first hard mask pattern to secure a desired height. The substrate is etched using the first hard mask pattern as an etching mask to form a trench having a desired depth.

하드마스크, 미세 피치, 더블 패터닝, 트렌치, 소자분리 Hard Mask, Fine Pitch, Double Patterning, Trench, Isolation

Description

미세 피치의 하드마스크 패턴 형성 방법 및 이를 이용한 반도체 소자의 미세 패턴 형성 방법{Method of forming fine pitch hardmask and method of fine patterns of semiconductor device} Method of forming a fine mask hard mask pattern and a method of forming a fine pattern of a semiconductor device using the same {Method of forming fine pitch hardmask and method of fine patterns of semiconductor device}

본 발명은 하드마스크 패턴 형성 방법 및 반도체 소자의 미세 패턴 형성 방법에 관한 것으로, 특히 더블 패터닝 (double patterning) 공정을 이용하여 기존의 노광 설비의 해상 한계를 초월하는 미세 피치의 하드마스크 패턴을 형성하는 방법 및 이를 이용한 반도체 소자의 미세 패턴 형성 방법에 관한 것이다. BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for forming a hard mask pattern and a method for forming a fine pattern of a semiconductor device. It relates to a method and a method for forming a fine pattern of a semiconductor device using the same.

고집적화된 반도체 소자를 제조하는 데 있어서 패턴 미세화가 필수적이다. 좁은 면적에 많은 소자를 집적시키기 위하여는 개별 소자의 크기를 가능한 한 작게 형성하여야 하며, 이를 위하여는 형성하고자 하는 패턴들 각각의 폭과 상기 패턴들 사이의 간격과의 합인 피치(pitch)를 작게 하여야 한다. 최근, 반도체 소자의 디자인 룰 (design rule)이 급격하게 감소됨에 따라 반도체 소자 구현에 필요한 패턴을 형성하기 위한 포토리소그래피 공정에 있어서 해상 한계로 인하여 미세 피치를 가지는 패턴을 형성하는 데 한계가 있다. 특히, 고도로 스케일링(scaling)된 반도체 소자의 메모리 셀 어레이 영역에서 소자 분리 영역 형성을 위한 트렌치를 기판에 형성하기 위하여 기판상에 미세피치로 반복 형성되는 복수의 실리콘 질화막 패턴을 형성한 후 이를 식각 마스크로 이용하여 기판을 식각하여야 한다. 이 때, 식각 마스크로 사용될 실리콘 질화막 패턴을 형성하기 위하여 포토리소그래피 공정을 이용하는 경우에는 해상 한계로 인하여 미세 피치를 가지는 원하는 마스크 패턴을 형성하는 데 한계가 있다. Pattern refinement is essential in manufacturing highly integrated semiconductor devices. In order to integrate a large number of devices in a small area, the size of the individual devices should be made as small as possible. For this purpose, the pitch, which is the sum of the widths of the patterns to be formed and the spacing between the patterns, should be made small. do. Recently, as the design rule of a semiconductor device is drastically reduced, there is a limit in forming a pattern having a fine pitch due to a resolution limitation in a photolithography process for forming a pattern required for semiconductor device implementation. In particular, in order to form a trench for forming a device isolation region in a memory cell array region of a highly scaled semiconductor device, a plurality of silicon nitride film patterns are formed on the substrate and then etch masks. The substrate should be etched by using. In this case, when a photolithography process is used to form a silicon nitride film pattern to be used as an etching mask, there is a limit in forming a desired mask pattern having a fine pitch due to a resolution limitation.

본 발명의 목적은 상기한 종래 기술에서의 문제점을 해결하고자 하는 것으로, 포토리소그래피 공정에서의 해상 한계를 초월하는 미세 피치의 패턴을 구현하는 데 있어서 식각 마스크로 사용될 수 있는 미세 피치의 하드마스크 패턴 형성 방법을 제공하는 것이다. An object of the present invention is to solve the above problems in the prior art, and to form a fine pitch hard mask pattern that can be used as an etching mask to implement a fine pitch pattern that exceeds the resolution limit in a photolithography process. To provide a way.

본 발명의 다른 목적은 포토리소그래피 공정에서의 해상 한계를 초월하는 미세 피치의 패턴을 형성하는 데 있어서 다양한 패턴을 우수한 CD 균일도로 형성할 수 있는 반도체 소자의 미세 패턴 형성 방법을 제공하는 것이다. It is another object of the present invention to provide a method of forming a fine pattern of a semiconductor device capable of forming various patterns with excellent CD uniformity in forming a pattern of fine pitch that exceeds a resolution limit in a photolithography process.

상기 목적을 달성하기 위하여, 본 발명에 따른 하드마스크 패턴 형성 방법에서는 기판상에 식각 특성이 서로 다른 물질로 이루어지는 제1 하드마스크층, 제2 하드마스크층, 및 제3 하드마스크층을 차례로 형성한다. 상기 제3 하드마스크층 위에 제1 피치(pitch)로 반복 형성되는 복수의 제1 희생 패턴을 형성한다. 상기 제1 희생 패턴의 양 측벽을 균일한 두께로 덮는 제4 하드마스크층을 형성한다. 상기 제4 하드마스크층의 일부를 제거하여 상기 제1 피치의 1/2인 제2 피치로 반복 형성되는 복수의 제4 하드마스크 패턴을 형성한다. 상기 제4 하드마스크 패턴을 식각 마스크로 하여 상기 제3 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제3 하드마스크 패턴을 형성한다. 상기 제3 하드마스크 패턴을 식각 마스크로 하여 상기 제2 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제 2 하드마스크 패턴을 형성한다. 상기 제2 하드마스크 패턴을 식각 마스크로 하여 상기 제1 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제1 하드마스크 패턴을 형성한다. In order to achieve the above object, the method for forming a hard mask pattern according to the present invention sequentially forms a first hard mask layer, a second hard mask layer, and a third hard mask layer made of a material having different etching characteristics on a substrate. . A plurality of first sacrificial patterns are formed on the third hard mask layer repeatedly formed at a first pitch. A fourth hard mask layer covering both sidewalls of the first sacrificial pattern with a uniform thickness is formed. A portion of the fourth hard mask layer is removed to form a plurality of fourth hard mask patterns repeatedly formed at a second pitch that is 1/2 of the first pitch. The third hard mask layer is etched using the fourth hard mask pattern as an etch mask to form a plurality of third hard mask patterns repeatedly formed at the second pitch. The second hard mask layer is etched using the third hard mask pattern as an etch mask to form a plurality of second hard mask patterns repeatedly formed at the second pitch. The first hard mask layer is etched using the second hard mask pattern as an etch mask to form a plurality of first hard mask patterns repeatedly formed at the second pitch.

본 발명에 따른 하드마스크 패턴 형성 방법에서, 상기 복수의 제1 희생 패턴을 형성하기 전에 상기 제3 하드마스크층 위에 식각저지층을 형성하는 단계를 더 포함할 수 있다. In the method for forming a hard mask pattern according to the present invention, the method may further include forming an etch stop layer on the third hard mask layer before forming the plurality of first sacrificial patterns.

본 발명에 따른 하드마스크 패턴 형성 방법에서, 상기 제1 희생 패턴을 형성하는 단계는 상기 제3 하드마스크층 위에 식각저지층을 형성하는 단계와, 상기 식각저지층 위에 제1 희생막을 형성하는 단계와, 포토리소그래피 공정에 의해 상기 제1 희생막을 패터닝하여 상기 식각저지층 위에 제1 희생 패턴을 형성하는 단계를 포함할 수 있다. 이 때, 상기 제4 하드마스크층은 상기 제1 희생 패턴의 상면 및 측벽과, 상기 복수의 제1 희생 패턴 사이에서 노출되는 식각저지층의 상면을 각각 균일한 두께로 덮도록 형성될 수 있다. In the method for forming a hard mask pattern according to the present invention, the forming of the first sacrificial pattern may include forming an etch stop layer on the third hard mask layer, and forming a first sacrificial layer on the etch stop layer. And patterning the first sacrificial layer by a photolithography process to form a first sacrificial pattern on the etch stop layer. In this case, the fourth hard mask layer may be formed to cover a top surface and a sidewall of the first sacrificial pattern and a top surface of the etch stop layer exposed between the plurality of first sacrificial patterns with a uniform thickness.

상기 복수의 제4 하드마스크 패턴을 형성하는 단계는 상기 제4 하드마스크층중 일부를 제거하여 상기 제1 희생 패턴의 상면과 상기 식각저지층의 상면을 노출시키는 동시에 상기 제4 하드마스크층중 상기 제1 희생 패턴의 측벽을 덮는 부분으로 이루어지는 복수의 상기 제4 하드마스크 패턴을 형성하는 단계를 포함할 수 있다. 또한, 본 발명에 따른 하드마스크 패턴 형성 방법에서, 상기 제4 하드마스크 패턴이 형성된 후, 상기 제4 하드마스크 패턴 및 상기 식각저지층의 노출된 부분을 식각 마스크로 하여 상기 제1 희생 패턴을 제거하는 단계와, 상기 제1 희생 패턴이 제거된 후, 상기 복수의 제1 부분 사이로 노출되는 상기 식각저지층을 제거하여 상기 제3 하드마스크층을 노출시키는 단계를 더 포함할 수 있다. The forming of the plurality of fourth hard mask patterns may include removing a portion of the fourth hard mask layer to expose a top surface of the first sacrificial pattern and a top surface of the etch stop layer, and simultaneously The method may include forming a plurality of fourth hard mask patterns including portions covering sidewalls of the first sacrificial pattern. In the method for forming a hard mask pattern according to the present invention, after the fourth hard mask pattern is formed, the first sacrificial pattern is removed using an exposed portion of the fourth hard mask pattern and the etch stop layer as an etch mask. And removing the etch stop layer exposed between the plurality of first portions after the first sacrificial pattern is removed, thereby exposing the third hard mask layer.

또는, 본 발명에 따른 하드마스크 패턴 형성 방법에서, 상기 제1 희생 패턴을 형성하는 단계는 상기 제3 하드마스크층 위에 식각저지층을 형성하는 단계와, 상기 식각저지층 위에 제1 희생막을 형성하는 단계와, 상기 제1 희생막 및 상기 식각저지층을 각각 패터닝하여 상기 제3 하드마스크층을 노출시키는 복수의 제1 희생 패턴 및 복수의 식각저지층 패턴을 형성하는 단계를 포함할 수 있다. 이 때, 상기 제4 하드마스크층은 상기 제1 희생 패턴의 상면 및 측벽과, 상기 복수의 제1 희생 패턴 사이에서 노출되는 상기 제3 하드마스크층의 상면을 각각 균일한 두께로 덮도록 형성되고, 상기 제4 하드마스크층을 형성하는 단계에서는 상기 복수의 제1 희생 패턴중 상호 인접한 2 개의 제1 희생 패턴 사이에서 상기 제4 하드마스크층의 상면에 소정 폭을 가지는 리세스(recess)가 형성되도록 상기 제4 하드마스크층의 두께를 조절할 수 있다. Alternatively, in the method for forming a hard mask pattern according to the present invention, the forming of the first sacrificial pattern may include forming an etch stop layer on the third hard mask layer, and forming a first sacrificial layer on the etch stop layer. And patterning the first sacrificial layer and the etch stop layer, respectively, to form a plurality of first sacrificial patterns and a plurality of etch stop layer patterns exposing the third hard mask layer. In this case, the fourth hard mask layer may be formed to cover the top and sidewalls of the first sacrificial pattern and the top surfaces of the third hard mask layer exposed between the plurality of first sacrificial patterns, respectively, with a uniform thickness. In the forming of the fourth hard mask layer, a recess having a predetermined width is formed on an upper surface of the fourth hard mask layer between two adjacent first sacrificial patterns among the plurality of first sacrificial patterns. The thickness of the fourth hard mask layer may be adjusted so as to be effective.

상기 복수의 제4 하드마스크 패턴을 형성하는 단계는 상기 제4 하드마스크층의 상면에 형성된 상기 리세스 내부를 완전히 채우도록 상기 제4 하드마스크층 위에 제2 희생막을 형성하는 단계와, 상기 제1 희생 패턴 위에서 제4 하드마스크층이 노출될 때 까지 상기 제2 희생막의 일부를 제거하여 복수의 제2 희생 패턴을 형성하는 단계와, 상기 제4 하드마스크층의 일부를 제거하여 상기 제1 희생 패턴의 상면을 노출시키는 단계와, 상기 제1 희생 패턴 및 제2 희생 패턴을 제거하여 상기 제4 하드마스크층중 상기 제2 희생 패턴과 상기 제3 하드마스크층과의 사이에 있던 저면부를 노출시키는 동시에 상기 식각저지층 패턴을 노출시키는 단계와, 상기 제4 하드마스크층을 에치백하면서 상기 제4 하드마스크층의 저면부와 상기 식각저지층 패턴을 제거하여 상기 제3 하드마스크층의 상면을 노출시키는 상기 복수의 제4 하드마스크 패턴을 형성하는 단계를 포함할 수 있다. The forming of the plurality of fourth hard mask patterns may include forming a second sacrificial layer on the fourth hard mask layer so as to completely fill the recesses formed on the top surface of the fourth hard mask layer. Removing a portion of the second sacrificial layer to form a plurality of second sacrificial patterns until the fourth hard mask layer is exposed on the sacrificial pattern, and removing a portion of the fourth hard mask layer to remove the first sacrificial pattern. Exposing a top surface of the substrate; and removing the first sacrificial pattern and the second sacrificial pattern to expose a bottom portion of the fourth hard mask layer between the second sacrificial pattern and the third hard mask layer. Exposing the etch stop layer pattern, and removing the bottom portion of the fourth hard mask layer and the etch stop layer pattern while etching back the fourth hard mask layer. The method may include forming the plurality of fourth hard mask patterns exposing the top surface of the third hard mask layer.

상기 다른 목적을 달성하기 위하여, 본 발명에 따른 반도체 소자의 미세 패턴 형성 방법에서는 반도체 기판상에 식각 특성이 서로 다른 물질로 이루어지는 제1 하드마스크층, 제2 하드마스크층, 및 제3 하드마스크층을 차례로 형성한다. 상기 제3 하드마스크층 위에 제1 피치(pitch)로 반복 형성되는 복수의 제1 희생 패턴을 형성한다. 상기 제1 희생 패턴의 양 측벽을 균일한 두께로 덮는 제4 하드마스크층을 형성한다. 상기 제4 하드마스크층의 일부를 제거하여 상기 제1 피치의 1/2인 제2 피치로 반복 형성되는 복수의 제4 하드마스크 패턴을 형성한다. 상기 제4 하드마스크 패턴을 식각 마스크로 하여 상기 제3 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제3 하드마스크 패턴을 형성한다. 상기 제3 하드마스크 패턴을 식각 마스크로 하여 상기 제2 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제2 하드마스크 패턴을 형성한다. 상기 제2 하드마스크 패턴을 식각 마스크로 하여 상기 제1 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제1 하드마스크 패턴을 형성한다. 상기 복수의 제1 하드마스크 패턴을 식각 마스크로 이용하여 상기 반도체 기판을 식각하여 상기 반도체 기판에 상기 제2 피치로 반복 형성되는 복수의 트렌치를 형성한다. 상기 트렌치 내부를 절연막으로 채워 소자분리 영역을 형성한다. In order to achieve the above object, in the method of forming a fine pattern of a semiconductor device according to the present invention, a first hard mask layer, a second hard mask layer, and a third hard mask layer made of materials having different etching characteristics on a semiconductor substrate. Form in turn. A plurality of first sacrificial patterns are formed on the third hard mask layer repeatedly formed at a first pitch. A fourth hard mask layer covering both sidewalls of the first sacrificial pattern with a uniform thickness is formed. A portion of the fourth hard mask layer is removed to form a plurality of fourth hard mask patterns repeatedly formed at a second pitch that is 1/2 of the first pitch. The third hard mask layer is etched using the fourth hard mask pattern as an etch mask to form a plurality of third hard mask patterns repeatedly formed at the second pitch. The second hard mask layer is etched using the third hard mask pattern as an etch mask to form a plurality of second hard mask patterns repeatedly formed at the second pitch. The first hard mask layer is etched using the second hard mask pattern as an etch mask to form a plurality of first hard mask patterns repeatedly formed at the second pitch. The semiconductor substrate is etched using the plurality of first hard mask patterns as an etching mask to form a plurality of trenches repeatedly formed at the second pitch in the semiconductor substrate. An isolation region is formed by filling the trench with an insulating layer.

본 발명에 따른 하드마스크 패턴 형성 방법에서는 더블 패터닝 공정을 이용하여 포토리소그래피 공정에서의 해상 한계를 초월하는 미세 피치로 반복 형성되는 미세 패턴을 우수한 CD 균일도로 형성할 수 있다. 특히, 미세 피치로 반복 형성되는 복수의 미세 패턴을 형성하는 데 있어서 식각 마스크로 이용될 제1 하드마스크 패턴을 형성하는 데 있어서 상기 제1 하드마스크 패턴 형성을 위한 식각 마스크로 사용될 제2 하드마스크층을 형성한 후 더블패터닝 공정에 의해 형성되는 제4 하드마스크 패턴을 형성하기 전에 상기 제2 하드마스크층 위에 이들과 다른 식각 특성을 가지는 제3 하드마스크층을 더 형성한다. 따라서, 상기 제4 하드마스크 패턴을 이용하여 제3 하드마스크층을 식각하여 제3 하드마스크 패턴을 형성한 후 이를 이용하여 제2 하드마스크 패턴 및 제1 하드마스크 패턴을 차례로 형성하므로, 상기 제1 하드마스크 패턴에서 원하는 높이를 확보할 수 있다. 따라서, 상기 제1 하드마스크 패턴을 이용하여 하부의 막을 식각할 때 원하는 깊이까지 충분히 식각할 수 있다. In the method for forming a hard mask pattern according to the present invention, a fine pattern repeatedly formed at a fine pitch exceeding a resolution limit in a photolithography process may be formed using a double patterning process with excellent CD uniformity. In particular, a second hard mask layer to be used as an etch mask for forming the first hard mask pattern to form a first hard mask pattern to be used as an etch mask in forming a plurality of fine patterns repeatedly formed at a fine pitch. After forming the first hard mask layer formed by the double patterning process before forming a third hard mask layer having an etching characteristic different from these on the second hard mask layer. Therefore, the third hard mask layer is etched using the fourth hard mask pattern to form a third hard mask pattern, and then the second hard mask pattern and the first hard mask pattern are sequentially formed using the first hard mask pattern, thereby forming the first hard mask pattern. The desired height can be obtained from the hard mask pattern. Therefore, when the lower layer is etched using the first hard mask pattern, it may be sufficiently etched to a desired depth.

다음에, 본 발명의 바람직한 실시예들에 대하여 첨부 도면을 참조하여 상세히 설명한다. Next, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 1a 내지 도 1i는 본 발명의 제1 실시예에 따라 미세 피치의 하드마스크 패턴을 형성하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 1A to 1I are cross-sectional views illustrating a method of forming a fine pitch hard mask pattern in accordance with a first embodiment of the present invention.

도 1a를 참조하면, 기판(10)상에 서로 다른 식각 특성을 가지는 제1 하드마 스크층(20), 제2 하드마스크층(30), 및 제3 하드마스크층(40)을 차례로 적층하여 형성한다. Referring to FIG. 1A, a first hard mask layer 20, a second hard mask layer 30, and a third hard mask layer 40 having different etching characteristics may be sequentially stacked on the substrate 10. Form.

상기 기판(10)은 예를 들면 실리콘 기판과 같은 통상의 반도체 기판으로 이루어질 수 있다. 상기 기판(10)에는 예를 들면 트랜지스터와 같은 반도체 소자 형성에 필요한 단위 소자들(도시 생략)이 형성되어 있을 수 있으며, 상기 단위 소자들을 덮고 있는 층간절연막(도시 생략)이 상기 기판(10)의 상면에 형성되어 있을 수 있다. 또한, 상기 기판(10)의 상면에는 상기 층간절연막을 통해 상기 단위 소자들에 전기적으로 연결 가능한 도전 영역들(도시 생략)이 노출되어 있을 수 있다. The substrate 10 may be made of a conventional semiconductor substrate such as, for example, a silicon substrate. Unit elements (not shown) necessary for forming semiconductor elements such as transistors may be formed on the substrate 10, and an interlayer insulating film (not shown) covering the unit elements may be formed on the substrate 10. It may be formed on the upper surface. In addition, conductive regions (not shown) that may be electrically connected to the unit devices through the interlayer insulating layer may be exposed on the upper surface of the substrate 10.

상기 제1 하드마스크층(20), 제2 하드마스크층(30), 및 제3 하드마스크층(40)은 각각 서로 다른 식각 특성을 나타내도록 하기 위하여 서로 다른 물질로 이루어진다. 예를 들면, 상기 제1 하드마스크층(20), 제2 하드마스크층(30), 및 제3 하드마스크층(40)은 각각 산화막, 질화막, 및 폴리실리콘막으로 이루어지는 군에서 선택되는 어느 하나의 막으로 이루어질 수 있다. The first hard mask layer 20, the second hard mask layer 30, and the third hard mask layer 40 are each made of different materials so as to exhibit different etching characteristics. For example, the first hard mask layer 20, the second hard mask layer 30, and the third hard mask layer 40 are each selected from the group consisting of an oxide film, a nitride film, and a polysilicon film. It can be made of a film.

예를 들면, 상기 제1 하드마스크층(20)은 상기 기판(10)에 포함된 피식각막의 재료 및 형성하고자 하는 패턴의 용도에 따라 다양한 물질로 이루어질 수 있다. 예를 들면, 상기 기판(10)에 활성 영역을 정의하기 위한 트렌치를 형성하고자 하는 경우에는 상기 하드마스크층(20)은 산화막, 질화막, 또는 이들의 조합으로 이루어질 수 있다. 또는, 상기 기판(10)상의 피식각막(도시 생략)이 절연막 또는 도전막인 경우, 상기 피식각막 재료에 따라 식각 선택비를 제공할 수 있는 물질로 이루어질 수 있다. For example, the first hard mask layer 20 may be formed of various materials depending on the material of the etching target film included in the substrate 10 and the pattern to be formed. For example, when a trench for defining an active region is formed in the substrate 10, the hard mask layer 20 may be formed of an oxide film, a nitride film, or a combination thereof. Alternatively, when the etched film (not shown) on the substrate 10 is an insulating film or a conductive film, it may be made of a material capable of providing an etching selectivity according to the etched film material.

상기 제2 하드마스크층(30)은 상기 제1 하드마스크층(20)과는 식각 특성이 서로 다른 물질, 즉 소정의 식각 조건에 대하여 서로 다른 식각 선택비를 가지는 물질로 이루어진다. 예를 들면, 상기 제1 하드마스크층(20)이 질화막으로 이루어진 경우, 상기 제2 하드마스크층(30)은 MTO막 (medium temperature oxide film), 열산화막, CVD (chemical vapor deposition) 산화막, USG막 (undoped silicate glass film) 및 HDP 산화막 (high density plasma oxide film)으로 이루어지는 군에서 선택되는 적어도 하나의 산화막으로 이루어질 수 있다. 또는, 상기 제1 하드마스크층(20)이 산화막으로 이루어진 경우, 상기 제1 하드마스크층(20)은 질화막, 예를 들면 SiON, Si3N4, SiBN 및 BN으로 이루어지는 군에서 선택되는 적어도 하나의 막으로 이루어질 수 있다. The second hard mask layer 30 is made of a material having different etching characteristics from the first hard mask layer 20, that is, a material having different etching selectivity for a predetermined etching condition. For example, when the first hard mask layer 20 is formed of a nitride film, the second hard mask layer 30 may include a medium temperature oxide film (MTO) film, a thermal oxide film, a chemical vapor deposition (CVD) oxide film, and a USG. It may be made of at least one oxide film selected from the group consisting of a film (undoped silicate glass film) and HDP oxide (high density plasma oxide film). Alternatively, when the first hard mask layer 20 is made of an oxide film, the first hard mask layer 20 is at least one selected from the group consisting of nitride films, for example, SiON, Si 3 N 4 , SiBN, and BN. It can be made of a film.

상기 제3 하드마스크층(40)은 상기 제2 하드마스크층(30)과는 식각 특성이 서로 다른 물질로 이루어진다. 예를 들면, 상기 제2 하드마스크층(30)이 산화막 또는 질화막으로 이루어진 경우, 상기 제3 하드마스크층(40)은 폴리실리콘막으로 이루어질 수 있다. The third hard mask layer 40 is formed of a material having different etching characteristics from the second hard mask layer 30. For example, when the second hard mask layer 30 is formed of an oxide film or a nitride film, the third hard mask layer 40 may be formed of a polysilicon film.

도 1b를 참조하면, 상기 제3 하드마스크층(50) 위에 식각저지층(50)을 형성한 후, 상기 식각저지층(50) 위에 제1 희생막(60)을 형성한다. Referring to FIG. 1B, an etch stop layer 50 is formed on the third hard mask layer 50, and then a first sacrificial layer 60 is formed on the etch stop layer 50.

상기 식각저지층(50)은 산화막 또는 질화막으로 이루어질 수 있다. 예를 들면, 상기 제1 하드마스크층(20)이 질화막으로 이루어지고, 상기 제2 하드마스크층(30)이 산화막으로 이루어지고, 상기 제3 하드마스크층(40)이 폴리실리콘막으로 이루어진 경우, 상기 식각저지층(50)은 산화막으로 이루어질 수 있다. 상기 식각저지층(50)은 예를 들면 약 50 ∼ 500 Å의 두께로 형성될 수 있다. 경우에 따라, 상기 식각저지층(50)은 생략될 수 있다. The etch stop layer 50 may be formed of an oxide film or a nitride film. For example, when the first hard mask layer 20 is made of a nitride film, the second hard mask layer 30 is made of an oxide film, and the third hard mask layer 40 is made of a polysilicon film. The etch stop layer 50 may be formed of an oxide film. The etch stop layer 50 may be formed to have a thickness of, for example, about 50 to about 500 mm 3. In some cases, the etch stop layer 50 may be omitted.

상기 제1 희생막(60)은 상기 제3 하드마스크층(40)과 동일 또는 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 예를 들면, 상기 식각저지층(50)을 산화막 또는 질화막으로 형성하고, 상기 제1 희생막(60)을 폴리실리콘막으로 형성할 수 있다. 또는, 상기 식각저지층(50)을 질화막으로 형성하고, 상기 제1 희생막(60)을 평탄도 특성이 우수한 산화막, 예를 들면 SOG막 (silicon on glass film) 또는 FOX막 (flowable oxide film)으로 형성할 수 있다. 상기 제1 희생막(60)의 구성 재료는 상기 제1 하드마스크층(20)의 재료 및 상기 기판(10)에 형성된 피식각막(도시 생략)의 재료를 고려하여 결정할 수 있다. The first sacrificial layer 60 may be formed of a material having an etching characteristic that is the same as or similar to that of the third hard mask layer 40. For example, the etch stop layer 50 may be formed of an oxide film or a nitride film, and the first sacrificial film 60 may be formed of a polysilicon film. Alternatively, the etch stop layer 50 may be formed of a nitride film, and the first sacrificial layer 60 may be formed of an oxide film having excellent flatness characteristics, for example, an SOG film or a FOX film. It can be formed as. The constituent material of the first sacrificial layer 60 may be determined in consideration of the material of the first hard mask layer 20 and the material of an etched film (not shown) formed on the substrate 10.

도 1c를 참조하면, 통상의 포토리소그래피 공정을 이용하여 상기 제1 희생막(60)을 패터닝하여 복수의 제1 희생 패턴(60a)을 형성한다. Referring to FIG. 1C, a plurality of first sacrificial patterns 60a are formed by patterning the first sacrificial layer 60 using a conventional photolithography process.

상기 제1 희생 패턴(60a)은 상기 제1 하드마스크층(20)으로부터 최종적으로 형성하고자 하는 하드마스크 패턴의 피치(P) 보다 2 배 큰 제1 피치(2P)를 가지도록 형성된다. 상기 제1 희생 패턴(60a)의 제1 폭(W1)은 상기 제1 피치(2P)의 1/4인 값을 가지도록 설계될 수 있다. 상기 제1 희생 패턴(60a)은 예를 들면 평면에서 볼 때 상기 기판(10)상에서 상기 제1 피치(2P)를 가지고 소정의 방향으로 반복 형성되는 복수의 라인 형상을 가지는 라인 패턴 또는 다양한 형상의 장방형 형상을 가지 는 장방형 패턴으로 이루어질 수 있다. The first sacrificial pattern 60a is formed to have a first pitch 2P that is twice as large as the pitch P of the hard mask pattern to be finally formed from the first hard mask layer 20. The first width W 1 of the first sacrificial pattern 60a may be designed to have a value equal to 1/4 of the first pitch 2P. For example, the first sacrificial pattern 60a may have a plurality of line patterns or various shapes having a plurality of line shapes repeatedly formed in a predetermined direction with the first pitch 2P on the substrate 10 in plan view. It may be made of a rectangular pattern having a rectangular shape.

도 1d를 참조하면, 상기 식각저지층(50) 및 상기 제1 희생 패턴(60a) 위에 제4 하드마스크층(70)을 형성한다. 상기 제4 하드마스크층(70)은 상기 제1 희생 패턴(60a)의 상면 및 측벽을 균일한 두께로 덮도록 형성된다. 상기 제4 하드마스크층(70)은 상기 복수의 제1 희생 패턴(60a)중 상호 인접한 2 개의 제1 희생 패턴(60a) 사이에서 제2 폭(W2)의 리세스(recess)(72)가 형성된 상면을 가진다. 상기 제2 폭(W2)이 상기 제1 피치(2P)의 1/4인 값을 가지도록 상기 제4 하드마스크층(70)의 두께를 결정할 수 있다. 바람직하게는, 상기 제4 하드마스크층(70)중 상기 제1 희생 패턴(60a)의 양 측벽 위에 형성되는 부분의 두께, 즉 제3 폭(W3)이 상기 제1 피치(2P)의 1/4인 값을 가지도록 설계될 수 있다. 또한 바람직하게는, 상호 인접한 2 개의 제1 희생 패턴(60a) 사이에서 상기 제4 하드마스크층(70)의 상면에 형성되는 상기 리세스(72)의 제2 폭(W2)이 상기 제1 희생 패턴(60a)의 제1 폭(W1)과 동일한 치수를 가지도록 상기 제4 하드마스크층(70)의 두께를 결정할 수 있다. Referring to FIG. 1D, a fourth hard mask layer 70 is formed on the etch stop layer 50 and the first sacrificial pattern 60a. The fourth hard mask layer 70 is formed to cover a top surface and sidewalls of the first sacrificial pattern 60a with a uniform thickness. The fourth hard mask layer 70 is a recess 72 having a second width W 2 between two adjacent first sacrificial patterns 60a among the plurality of first sacrificial patterns 60a. Has an upper surface formed. The thickness of the fourth hard mask layer 70 may be determined such that the second width W 2 has a value equal to 1/4 of the first pitch 2P. Preferably, the thickness of the portion of the fourth hard mask layer 70 formed on both sidewalls of the first sacrificial pattern 60a, that is, the third width W 3 is equal to 1 of the first pitch 2P. It can be designed to have a value of / 4. Also preferably, the second width W 2 of the recess 72 formed on the upper surface of the fourth hard mask layer 70 between two adjacent first sacrificial patterns 60a may be defined as the first width. The thickness of the fourth hard mask layer 70 may be determined to have the same dimension as the first width W 1 of the sacrificial pattern 60a.

상기 제4 하드마스크층(70)은 상기 식각저지층(50)과 동일 또는 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 또는, 상기 제4 하드마스크층(70)은 상기 제2 하드마스크층(30)과 동일 또는 유사한 식각 특성을 가지는 물질로 이루어질 수 있다. 예를 들면, 상기 제4 하드마스크층(70)은 상기 식각저지층(50) 또는 상기 제2 하드마스크층(30)과 동일한 물질로 이루어질 수 있다. 또는, 상기 제4 하드마스크층(70)은 상기 식각저지층(50) 또는 상기 제2 하드마스크층(30)과 식각 특성은 유사하나 상호 다른 물질로 이루어질 수도 있다. 예를 들면, 상기 제4 하드 마스크층(70)은 ALD (atomic layer deposition) 방법에 의하여 형성된 산화막으로 이루어질 수 있다. The fourth hard mask layer 70 may be formed of a material having the same or similar etching characteristics as the etch stop layer 50. Alternatively, the fourth hard mask layer 70 may be formed of a material having an etching characteristic that is the same as or similar to that of the second hard mask layer 30. For example, the fourth hard mask layer 70 may be made of the same material as the etch stop layer 50 or the second hard mask layer 30. Alternatively, the fourth hard mask layer 70 may have similar etching characteristics to the etch stop layer 50 or the second hard mask layer 30, but may be formed of different materials. For example, the fourth hard mask layer 70 may be formed of an oxide film formed by an atomic layer deposition (ALD) method.

상기 제1 희생 패턴(60a)이 폴리실리콘막 또는 산화막으로 형성된 경우, 상기 제4 하드마스크층(70)은 ALD 방법에 의하여 형성된 질화막으로 이루어질 수 있다. 또는, 상기 제1 희생 패턴(60a)이 폴리실리콘막 또는 질화막으로 형성된 경우, 상기 제4 하드마스크층(70)은 ALD 방법에 의하여 형성된 산화막으로 형성될 수 있다. 또는, 상기 제1 희생 패턴(60a)이 산화막 또는 질화막으로 형성된 경우, 상기 제4 하드마스크층(70)은 ALD 방법에 의하여 형성된 폴리실리콘막으로 형성될 수 있다. When the first sacrificial pattern 60a is formed of a polysilicon film or an oxide film, the fourth hard mask layer 70 may be formed of a nitride film formed by an ALD method. Alternatively, when the first sacrificial pattern 60a is formed of a polysilicon film or a nitride film, the fourth hard mask layer 70 may be formed of an oxide film formed by an ALD method. Alternatively, when the first sacrificial pattern 60a is formed of an oxide film or a nitride film, the fourth hard mask layer 70 may be formed of a polysilicon film formed by an ALD method.

도 1e를 참조하면, 상기 제4 하드마스크층(70)중 일부, 즉 상기 제1 희생 패턴(60a)의 상면을 덮고 있는 부분을 제거하여 상기 제1 희생 패턴(60a)의 상면을 노출시키는 제4 하드마스크 패턴(70a)을 형성한다. Referring to FIG. 1E, a portion of the fourth hard mask layer 70, that is, a portion covering the top surface of the first sacrificial pattern 60a is removed to expose the top surface of the first sacrificial pattern 60a. Four hard mask patterns 70a are formed.

상기 제4 하드마스크층(70)의 일부를 제거하기 위하여 에치백(etchback) 또는 CMP (chemical mechanical polishing) 공정을 이용할 수 있다. An etchback or chemical mechanical polishing (CMP) process may be used to remove a portion of the fourth hard mask layer 70.

상기 제4 하드마스크층(70)의 두께가 상기 제1 피치(2P)의 1/4인 값을 가지는 경우, 상기 제4 하드마스크 패턴(70a)의 폭(W3)은 상기 제1 희생 패턴(60a)의 폭(W1)과 동일하게 된다. When the thickness of the fourth hard mask layer 70 has a value equal to 1/4 of the first pitch 2P, the width W 3 of the fourth hard mask pattern 70a is the first sacrificial pattern. It becomes equal to the width W 1 of 60a.

도 1f를 참조하면, 상기 제4 하드마스크 패턴(70a) 및 식각저지층(50)을 식 각 마스크로 이용하여 상기 제1 희생 패턴(60a)을 제거한다. Referring to FIG. 1F, the first sacrificial pattern 60a is removed using the fourth hard mask pattern 70a and the etch stop layer 50 as an etch mask.

그 결과, 상기 기판(10)상에서 상기 식각저지층(50) 위에는 상기 제1 피치(2P)의 1/4인 제3 폭(W3)을 가지는 복수의 제4 하드마스크 패턴(70a)만 남게 된다. 상기 제4 하드마스크 패턴(70a)은 상기 제1 피치(2P)의 1/2인 미세한 피치(P)로 반복 형성되는 구조를 가진다. As a result, only a plurality of fourth hard mask patterns 70a having a third width W 3 , which is one quarter of the first pitch 2P, remain on the etch stop layer 50 on the substrate 10. do. The fourth hard mask pattern 70a is repeatedly formed at a fine pitch P that is 1/2 of the first pitch 2P.

도 1g를 참조하면, 상기 복수의 제4 하드마스크 패턴(70a) 사이로 노출되는 식각저지층(50)을 식각하여 상기 제3 하드마스크층(40)의 상면을 노출시킨 후, 상기 제4 마스크 패턴(70a)을 식각 마스크로 이용하여 상기 제3 하드마스크층(40)을 식각하여 제3 하드마스크 패턴(40a)을 형성한다. Referring to FIG. 1G, an etch stop layer 50 exposed between the plurality of fourth hard mask patterns 70a is etched to expose an upper surface of the third hard mask layer 40, and then the fourth mask pattern. The third hard mask layer 40 is etched using 70 a as an etching mask to form a third hard mask pattern 40 a.

상기 제4 하드마스크 패턴(70a)은 상기 식각저지층(50) 식각 공정 및 상기 제3 하드마스크층(40)의 식각 공정을 거치는 동안 그 높이가 낮아질 수 있다. 또한, 상기 제4 하드마스크 패턴(70a)을 식각 마스크로 이용하여 식각 공정을 거치는 동안 상기 제4 하드마스크 패턴(70a)의 상면(70t) 부분에 이온들이 충돌되어 상기 상면(70t)에는 다수의 패싯(facet)이 형성될 수 있다. The fourth hard mask pattern 70a may have a low height during the etching stop layer 50 etching process and the etching process of the third hard mask layer 40. In addition, during the etching process using the fourth hard mask pattern 70a as an etching mask, ions collide with the upper surface 70t of the fourth hard mask pattern 70a, and a plurality of upper surfaces 70t are formed on the upper surface 70t. Facets may be formed.

상기 제4 하드마스크 패턴(70a)이 산화막으로 이루어지고, 상기 제3 하드마스크층(40)이 폴리실리콘막으로 이루어진 경우, 상기 제3 하드마스크층(40)을 식각하기 위하여 예를 들면 HBr, Cl2, 및 O2의 혼합 가스를 식각 가스로 이용할 수 있다. 이 혼합 가스를 사용하여 상기 제3 하드마스크층(40)을 식각함으로써 상기 제4 하드마스크 패턴(70a)의 상면(70t)에 다수의 패싯이 형성되는 경우에도 높은 식각 선택비를 가지고 상기 제3 하드마스크층(40)을 식각할 수 있다. When the fourth hard mask pattern 70a is formed of an oxide film and the third hard mask layer 40 is made of a polysilicon film, for example, HBr, in order to etch the third hard mask layer 40, A mixed gas of Cl 2 and O 2 can be used as an etching gas. The third hard mask layer 40 is etched using the mixed gas to have a high etching selectivity even when a plurality of facets are formed on the top surface 70t of the fourth hard mask pattern 70a. The hard mask layer 40 may be etched.

도 1h를 참조하면, 상기 제3 하드마스크 패턴(40a)을 식각 마스크로 이용하여 상기 제2 하드마스크층(30)을 식각하여 제2 하드마스크 패턴(30a)을 형성한다. 상기 제2 하드마스크 패턴(30a)이 형성되는 동안 상기 제4 하드마스크 패턴(70a), 식각저지층(50) 및 제3 하드마스크 패턴(40a)이 소모될 수 있다. Referring to FIG. 1H, the second hard mask layer 30 is etched using the third hard mask pattern 40a as an etch mask to form a second hard mask pattern 30a. While the second hard mask pattern 30a is formed, the fourth hard mask pattern 70a, the etch stop layer 50, and the third hard mask pattern 40a may be consumed.

도 1i를 참조하면, 상기 제2 하드마스크 패턴(30a)을 식각 마스크로 이용하여 상기 제1 하드마스크층(20)을 식각하여 제1 하드마스크 패턴(20a)을 형성한다. 상기 제1 하드마스크 패턴(20a)이 형성되는 동안 상기 제3 하드마스크 패턴(40a) 및 제2 하드마스크 패턴(30a)이 소모될 수 있다.Referring to FIG. 1I, the first hard mask layer 20 is etched using the second hard mask pattern 30 a as an etch mask to form a first hard mask pattern 20 a. The third hard mask pattern 40a and the second hard mask pattern 30a may be consumed while the first hard mask pattern 20a is formed.

상기 제1 하드마스크 패턴(20a)은 상기 제1 피치(2P)의 1/4인 제3 폭(W3)을 가질 수 있다. 상기 제1 하드마스크 패턴(20a)은 상기 제1 피치(2P)의 1/2인 미세한 피치(P)로 반복 형성되는 구조를 가진다. The first hard mask pattern 20a may have a third width W 3 , which is 1/4 of the first pitch 2P. The first hard mask pattern 20a may be repeatedly formed at a fine pitch P that is 1/2 of the first pitch 2P.

상기 설명한 본 발명의 제1 실시예에 따른 하드마스크 패턴 형성 방법에서, 만일 상기 제3 하드마스크층(40)의 형성 단계를 생략하고 상기 제4 하드마스크 패턴(70a)을 식각 마스크로 이용하여 상기 제3 하드마스크층(30) 및 제1 하드마스크층(20)을 바로 식각한다면, 상기 제4 하드마스크 패턴(70a)이 상기 제2 하드마스크층(30)에 전사되어 얻어지는 제2 하드마스크 패턴(30a)의 상면에도 다수의 패싯이 형성되면서 그 높이도 매우 낮아지게 된다. 따라서, 이를 식각 마스크로 이용하여 하부의 제1 하드마스크층(20)을 식각하면 상기 제1 하드마스크층(20)에도 상면에 다수의 패싯이 그대로 전사된다. 특히, 상기 제2 하드마스크층(30)이 산화막으로 이루어지고 상기 제1 하드마스크층(20)이 질화막으로 이루어진 경우, 상기 제2 하드마스크층(30)으로부터 얻어진 제2 하드마스크 패턴(30a)의 상면에 다수의 패싯이 형성되어 있다면 상기 제2 하드마스크 패턴(30a)에 대한 제1 하드마스크층(20)의 낮은 식각 선택비로 인해 제1 하드마스크층(20)의 식각 결과물인 제1 하드마스크 패턴(20a)에도 상면에 다수의 패싯이 형성되면서 그 높이도 매우 낮아지게 된다. 이와 같이 다수의 패싯이 상면에 형성된 낮은 높이의 제1 하드마스크 패턴(20a)을 식각 마스크로 이용하여 하부의 막들을 식각할 때 원하는 깊이까지 식각할 수 없는 문제가 있다. In the method for forming a hard mask pattern according to the first embodiment of the present invention, if the step of forming the third hard mask layer 40 is omitted, the fourth hard mask pattern 70a is used as an etching mask. If the third hard mask layer 30 and the first hard mask layer 20 are directly etched, the second hard mask pattern obtained by transferring the fourth hard mask pattern 70a to the second hard mask layer 30 is obtained. The plurality of facets are also formed on the upper surface of the 30a, the height is also very low. Therefore, when the first hard mask layer 20 is etched using this as an etching mask, a plurality of facets are transferred to the first hard mask layer 20 as it is on the upper surface. In particular, when the second hard mask layer 30 is formed of an oxide film and the first hard mask layer 20 is formed of a nitride film, the second hard mask pattern 30a obtained from the second hard mask layer 30 is formed. If a plurality of facets are formed on the upper surface of the first hard mask layer 20 as a result of etching the first hard mask layer 20 due to the low etching selectivity of the first hard mask layer 20 with respect to the second hard mask pattern 30a. In the mask pattern 20a, a plurality of facets are formed on the upper surface, and the height thereof is also very low. As such, there is a problem in that a plurality of facets cannot be etched to a desired depth when the lower layers are etched using the first hard mask pattern 20a having a low height as an etching mask.

본 발명에 따른 하드마스크 패턴 형성 방법에서는 상기와 같은 문제가 발생되는 것을 방지하기 위하여, 제4 하드마스크 패턴(70a)을 형성하기 전에 상기 제2 하드마스크층(30) 위에 제3 하드마스크층(40)을 형성한다. 본 발명에 따른 하드마스크 패턴 형성 방법에서는 상기 제2 하드마스크층(30)과 상기 제4 하드마스크 패턴(70a)과의 사이에 제3 하드마스크층(40)이 삽입됨으로써, 상기 제4 하드마스크 패턴(70a)을 이용하여 제3 하드마스크 패턴(40a)을 형성한 후 제2 하드마스크 패턴(30a) 및 제1 하드마스크 패턴(20a)을 형성하므로, 상기 제1 하드마스크 패턴(20a)에서 원하는 높이를 확보할 수 있다. 따라서, 상기 제1 하드마스크 패턴(20a)을 이용하여 하부의 막을 식각할 때 원하는 깊이까지 충분히 식각할 수 있다. In the method for forming a hard mask pattern according to the present invention, in order to prevent the above problems from occurring, before forming the fourth hard mask pattern 70a, a third hard mask layer 30 may be formed on the second hard mask layer 30. 40). In the method for forming a hard mask pattern according to the present invention, a third hard mask layer 40 is inserted between the second hard mask layer 30 and the fourth hard mask pattern 70a to thereby form the fourth hard mask. Since the second hard mask pattern 30a and the first hard mask pattern 20a are formed after the third hard mask pattern 40a is formed using the pattern 70a, the first hard mask pattern 20a may be formed. You can get the height you want. Therefore, when the lower layer is etched by using the first hard mask pattern 20a, it may be sufficiently etched to a desired depth.

도 2a 내지 도 2i는 본 발명의 제2 실시예에 따라 미세 피치의 하드마스크 패턴을 형성하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 2A to 2I are cross-sectional views illustrating a method of forming a fine pitch hard mask pattern according to a second exemplary embodiment of the present invention.

도 2a 내지 도 2i를 참조하여 설명하는 본 발명의 제2 실시예는 제1 실시예와 대체로 동일하다. 단, 제2 실시예에서는 상기 제4 하드마스크 패턴(70a) 위에 제2 희생 패턴(180a)(도 2e 참조)을 형성하는 공정을 더 구비한다. 도 2a 내지 도 2i에 있어서, 제1 실시예에서와 동일한 참조 부호는 동일 부재를 나타낸다. The second embodiment of the present invention described with reference to FIGS. 2A to 2I is substantially the same as the first embodiment. However, the second embodiment further includes forming a second sacrificial pattern 180a (see FIG. 2E) on the fourth hard mask pattern 70a. 2A to 2I, the same reference numerals as in the first embodiment denote the same members.

도 2a를 참조하면, 도 1a 내지 도 1c를 참조하여 설명한 바와 같이 기판(10)상에 제1 하드마스크층(20), 제2 하드마스크층(30) 및 제3 하드마스크층(40)을 차례로 형성한다. 그리고, 상기 제3 하드마스크층(40) 위에 식각저지층(150)을 형성하고, 그 위에 최종적으로 형성하고자 하는 하드마스크 패턴의 피치(P) 보다 2 배 큰 제1 피치(2P)를 가지도록 반복 배치되는 복수의 제1 희생 패턴(60a)을 형성한다. Referring to FIG. 2A, the first hard mask layer 20, the second hard mask layer 30, and the third hard mask layer 40 may be formed on the substrate 10 as described with reference to FIGS. 1A through 1C. Form in turn. In addition, the etch stop layer 150 is formed on the third hard mask layer 40, and the first pitch 2P is twice larger than the pitch P of the hard mask pattern to be finally formed thereon. A plurality of first sacrificial patterns 60a are repeatedly formed.

상기 식각저지층(150)은 도 1b를 참조하여 설명한 식각저지층(50)에 대하여 설명한 바와 같다. 단, 본 예에서는 상기 식각저지층(150)의 두께가 상기 제1 희생 패턴(60a)의 폭(W1)과 대략 유사하게 되도록 할 수 있다. The etch stop layer 150 is the same as the etch stop layer 50 described with reference to FIG. 1B. However, in this example, the thickness of the etch stop layer 150 may be substantially similar to the width W 1 of the first sacrificial pattern 60a.

도 2b를 참조하면, 상기 복수의 제1 희생 패턴(60a) 사이에서 노출되는 상기 식각저지층(150)을 식각하여 상기 제3 하드마스크 패턴(40)의 상면을 노출시키는 복수의 식각저지층 패턴(150a)을 형성한다. Referring to FIG. 2B, a plurality of etch stop layer patterns exposing the top surface of the third hard mask pattern 40 by etching the etch stop layer 150 exposed between the plurality of first sacrificial patterns 60a. 150a is formed.

도 2a 및 도 2b를 참조하여 설명하는 상기 제1 희생 패턴(60a) 및 식각저지층 패턴(150a) 형성을 위한 각각의 식각 공정은 동일한 마스크 패턴, 예를 들면 동 일한 포토레지스트 패턴(도시 생략)을 식각 마스크로 이용하여 행해질 수 있다. The etching process for forming the first sacrificial pattern 60a and the etch stop layer pattern 150a described with reference to FIGS. 2A and 2B is performed by the same mask pattern, for example, the same photoresist pattern (not shown). May be used as an etching mask.

도 2c를 참조하면, 도 1d를 참조하여 제4 하드마스크(70)에 대하여 설명한 바와 같은 방법으로 상기 제3 하드마스크층(40) 및 상기 제1 희생 패턴(60a) 위에 제4 하드마스크층(170)을 형성한다. 상기 제4 하드마스크층(170)은 상기 제1 희생 패턴(60a)의 상면 및 측벽과, 상기 제3 하드마스크층(40)의 상면을 균일한 두께로 덮도록 형성된다. Referring to FIG. 2C, a fourth hard mask layer may be formed on the third hard mask layer 40 and the first sacrificial pattern 60a in the same manner as described with reference to FIG. 1D. 170). The fourth hard mask layer 170 is formed to cover the top and sidewalls of the first sacrificial pattern 60a and the top surface of the third hard mask layer 40 with a uniform thickness.

도 2d를 참조하면, 상기 제4 하드마스크층(170) 위에 제2 희생막(180)을 형성한다. Referring to FIG. 2D, a second sacrificial layer 180 is formed on the fourth hard mask layer 170.

상기 제2 희생막(180)은 상기 제4 하드마스크층(170)의 상면에 형성된 리세스(172) 내부를 완전히 채우기에 충분한 두께로 형성된다. 상기 제2 희생막(180)은 상기 제1 희생막(60) (도 1b 참조)과 동일한 재료로 이루어질 수 있다. The second sacrificial layer 180 is formed to have a sufficient thickness to completely fill the recess 172 formed on the top surface of the fourth hard mask layer 170. The second sacrificial layer 180 may be made of the same material as the first sacrificial layer 60 (see FIG. 1B).

도 2e를 참조하면, 상기 제1 희생 패턴(60a)의 상부에서 상기 제4 하드마스크층(170)이 노출될 때까지 상기 제2 희생막(180)의 일부를 제거하여 상기 제4 하드마스크층(170)의 상면에 형성된 각 리세스(172) 내에 제2 희생 패턴(180a)이 남도록 한다. Referring to FIG. 2E, a portion of the second sacrificial layer 180 is removed until the fourth hard mask layer 170 is exposed on the first sacrificial pattern 60a to thereby expose the fourth hard mask layer. The second sacrificial pattern 180a remains in each recess 172 formed on the upper surface of the 170.

상기 제2 희생막(180)의 일부를 제거하기 위하여 예를 들면 습식 식각 방법을 이용할 수 있다. 이 때, 상기 제2 희생 패턴(180a)의 상면이 상기 제1 희생 패턴(60a)의 상면과 대략 동일 레벨로 되도록 상기 제2 희생막(180)의 식각량을 조절할 수 있다. For example, a wet etching method may be used to remove a portion of the second sacrificial layer 180. In this case, the etching amount of the second sacrificial layer 180 may be adjusted so that the top surface of the second sacrificial pattern 180a is approximately the same level as the top surface of the first sacrificial pattern 60a.

도 2f를 참조하면, 상기 제4 하드마스크층(170)의 일부, 즉 상기 제4 하드마 스크층(170)중 상기 제1 희생 패턴(60a)의 상면을 덮고 있는 부분을 제거하여 상기 제1 희생 패턴(60a)의 상면을 노출시킨다. Referring to FIG. 2F, a portion of the fourth hard mask layer 170, that is, a portion of the fourth hard mask layer 170 covering the top surface of the first sacrificial pattern 60a is removed to remove the first hard mask layer 170. The top surface of the sacrificial pattern 60a is exposed.

그 결과, 상기 기판(10)상에서 상기 제1 희생 패턴(60a)의 상면 및 제2 희생 패턴(180a)의 상면이 동시에 노출된다. 상기 제4 하드마스크층(170)중 일부가 제거된 나머지 부분으로 이루어지는 제4 하드마스크 패턴(170a)은 상기 제1 희생 패턴(60a)의 측벽을 덮는 수직 측벽부(170a-1)와 상기 제3 하드마스크층(40)의 상면을 덮는 저면부(170a-2)를 포함한다. As a result, the top surface of the first sacrificial pattern 60a and the top surface of the second sacrificial pattern 180a are simultaneously exposed on the substrate 10. The fourth hard mask pattern 170a including the remaining portions of the fourth hard mask layer 170 removed from the vertical sidewall portion 170a-1 and the first sidewall covering the sidewalls of the first sacrificial pattern 60a may be formed. 3 includes a bottom portion 170a-2 covering the top surface of the hard mask layer 40.

상기 제4 하드마스크층(170)의 일부를 제거하여 상기 제4 하드마스크 패턴(170a)을 형성하기 위하여 습식 식각 방법을 이용할 수 있다. 예를 들면, 상기 제4 하드마스크층(170)이 산화막으로 이루어지고 상기 제1 희생 패턴(60a) 및 제2 희생 패턴(180a)이 폴리실리콘으로 이루어진 경우, 상기 제1 희생 패턴(60a) 및 제2 희생 패턴(180a)에 대하여 비교적 높은 식각 선택비로 상기 제4 하드마스크층(170)을 식각하기 위하여 불소(F)를 함유하는 식각액을 사용할 수 있다. 예를 들면, 상기 식각액은 DHF (diluted HF), NH4F, 또는 이들의 조합으로 이루어질 수 있다. 특히 바람직하게는, 상기 식각액은 순수와 HF가 50:1의 부피비로 혼합된 DHF로 이루어질 수 있다. A wet etching method may be used to form a portion of the fourth hard mask layer 170 to form the fourth hard mask pattern 170a. For example, when the fourth hard mask layer 170 is formed of an oxide film and the first sacrificial pattern 60a and the second sacrificial pattern 180a are made of polysilicon, the first sacrificial pattern 60a and An etching solution containing fluorine (F) may be used to etch the fourth hard mask layer 170 at a relatively high etching selectivity with respect to the second sacrificial pattern 180a. For example, the etchant may be composed of diluted HF (DHF), NH 4 F, or a combination thereof. Particularly preferably, the etchant may be composed of DHF in which pure water and HF are mixed in a volume ratio of 50: 1.

또는, 상기 제4 하드마스크층(170)의 일부를 제거하여 상기 제4 하드마스크 패턴(170a)을 형성하기 위하여 건식 식각 방법을 이용할 수도 있다. 예를 들면, 상기 제4 하드마스크층(170)이 산화막으로 이루어지고 상기 제1 희생 패턴(60a) 및 제2 희생 패턴(180a)이 폴리실리콘으로 이루어진 경우, 상기 제1 희생 패턴(60a) 및 제2 희생 패턴(180a)에 대하여 비교적 높은 식각 선택비로 상기 제4 하드마스크층(170)을 식각하기 위하여 불소를 함유하는 식각 가스를 이용하는 건식 식각 공정을 행할 수 있다. 예를 들면, 상기 식각 가스로서 CxFy (x 및 y는 각각 1 내지 10의 정수)를 사용할 수 있다. 또는, 상기 식각 가스로서 CxFy 및 O2의 혼합 가스, 또는 CxFy, O2 및 Ar의 혼합 가스를 사용할 수 있다. 상기 CxFy 가스로서 예를 들면 C3F6, C4F6, C4F8, 또는 C5F8을 사용할 수 있다. Alternatively, a dry etching method may be used to form a portion of the fourth hard mask layer 170 to form the fourth hard mask pattern 170a. For example, when the fourth hard mask layer 170 is formed of an oxide film and the first sacrificial pattern 60a and the second sacrificial pattern 180a are made of polysilicon, the first sacrificial pattern 60a and A dry etching process using an etching gas containing fluorine may be performed to etch the fourth hard mask layer 170 with a relatively high etching selectivity with respect to the second sacrificial pattern 180a. For example, CxFy (x and y are integers of 1 to 10, respectively) may be used as the etching gas. Alternatively, a mixed gas of CxFy and O 2 or a mixed gas of CxFy, O 2 and Ar may be used as the etching gas. As the CxFy gas, for example, C 3 F 6 , C 4 F 6 , C 4 F 8 , or C 5 F 8 can be used.

또는, 상기 제4 하드마스크층(170)의 일부를 제거하여 상기 제4 하드마스크 패턴(170a)을 형성하기 위하여 상기 제4 하드마스크층(170)의 일부를 건식 식각 방법으로 제거할 때, 식각 챔버 내에서 상기 예시된 식각 가스의 플라즈마를 발생시켜 상기 플라즈마 분위기에서 식각을 행할 수 있다. 또는, 경우에 따라 상기 식각 챔버 내에서 플라즈마를 발생시키지 않음으로써 이온 에너지가 없는 상태로 상기 예시된 식각 가스 분위기에서 식각을 행할 수도 있다. Alternatively, when a part of the fourth hard mask layer 170 is removed by a dry etching method to remove the part of the fourth hard mask layer 170 to form the fourth hard mask pattern 170a, the etching is performed. The plasma of the above-described etching gas may be generated in the chamber to perform etching in the plasma atmosphere. Alternatively, in some cases, etching may be performed in the above-described etching gas atmosphere in the absence of ion energy by not generating plasma in the etching chamber.

도 2g를 참조하면, 상기 제1 희생 패턴(60a) 및 제2 희생 패턴(180a)을 완전히 제거하여, 상기 식각저지층 패턴(150a)의 상면과 상기 제4 하드마스크 패턴(170a)중 저면부(170a-2)의 상면을 노출시킨다. Referring to FIG. 2G, the first sacrificial pattern 60a and the second sacrificial pattern 180a are completely removed to form a top portion of the etch stop layer pattern 150a and a bottom portion of the fourth hard mask pattern 170a. The top surface of 170a-2 is exposed.

상기 제1 희생 패턴(60a) 및 제2 희생 패턴(180a)을 제거하기 위하여 예를 들면 습식 또는 건식 식각 방법을 이용할 수 있다. In order to remove the first sacrificial pattern 60a and the second sacrificial pattern 180a, for example, a wet or dry etching method may be used.

상기 제1 희생 패턴(60a) 및 제2 희생 패턴(180a)이 각각 폴리실리콘으로 이 루어진 경우, 이들을 습식 식각 방법으로 제거하기 위하여, NH4OH를 포함하는 식각액을 사용할 수 있다. 예를 들면, NH4OH, H2O2 및 H2O가 4:1:95의 부피비로 혼합된 식각액을 사용할 수 있다. When the first sacrificial pattern 60a and the second sacrificial pattern 180a are each made of polysilicon, an etchant including NH 4 OH may be used to remove them by a wet etching method. For example, an etchant in which NH 4 OH, H 2 O 2 and H 2 O are mixed in a volume ratio of 4: 1: 95 may be used.

상기 제1 희생 패턴(60a) 및 제2 희생 패턴(180a)을 건식 식각 방법으로 제거하는 경우, CF4를 포함하는 식각 가스를 사용하는 등방성 CDE (chemical dry etch) 공정을 이용할 수 있다. 예를 들면, CF4 및 O2의 혼합 가스, 또는 CF4, O2, N2 및 HF의 혼합 가스를 사용하는 CDE 공정을 이용할 수 있다. When the first sacrificial pattern 60a and the second sacrificial pattern 180a are removed by a dry etching method, an isotropic chemical dry etch (CDE) process using an etching gas including CF 4 may be used. For example, a CDE process using a mixed gas of CF 4 and O 2 or a mixed gas of CF 4 , O 2 , N 2 and HF can be used.

도 2h를 참조하면, 상기 식각저지층 패턴(150a)과, 상기 제4 하드마스크 패턴(170a)중 상기 제2 희생 패턴(180a)과 상기 제3 하드마스크층(40)과의 사이에 있던 저면부(170a-2)를 제거하기 위하여, 이방성 건식 식각 방법에 의해 상기 제4 하드마스크 패턴(170a)을 에치백하여 복수의 상기 수직 측벽부(170a-1) 사이에서 상기 제3 하드마스크층(40)의 상면을 노출시킨다. Referring to FIG. 2H, a bottom surface between the etch stop layer pattern 150a and the second sacrificial pattern 180a and the third hard mask layer 40 among the fourth hard mask patterns 170a may be formed. In order to remove the portion 170a-2, the fourth hard mask pattern 170a is etched back by an anisotropic dry etching method to form the third hard mask layer between the plurality of vertical sidewall portions 170a-1. The top surface of 40) is exposed.

상기 식각저지층 패턴(150a)과 상기 제4 하드마스크 패턴(170a)의 저면부(170a-2)가 식각되면서 상기 제4 하드마스크 패턴(170a)의 수직 측벽부(170a-1)도 그 상면으로부터 소정 두께 만큼 소모되며, 그 결과물로서 상기 제3 하드마스크층(40) 위에 복수의 제4 하드마스크 패턴(170b)이 남게 된다. As the bottom portion 170a-2 of the etch stop layer pattern 150a and the fourth hard mask pattern 170a are etched, the vertical sidewall portion 170a-1 of the fourth hard mask pattern 170a is also upper surface thereof. As a result, a plurality of fourth hard mask patterns 170b are left on the third hard mask layer 40 as a result.

상기 제4 하드마스크 패턴(170b)은 상기 제1 피치(2P)의 1/4인 제3 폭(W3)을 가질 수 있다. 상기 제4 하드마스크 패턴(170b)은 상기 제1 피치(2P)의 1/2인 미세 한 피치(P)로 반복 형성되는 구조를 가진다. The fourth hard mask pattern 170b may have a third width W 3 , which is 1/4 of the first pitch 2P. The fourth hard mask pattern 170b has a structure that is repeatedly formed at a fine pitch P that is 1/2 of the first pitch 2P.

도 2i를 참조하면, 상기 제4 하드마스크 패턴(170b)을 식각마스크로 이용하여 상기 제3 하드마스크층(40)을 식각하여 제3 하드마스크 패턴(40a)을 형성한다. Referring to FIG. 2I, the third hard mask layer 40 is etched using the fourth hard mask pattern 170b as an etch mask to form a third hard mask pattern 40a.

상기 제4 하드마스크 패턴(170b)은 상기 제3 하드마스크층(40)의 식각 공정을 거치는 동안 그 높이가 낮아질 수 있다. The fourth hard mask pattern 170b may have a lower height during the etching process of the third hard mask layer 40.

그 후, 도 1h 및 도 1i를 참조하여 설명한 바와 같은 방법으로 상기 제2 하드마스크층(30) 및 제1 하드마스크층(20)을 식각하여 상기 기판(10)상에 제2 하드마스크 패턴(30a) 및 제1 하드마스크 패턴(20a)을 형성한다. Thereafter, the second hard mask layer 30 and the first hard mask layer 20 are etched by the method described with reference to FIGS. 1H and 1I to form a second hard mask pattern on the substrate 10. 30a) and the first hard mask pattern 20a are formed.

도 3a 내지 도 3e는 본 발명의 바람직한 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 3A to 3E are cross-sectional views illustrating a method of forming a fine pattern of a semiconductor device in accordance with a preferred embodiment of the present invention according to a process sequence.

본 예에서는 본 발명의 제1 실시예에 따른 방법에 의해 하드마스크 패턴을 형성하고 이를 이용하여 반도체 기판에 소자분리 영역을 형성하는 과정을 설명한다. 그러나, 본 발명은 이에 한정되는 것은 아니며, 본 발명의 제2 실시예에 따른 방법, 또는 본 발명의 범위 내에서 변형 가능한 다른 실시예에 따른 방법에 의해 하드마스크 패턴을 형성하고 이를 이용하여 반도체 기판에 미세 패턴을 형성할 수도 있다. In this example, a process of forming a hard mask pattern by using the method according to the first exemplary embodiment of the present invention and forming a device isolation region in the semiconductor substrate by using the same will be described. However, the present invention is not limited thereto, and the hard mask pattern may be formed by using the method according to the second embodiment of the present invention, or the method according to another embodiment which may be modified within the scope of the present invention, and using the semiconductor substrate. Fine patterns may be formed on the substrate.

도 3a 내지 도 3e에 있어서, 도 1a 내지 도 1i에서와 동일한 참조 부호는 동일 부재를 나타내며, 본 예에서는 이들에 대한 상세한 설명은 생략한다. In Figs. 3A to 3E, the same reference numerals as in Figs. 1A to 1I denote the same members, and detailed description thereof will be omitted in this example.

도 3a를 참조하면, 반도체 기판(100)상에 패드 산화막(110)을 형성한다. 그리고, 상기 패드 산화막(110) 위에 질화막으로 이루어지는 제1 하드마스크층 (120) 을 형성한다. Referring to FIG. 3A, a pad oxide film 110 is formed on the semiconductor substrate 100. In addition, a first hard mask layer 120 formed of a nitride film is formed on the pad oxide film 110.

그 후, 도 1a 내지 도 1c를 참조하여 설명한 바와 같은 방법으로, 상기 제1 하드마스크층(120) 위에 제2 하드마스크층(30), 제3 하드마스크층(40), 및 식각저지층(50)을 형성한다. 그리고, 상기 식각저지층(50) 위에 최종적으로 형성하고자 하는 하드마스크 패턴의 피치(P) 보다 2 배 큰 제1 피치(2P)를 가지도록 반복 배치되는 복수의 제1 희생 패턴(60a)을 형성한다. Thereafter, in the same manner as described with reference to FIGS. 1A through 1C, the second hard mask layer 30, the third hard mask layer 40, and the etch stop layer may be disposed on the first hard mask layer 120. 50). In addition, a plurality of first sacrificial patterns 60a are repeatedly formed on the etch stop layer 50 to have a first pitch 2P twice as large as the pitch P of the hard mask pattern to be finally formed. do.

도 3b를 참조하면, 도 1d 내지 도 1h를 참조하여 설명한 바와 같은 방법으로 상기 제1 하드마스크층(120) 위에 제2 하드마스크 패턴(30a)을 형성하는 공정까지 진행한다. Referring to FIG. 3B, the process proceeds to the process of forming the second hard mask pattern 30a on the first hard mask layer 120 by the method described with reference to FIGS. 1D to 1H.

도 3c를 참조하면, 상기 제2 하드마스크 패턴(30a)을 식각 마스크로 사용하여 상기 제1 하드마스크층(120)을 이방성 건식 식각하여 제1 하드마스크 패턴(120a)을 형성한다. 도 3c에는 상기 제1 하드마스크 패턴(120a) 위에 상기 제2 하드마스크 패턴(30a)이 남아 있지 않은 것으로 도시되어 있다. 그러나, 경우에 따라 상기 제1 하드마스크 패턴(120a)이 형성된 후 상기 제1 하드마스크 패턴(120a)의 상면에 상기 제2 하드마스크 패턴(30a)의 일부가 잔류되어 있을 수도 있다. Referring to FIG. 3C, the first hard mask layer 120 is anisotropically dry-etched using the second hard mask pattern 30 a as an etching mask to form a first hard mask pattern 120 a. 3C illustrates that the second hard mask pattern 30a does not remain on the first hard mask pattern 120a. However, in some cases, a portion of the second hard mask pattern 30a may remain on the top surface of the first hard mask pattern 120a after the first hard mask pattern 120a is formed.

상기 제1 하드마스크 패턴(120a)은 상기 제1 피치(2P)의 1/2인 미세한 피치(P)로 반복 형성되는 구조를 가진다. The first hard mask pattern 120a has a structure that is repeatedly formed at a fine pitch P that is 1/2 of the first pitch 2P.

도 3d를 참조하면, 상기 제1 하드마스크 패턴(120a)을 식각 마스크로 하여 상기 패드 산화막(110) 및 상기 반도체 기판(100)을 이방성 건식 식각하여 상기 기판에 트렌치(190)를 형성한다. Referring to FIG. 3D, the trench 190 is formed on the substrate by anisotropic dry etching of the pad oxide layer 110 and the semiconductor substrate 100 using the first hard mask pattern 120a as an etching mask.

도 3e를 참조하면, 상기 트렌치(190) 내부 및 상기 제1 하드마스크 패턴(120a) 위에 절연 물질을 증착한 후, 상기 제1 하드마스크 패턴(120a)이 노출될 때 까지 CMP 공정에 의해 평탄화하는 방법으로 상기 트렌치(190) 내에 절연막(192)을 채워 소자분리 영역을 형성한다. Referring to FIG. 3E, an insulating material is deposited inside the trench 190 and on the first hard mask pattern 120a and then planarized by a CMP process until the first hard mask pattern 120a is exposed. The device isolation region is formed in the trench 190 by filling the insulating layer 192 in the trench 190.

도 3a 내지 도 3e를 참조하여 설명한 방법과 같이 본 발명에 따른 방법에 의해 형성된 제1 하드마스크 패턴(120a)을 식각 마스크로 이용하여 반도체 기판(100)에 트렌치(190)를 형성하는 방법으로 소자분리 영역을 형성함으로써 통상의 포토리소그래피 공정에서 구현할 수 있는 피치의 1/2인 미세 피치로 반복적으로 형성되는 소자분리용 패턴을 형성하는 것이 가능하게 되어, 포토리소그래피 공정에서의 해상 한계를 초월하는 미세 피치로 반복 형성되는 소자분리 영역을 용이하게 구현할 수 있다. 또한, 상기 제1 하드마스크 패턴(120a)을 형성하는 데 있어서, 상기 제2 하드마스크층(30)과 상기 제4 하드마스크 패턴(70a)과의 사이에 제3 하드마스크층(40)이 삽입됨으로써 상기 제4 하드마스크 패턴(70a)을 이용하여 제3 하드마스크 패턴(40a)을 형성한 후 제2 하드마스크 패턴(30a) 및 제1 하드마스크 패턴(20a)을 형성하므로, 상기 제1 하드마스크 패턴(120a)에서 원하는 높이를 확보할 수 있다. 따라서, 상기 트렌치(190) 형성을 위하여 상기 제1 하드마스크 패턴(120a)을 이용하여 상기 반도체 기판(100)을 식각할 때 원하는 깊이까지 충분히 식각할 수 있다. By using the first hard mask pattern 120a formed by the method according to the present invention as an etching mask as in the method described with reference to FIGS. 3A to 3E, the trench 190 is formed on the semiconductor substrate 100. By forming the separation region, it becomes possible to form a device isolation pattern that is repeatedly formed at a fine pitch that is 1/2 of the pitch that can be realized in a conventional photolithography process, and thus finer than the resolution limit in the photolithography process. A device isolation region repeatedly formed at a pitch can be easily implemented. In addition, in forming the first hard mask pattern 120a, a third hard mask layer 40 is inserted between the second hard mask layer 30 and the fourth hard mask pattern 70a. The third hard mask pattern 40a is formed using the fourth hard mask pattern 70a and then the second hard mask pattern 30a and the first hard mask pattern 20a are formed. A desired height may be secured in the mask pattern 120a. Therefore, when the semiconductor substrate 100 is etched by using the first hard mask pattern 120a to form the trench 190, it may be sufficiently etched to a desired depth.

도 1a 내지 도 1i는 본 발명의 제1 실시예에 따라 미세 피치의 하드마스크 패턴을 형성하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 1A to 1I are cross-sectional views illustrating a method of forming a fine pitch hard mask pattern in accordance with a first embodiment of the present invention.

도 2a 내지 도 2i는 본 발명의 제2 실시예에 따라 미세 피치의 하드마스크 패턴을 형성하는 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 2A to 2I are cross-sectional views illustrating a method of forming a fine pitch hard mask pattern according to a second exemplary embodiment of the present invention.

도 3a 내지 도 3e는 본 발명의 바람직한 실시예에 따른 반도체 소자의 미세 패턴 형성 방법을 설명하기 위하여 공정 순서에 따라 도시한 단면도들이다. 3A to 3E are cross-sectional views illustrating a method of forming a fine pattern of a semiconductor device in accordance with a preferred embodiment of the present invention according to a process sequence.

<도면의 주요 부분에 대한 부호의 설명> <Explanation of symbols for the main parts of the drawings>

10: 기판, 20: 제1 하드마스크층, 20a: 제1 하드마스크 패턴, 30: 제2 하드마스크층, 30a: 제2 하드마스크 패턴, 40: 제3 하드마스크층, 40: 제3 하드마스크 패턴, 50: 식각저지층, 60: 제1 희생막, 60a: 제1 희생 패턴, 70: 제4 하드마스크층, 70a: 제4 하드마스크 패턴, 72: 리세스, 100: 반도체 기판, 110: 패드 산화막, 120: 제1 하드마스크층, 120a: 제1 하드마스크 패턴, 150: 식각저지층, 150a: 식각저지층 패턴, 170; 제4 하드마스크층, 170a: 제4 하드마스크 패턴, 170a-1: 수직 측벽부, 170a-2: 저면부, 170b: 제4 하드마스크 패턴, 172: 리세스, 180: 제2 희생막, 180a: 제2 희생 패턴, 190: 트렌치, 192: 절연막. 10: substrate, 20: first hard mask layer, 20a: first hard mask pattern, 30: second hard mask layer, 30a: second hard mask pattern, 40: third hard mask layer, 40: third hard mask Pattern, 50: etch stop layer, 60: first sacrificial layer, 60a: first sacrificial pattern, 70: fourth hard mask layer, 70a: fourth hard mask pattern, 72: recess, 100: semiconductor substrate, 110: A pad oxide film, 120: a first hard mask layer, 120a: a first hard mask pattern, 150: an etch stop layer, 150a: an etch stop layer pattern, 170; Fourth hardmask layer, 170a: fourth hardmask pattern, 170a-1: vertical sidewall portion, 170a-2: bottom portion, 170b: fourth hardmask pattern, 172: recess, 180: second sacrificial layer, 180a : Second sacrificial pattern, 190: trench, 192: insulating film.

Claims (35)

기판상에 식각 특성이 서로 다른 물질로 이루어지는 제1 하드마스크층, 제2 하드마스크층, 및 제3 하드마스크층을 차례로 형성하는 단계와, Sequentially forming a first hard mask layer, a second hard mask layer, and a third hard mask layer formed of materials having different etching characteristics on the substrate; 상기 제3 하드마스크층 위에 식각저지층을 형성하는 단계와, Forming an etch stop layer on the third hard mask layer; 상기 식각저지층 위에 제1 피치(pitch)로 반복 형성되는 복수의 제1 희생 패턴을 형성하는 단계와, Forming a plurality of first sacrificial patterns repeatedly formed at a first pitch on the etch stop layer; 상기 제1 희생 패턴의 양 측벽을 균일한 두께로 덮는 제4 하드마스크층을 형성하는 단계와, Forming a fourth hard mask layer covering both sidewalls of the first sacrificial pattern with a uniform thickness; 상기 제4 하드마스크층의 일부를 제거하여 상기 제1 피치의 1/2인 제2 피치로 반복 형성되는 복수의 제4 하드마스크 패턴을 형성하는 단계와, Removing a portion of the fourth hard mask layer to form a plurality of fourth hard mask patterns repeatedly formed at a second pitch that is 1/2 of the first pitch; 상기 제4 하드마스크 패턴을 식각 마스크로 하여 상기 제3 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제3 하드마스크 패턴을 형성하는 단계와, Forming a plurality of third hard mask patterns repeatedly formed at the second pitch by etching the third hard mask layer using the fourth hard mask pattern as an etch mask; 상기 제3 하드마스크 패턴을 식각 마스크로 하여 상기 제2 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제2 하드마스크 패턴을 형성하는 단계와, Forming a plurality of second hard mask patterns repeatedly formed at the second pitch by etching the second hard mask layer using the third hard mask pattern as an etch mask; 상기 제2 하드마스크 패턴을 식각 마스크로 하여 상기 제1 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제1 하드마스크 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 하드마스크 패턴 형성 방법. And forming a plurality of first hard mask patterns repeatedly formed at the second pitch by etching the first hard mask layer using the second hard mask pattern as an etch mask. Way. 삭제delete 삭제delete 제1항에 있어서, The method of claim 1, 상기 제1 희생 패턴은 상기 제3 하드마스크층과 동일한 물질로 이루어지는 것을 특징으로 하는 하드마스크 패턴 형성 방법. And the first sacrificial pattern is made of the same material as the third hard mask layer. 제1항에 있어서, The method of claim 1, 상기 제1 하드마스크층, 제2 하드마스크층 및 제3 하드마스크층은 각각 서로 다른 물질로 이루어지고, The first hard mask layer, the second hard mask layer and the third hard mask layer are each made of a different material, 상기 제1 하드마스크층, 제2 하드마스크층 및 제3 하드마스크층은 각각 산화막, 질화막 및 폴리실리콘막으로 이루어지는 군에서 선택되는 어느 하나의 막으로 이루어지는 것을 특징으로 하는 하드마스크 패턴 형성 방법. And the first hard mask layer, the second hard mask layer, and the third hard mask layer are each formed of one film selected from the group consisting of an oxide film, a nitride film, and a polysilicon film. 제1항에 있어서, The method of claim 1, 상기 제1 희생 패턴을 형성하는 단계는 Forming the first sacrificial pattern 상기 식각저지층 위에 제1 희생막을 형성하는 단계와, Forming a first sacrificial layer on the etch stop layer; 포토리소그래피 공정에 의해 상기 제1 희생막을 패터닝하여 상기 식각저지층 위에 제1 희생 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 하드마스크 패턴 형성 방법. And patterning the first sacrificial layer by a photolithography process to form a first sacrificial pattern on the etch stop layer. 삭제delete 제6항에 있어서, The method according to claim 6, 상기 복수의 제4 하드마스크 패턴을 형성하는 단계는 상기 제4 하드마스크층중 일부를 제거하여 상기 제1 희생 패턴의 상면과 상기 식각저지층의 상면을 노출시키는 동시에 상기 제4 하드마스크층중 상기 제1 희생 패턴의 측벽을 덮는 부분으로 이루어지는 복수의 상기 제4 하드마스크 패턴을 형성하는 단계를 포함하고, The forming of the plurality of fourth hard mask patterns may include removing a portion of the fourth hard mask layer to expose a top surface of the first sacrificial pattern and a top surface of the etch stop layer, and simultaneously Forming a plurality of said fourth hard mask patterns comprising a portion covering sidewalls of a first sacrificial pattern; 상기 제4 하드마스크 패턴이 형성된 후, 상기 제4 하드마스크 패턴 및 상기 식각저지층의 노출된 부분을 식각 마스크로 하여 상기 제1 희생 패턴을 제거하는 단계와, After the fourth hard mask pattern is formed, removing the first sacrificial pattern using an exposed portion of the fourth hard mask pattern and the etch stop layer as an etch mask; 상기 제1 희생 패턴이 제거된 후, 상기 복수의 제1 부분 사이로 노출되는 상기 식각저지층을 제거하여 상기 제3 하드마스크층을 노출시키는 단계를 더 포함하는 것을 특징으로 하는 하드마스크 패턴 형성 방법. And removing the etch stop layer exposed between the plurality of first portions after the first sacrificial pattern is removed to expose the third hard mask layer. 삭제delete 제1항에 있어서, The method of claim 1, 상기 제1 희생 패턴을 형성하는 단계는 Forming the first sacrificial pattern 상기 식각저지층 위에 제1 희생막을 형성하는 단계와, Forming a first sacrificial layer on the etch stop layer; 상기 제1 희생막 및 상기 식각저지층을 각각 패터닝하여 상기 제3 하드마스크층을 노출시키는 복수의 제1 희생 패턴 및 복수의 식각저지층 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 하드마스크 패턴 형성 방법. And patterning the first sacrificial layer and the etch stop layer, respectively, to form a plurality of first sacrificial patterns and a plurality of etch stop layer patterns exposing the third hard mask layer. Forming method. 제10항에 있어서, The method of claim 10, 상기 제4 하드마스크층은 상기 제1 희생 패턴의 상면 및 측벽과, 상기 복수의 제1 희생 패턴 사이에서 노출되는 상기 제3 하드마스크층의 상면을 각각 균일한 두께로 덮도록 형성되고, The fourth hard mask layer is formed to cover the top and sidewalls of the first sacrificial pattern and the top surface of the third hard mask layer exposed between the plurality of first sacrificial patterns, respectively, with a uniform thickness. 상기 제4 하드마스크층을 형성하는 단계에서는 상기 복수의 제1 희생 패턴중 상호 인접한 2 개의 제1 희생 패턴 사이에서 상기 제4 하드마스크층의 상면에 소정 폭을 가지는 리세스(recess)가 형성되도록 상기 제4 하드마스크층의 두께를 조절하는 것을 특징으로 하는 하드마스크 패턴 형성 방법. In the forming of the fourth hard mask layer, a recess having a predetermined width is formed on an upper surface of the fourth hard mask layer between two adjacent first sacrificial patterns among the plurality of first sacrificial patterns. The method of forming a hard mask pattern, characterized in that for adjusting the thickness of the fourth hard mask layer. 제11항에 있어서, 12. The method of claim 11, 상기 복수의 제4 하드마스크 패턴을 형성하는 단계는 Forming the plurality of fourth hard mask patterns 상기 제4 하드마스크층의 상면에 형성된 상기 리세스 내부를 완전히 채우도록 상기 제4 하드마스크층 위에 제2 희생막을 형성하는 단계와, Forming a second sacrificial layer on the fourth hard mask layer to completely fill the recesses formed on the upper surface of the fourth hard mask layer; 상기 제1 희생 패턴 위에서 제4 하드마스크층이 노출될 때 까지 상기 제2 희생막의 일부를 제거하여 복수의 제2 희생 패턴을 형성하는 단계와, Removing a portion of the second sacrificial layer to form a plurality of second sacrificial patterns until the fourth hard mask layer is exposed on the first sacrificial pattern; 상기 제4 하드마스크층의 일부를 제거하여 상기 제1 희생 패턴의 상면을 노출시키는 단계와, Removing a portion of the fourth hard mask layer to expose a top surface of the first sacrificial pattern; 상기 제1 희생 패턴 및 제2 희생 패턴을 제거하여 상기 제4 하드마스크층중 상기 제2 희생 패턴과 상기 제3 하드마스크층과의 사이에 있던 저면부를 노출시키는 동시에 상기 식각저지층 패턴을 노출시키는 단계와, Removing the first sacrificial pattern and the second sacrificial pattern to expose a bottom portion of the fourth hard mask layer between the second sacrificial pattern and the third hard mask layer and to expose the etch stop layer pattern. Steps, 상기 제4 하드마스크층을 에치백하면서 상기 제4 하드마스크층의 저면부와 상기 식각저지층 패턴을 제거하여 상기 제3 하드마스크층의 상면을 노출시키는 상기 복수의 제4 하드마스크 패턴을 형성하는 단계를 포함하는 것을 특징으로 하는 하드마스크 패턴 형성 방법. Forming the plurality of fourth hard mask patterns exposing the top surface of the third hard mask layer by removing the bottom portion of the fourth hard mask layer and the etch stop layer pattern while etching back the fourth hard mask layer. Hardmask pattern forming method comprising the step. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 반도체 기판상에 식각 특성이 서로 다른 물질로 이루어지는 제1 하드마스크층, 제2 하드마스크층, 및 제3 하드마스크층을 차례로 형성하는 단계와, Sequentially forming a first hard mask layer, a second hard mask layer, and a third hard mask layer made of a material having different etching characteristics on the semiconductor substrate; 상기 제3 하드마스크층 위에 식각저지층을 형성하는 단계와, Forming an etch stop layer on the third hard mask layer; 상기 식각저지층 위에 제1 피치(pitch)로 반복 형성되는 복수의 제1 희생 패턴을 형성하는 단계와, Forming a plurality of first sacrificial patterns repeatedly formed at a first pitch on the etch stop layer; 상기 제1 희생 패턴의 양 측벽을 균일한 두께로 덮는 제4 하드마스크층을 형성하는 단계와, Forming a fourth hard mask layer covering both sidewalls of the first sacrificial pattern with a uniform thickness; 상기 제4 하드마스크층의 일부를 제거하여 상기 제1 피치의 1/2인 제2 피치로 반복 형성되는 복수의 제4 하드마스크 패턴을 형성하는 단계와, Removing a portion of the fourth hard mask layer to form a plurality of fourth hard mask patterns repeatedly formed at a second pitch that is 1/2 of the first pitch; 상기 제4 하드마스크 패턴을 식각 마스크로 하여 상기 제3 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제3 하드마스크 패턴을 형성하는 단계와, Forming a plurality of third hard mask patterns repeatedly formed at the second pitch by etching the third hard mask layer using the fourth hard mask pattern as an etch mask; 상기 제3 하드마스크 패턴을 식각 마스크로 하여 상기 제2 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제2 하드마스크 패턴을 형성하는 단계와, Forming a plurality of second hard mask patterns repeatedly formed at the second pitch by etching the second hard mask layer using the third hard mask pattern as an etch mask; 상기 제2 하드마스크 패턴을 식각 마스크로 하여 상기 제1 하드마스크층을 식각하여 상기 제2 피치로 반복 형성되는 복수의 제1 하드마스크 패턴을 형성하는 단계와, Forming a plurality of first hard mask patterns repeatedly formed at the second pitch by etching the first hard mask layer using the second hard mask pattern as an etching mask; 상기 복수의 제1 하드마스크 패턴을 식각 마스크로 이용하여 상기 반도체 기판을 식각하여 상기 반도체 기판에 상기 제2 피치로 반복 형성되는 복수의 트렌치를 형성하는 단계와, Etching the semiconductor substrate using the plurality of first hard mask patterns as an etch mask to form a plurality of trenches repeatedly formed at the second pitch on the semiconductor substrate; 상기 트렌치 내부를 절연막으로 채워 소자분리 영역을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 미세 패턴 형성 방법. Forming a device isolation region by filling an inside of the trench with an insulating film. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020070068170A 2006-03-06 2007-07-06 Method of forming fine pitch hardmask and method of fine patterns of semiconductor device KR101348280B1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020070068170A KR101348280B1 (en) 2007-07-06 2007-07-06 Method of forming fine pitch hardmask and method of fine patterns of semiconductor device
US11/978,719 US7998874B2 (en) 2006-03-06 2007-10-30 Method for forming hard mask patterns having a fine pitch and method for forming a semiconductor device using the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020070068170A KR101348280B1 (en) 2007-07-06 2007-07-06 Method of forming fine pitch hardmask and method of fine patterns of semiconductor device

Publications (2)

Publication Number Publication Date
KR20090004172A KR20090004172A (en) 2009-01-12
KR101348280B1 true KR101348280B1 (en) 2014-01-10

Family

ID=40486683

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020070068170A KR101348280B1 (en) 2006-03-06 2007-07-06 Method of forming fine pitch hardmask and method of fine patterns of semiconductor device

Country Status (1)

Country Link
KR (1) KR101348280B1 (en)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100946080B1 (en) * 2007-12-28 2010-03-10 주식회사 하이닉스반도체 Method for forming a pattern of semiconductor device
KR101660433B1 (en) 2010-07-29 2016-09-27 삼성전자 주식회사 Semiconductor devices having vertical channel transistor
US9633907B2 (en) 2014-05-28 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned nanowire formation using double patterning
KR102436100B1 (en) 2015-09-24 2022-08-24 도쿄엘렉트론가부시키가이샤 Method of Forming Etching Masks for Sub-Resolution Substrate Patterning
KR102311186B1 (en) * 2015-11-19 2021-10-08 삼성전자주식회사 Method of forming patterns of semiconductor device
US11521856B2 (en) 2020-09-30 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor patterning and resulting structures

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3757213B2 (en) 2003-03-18 2006-03-22 富士通株式会社 Manufacturing method of semiconductor device
KR100734464B1 (en) 2006-07-11 2007-07-03 삼성전자주식회사 Method of forming fine pitch hardmask and method of fine patterns of semiconductor device

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3757213B2 (en) 2003-03-18 2006-03-22 富士通株式会社 Manufacturing method of semiconductor device
KR100734464B1 (en) 2006-07-11 2007-07-03 삼성전자주식회사 Method of forming fine pitch hardmask and method of fine patterns of semiconductor device

Also Published As

Publication number Publication date
KR20090004172A (en) 2009-01-12

Similar Documents

Publication Publication Date Title
KR100734464B1 (en) Method of forming fine pitch hardmask and method of fine patterns of semiconductor device
KR100752674B1 (en) Method of forming fine pitch hardmask and method of fine patterns of semiconductor device
KR100640640B1 (en) Method of forming fine pattern of semiconductor device using fine pitch hardmask
KR100790999B1 (en) Method of forming fine patterns of semiconductor device using double patterning process
KR101170284B1 (en) Methods of isolating array features during pitch doubling processes and semiconductor device structures having isolated array features
US6429123B1 (en) Method of manufacturing buried metal lines having ultra fine features
KR100640639B1 (en) Semiconductor device having fine contact and method of manufacturing the same
JP5492381B2 (en) Method for forming fine pattern of semiconductor device using double patterning process
JP4215787B2 (en) Semiconductor integrated circuit device and manufacturing method thereof
US8008163B2 (en) Method of fabricating semiconductor device
KR101348280B1 (en) Method of forming fine pitch hardmask and method of fine patterns of semiconductor device
CN111696859B (en) Ultra narrow channel patterning using plasma etching
KR20090076743A (en) Method of forming fine patterns of semiconductor device
KR20070052023A (en) Method for fabricating the same of semiconductor device with recess gate of flask shape
KR100843239B1 (en) Method of forming fine patterns of semiconductor device using double patterning process
US20060154439A1 (en) Method of fabricating semiconductor device
JP2008004881A (en) Method of manufacturing element isolation structure section
KR100672765B1 (en) Method for fabricating semiconductor device
KR100518605B1 (en) Method of fabricating integrated circuit device having recessed channel transistors
KR100764452B1 (en) Semiconductor device and method of manufacturing the semiconductor device
KR20070062735A (en) Method for fabricating the same of semiconductor device with isolation
KR100652361B1 (en) Method for fabricating a semiconductor device using self aligned contact
KR100575616B1 (en) Method for forming borderless contact hole in a semiconductor device
KR20080060303A (en) Method for manufacturing semiconductor device
KR20050019616A (en) Method of fabricating a transistor having recessed channel in integrated circuit device and the transistor having recessed channel in integrated circuit device fabricated by the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20191129

Year of fee payment: 7