KR101270499B1 - 나노 임프린트를 이용한 패턴 형성 방법 - Google Patents

나노 임프린트를 이용한 패턴 형성 방법 Download PDF

Info

Publication number
KR101270499B1
KR101270499B1 KR1020100115397A KR20100115397A KR101270499B1 KR 101270499 B1 KR101270499 B1 KR 101270499B1 KR 1020100115397 A KR1020100115397 A KR 1020100115397A KR 20100115397 A KR20100115397 A KR 20100115397A KR 101270499 B1 KR101270499 B1 KR 101270499B1
Authority
KR
South Korea
Prior art keywords
thin film
metal
oxide thin
metal oxide
film pattern
Prior art date
Application number
KR1020100115397A
Other languages
English (en)
Other versions
KR20120054153A (ko
Inventor
박형호
강호관
이종근
고철기
장민철
Original Assignee
(재)한국나노기술원
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by (재)한국나노기술원 filed Critical (재)한국나노기술원
Priority to KR1020100115397A priority Critical patent/KR101270499B1/ko
Publication of KR20120054153A publication Critical patent/KR20120054153A/ko
Application granted granted Critical
Publication of KR101270499B1 publication Critical patent/KR101270499B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0002Lithographic processes using patterning methods other than those involving the exposure to radiation, e.g. by stamping
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/80Etching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/016Diazonium salts or compounds
    • G03F7/0163Non ionic diazonium compounds, e.g. diazosulphonates; Precursors thereof, e.g. triazenes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/20Exposure; Apparatus therefor
    • G03F7/2045Exposure; Apparatus therefor using originals with apertures, e.g. stencil exposure masks
    • G03F7/2047Exposure with radiation other than visible light or UV light, e.g. shadow printing, proximity printing
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70425Imaging strategies, e.g. for increasing throughput or resolution, printing product fields larger than the image field or compensating lithography- or non-lithography errors, e.g. proximity correction, mix-and-match, stitching or double patterning
    • G03F7/7045Hybrid exposures, i.e. multiple exposures of the same area using different types of exposure apparatus, e.g. combining projection, proximity, direct write, interferometric, UV, x-ray or particle beam
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/708Construction of apparatus, e.g. environment aspects, hygiene aspects or materials
    • G03F7/70858Environment aspects, e.g. pressure of beam-path gas, temperature
    • G03F7/70866Environment aspects, e.g. pressure of beam-path gas, temperature of mask or workpiece
    • G03F7/70875Temperature, e.g. temperature control of masks or workpieces via control of stage temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks

Landscapes

  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Health & Medical Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Toxicology (AREA)
  • Power Engineering (AREA)
  • Atmospheric Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Environmental & Geological Engineering (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Shaping Of Tube Ends By Bending Or Straightening (AREA)

Abstract

하나의 패턴 안에서 선폭이 변화하는 모양으로 패턴을 형성하는 보다 간단한 방법을 제공한다. 본 발명에 따른 패턴 형성 방법에서는, 금속 원소에 빛과 열 중 적어도 어느 하나에 의하여 분해 가능한 유기물 리간드가 결합하여 이루어진 금속-유기물 전구체 조성물을 피식각층에 코팅한다. 요철 구조가 패턴된 몰드를 준비한 다음, 상기 몰드로 상기 금속-유기물 전구체 조성물을 가압한다. 상기 가압된 금속-유기물 전구체 조성물에 가열 또는 자외선 조사 또는 가열과 동시에 자외선 조사하여 경화된 제1 금속 산화 박막 패턴을 형성한다. 상기 몰드를 상기 제1 금속 산화 박막 패턴으로부터 제거한 후, 상기 제1 금속 산화 박막 패턴을 식각 마스크로 이용하여 상기 피식각층 일부를 식각한다. 상기 제1 금속 산화 박막 패턴을 가열하거나 마이크로웨이브(Microwave), X-선, 감마선 또는 자외선을 조사하거나 플라즈마 처리하여 상기 제1 금속 산화 박막 패턴 크기를 변경시켜 제2 금속 산화 박막 패턴을 형성한다. 상기 제2 금속 산화 박막 패턴을 식각 마스크로 이용하여 상기 피식각층을 식각한다.

Description

나노 임프린트를 이용한 패턴 형성 방법 {Method of forming patterns using nanoimprint method}
본 발명은 나노 임프린트에 관한 것으로, 보다 상세하게는, 나노 임프린트를 이용해 다양한 형상의 패턴을 형성하는 방법에 관한 것이다.
일반적으로 반도체 및 유기물 소자는 박막 공정, 사진(Lithography) 공정, 식각 공정 등과 같은 다수의 단위 공정들을 거쳐서 제조된다. 소자에 대하여 요구되는 특성이 다양해짐에 따라 이러한 소자에 포함되는 박막의 패턴도 다양해지고 있다. 현재 박막 혹은 기판을 다양한 형태로 식각하여 패턴을 형성하기 위해서는 식각 마스크로 레지스트를 두 번 이상 사용하여 다단계 방식으로 식각하는 방법이 이용되고 있다.
도 1은 종래 다단계 방식으로 패턴을 형성하는 방법을 설명하기 위한 공정별 단면도이다.
도 1(a)를 참조하여 식각하려는 박막 혹은 기판(이하 피식각층)(10) 상에 제1 레지스트(20)를 도포한다. 다음, 적당한 마스크(미도시)를 사용하여 제1 레지스트(20)를 노광한 후 현상함으로써 도 1(b)와 같은 제1 선폭(a1)을 갖는 제1 레지스트 패턴(20a)을 형성한다. 이러한 제1 레지스트 패턴(20a)을 식각 마스크로 하여 피식각층(10)을 소정 깊이 식각하여 제1 선폭(a1)을 갖는 제1 패턴(10a)을 형성한 다음, 도 1(c)에서와 같이 제1 레지스트 패턴(20a)을 제거한다.
1차 패터닝된 피식각층(10) 상에 도 1(d)를 참조하여 제2 레지스트(30)를 도포한다. 다음, 적당한 마스크(미도시)를 사용하여 제2 레지스트(30)를 노광한 후 현상함으로써 도 1(e)와 같은 제1 선폭(a1)보다 작은 제2 선폭(a2)을 갖는 제2 레지스트 패턴(30a)을 형성한다. 이 때 제2 레지스트 패턴(30a)을 원하는 위치에 제대로 형성하기 위해서는 마스크 정렬이 잘 이루어져야 한다. 이러한 제2 레지스트 패턴(30a)을 식각 마스크로 하여 피식각층(10)을 다시 소정 깊이 식각함으로써 하나의 패턴 안에 선폭이 제1 선폭(a1)부터 제2 선폭(a2)까지 변화하는 형상으로 제2 패턴(10b)을 형성한 다음, 도 1(f)에서와 같이 제1 레지스트 패턴(20a)을 제거한다.
이와 같이 종래에는 하나의 패턴(10b) 안에 선폭이나 크기가 다른 모양으로 형성하려면 사진 공정과 식각 공정이 각각 두 번 이상씩 필요하기 때문에 공정이 매우 복잡한 문제가 있다. 또한 공정간 레지스트 노광을 위한 정밀한 마스크 정렬이 요구되어 번거롭고 까다롭다.
본 발명이 해결하고자 하는 기술적 과제는 하나의 패턴 안에서 선폭이 변화하는 모양으로 패턴을 형성하는 보다 간단한 방법을 제공하는 것이다.
상기의 기술적 과제를 해결하기 위한, 본 발명에 따른 패턴 형성 방법에서는, 금속 원소에 빛과 열 중 적어도 어느 하나에 의하여 분해 가능한 유기물 리간드가 결합하여 이루어진 금속-유기물 전구체 조성물을 피식각층에 코팅한다. 요철 구조가 패턴된 몰드를 준비한 다음, 상기 몰드로 상기 금속-유기물 전구체 조성물을 가압한다. 상기 가압된 금속-유기물 전구체 조성물에 가열 또는 자외선 조사 또는 가열과 동시에 자외선 조사하여 경화된 제1 금속 산화 박막 패턴을 형성한다. 상기 몰드를 상기 제1 금속 산화 박막 패턴으로부터 제거한 후, 상기 제1 금속 산화 박막 패턴을 식각 마스크로 이용하여 상기 피식각층 일부를 식각한다. 상기 제1 금속 산화 박막 패턴을 가열하거나 마이크로웨이브(Microwave), X-선, 감마선 또는 자외선을 조사하거나 플라즈마 처리하여 상기 제1 금속 산화 박막 패턴 크기를 변경시켜 제2 금속 산화 박막 패턴을 형성한다. 상기 제2 금속 산화 박막 패턴을 식각 마스크로 이용하여 상기 피식각층을 식각한다.
상기 피식각층은 무기 물질 또는 투명 폴리머일 수 있으며, 기판 또는 기판 상에 형성된 박막일 수 있다. 상기 피식각층은 실리콘, 질화갈륨, n형 질화갈륨, p형 질화갈륨, 질산화갈륨인, InAlGaN, 갈륨비소, 알루미늄갈륨비소, 인듐인, 갈륨인, CIGS(Copper Indium Galium Selenide), 텔루르화카드뮴, 황화카드뮴, 황화구리, 텔루르화아연, 황화납, 카파인디움다이셀레나이드, 갈륨안티모니, 갈륨비소인, 산화규소, 사파이어, 석영, 유리와 같은 무기 물질, 또는 폴리카보네이트, 폴리에틸렌나프탈레이트, 폴리노르보넨, 폴리아크릴레이드, 폴리비닐알콜, 폴리이미드, 폴리에틸렌테레프탈레이트, 폴리에테르셀폰 중 어느 하나일 수 있다.
상기 금속-유기물 전구체 조성물 가열시 가열 온도는 30℃ 내지 300℃이며, 가열 시간은 1초 내지 5시간일 수 있고, 상기 금속-유기물 전구체 조성물에 자외선 조사시 조사 시간은 1초 내지 5시간일 수 있다. 상기 제1 금속 산화 박막 패턴 가열시 가열 온도는 50℃ 내지 800℃이며, 가열 시간은 15초 내지 5시간일 수 있고, 상기 제1 금속 산화 박막 패턴에 자외선 조사시 조사 시간은 1초 내지 5시간일 수 있다.
상기 피식각층의 식각은 Cl2, HBr, HCl, SF6, CF4, CHF3, NF3 및 CFCs(chlorofluorocarbons)로 이루어지는 군에서 선택된 적어도 어느 하나의 가스를 사용한 건식 식각일 수 있으며, 상기 가스에 N2, Ar 및 He 중에서 선택되는 적어도 하나의 불활성 가스를 더 포함시켜 사용할 수 있다.
상기 금속-유기물 전구체를 구성하는 금속 원소는 리튬(Li), 베릴륨(Be), 붕소(B), 나트륨(Na), 마그네슘(Mg), 알루미늄(Al), 규소(Si), 인듐(In), 황(S), 칼륨(K), 칼슘(Ca), 스칸듐(Sc), 타이타늄(Ti), 바나듐(V), 크로뮴(Cr), 망간(Mn), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 아연(Zn), 갈륨(Ga), 저마늄(Ge), 비소(As), 셀레늄(Se), 루비듐(Rb), 스트론튬(Sr), 이트륨(Y), 지르코늄(Zr), 나이오븀(Nb), 몰리브덴(Mo), 루테늄(Ru), 로듐(Rh), 인듐(In), 주석(Sn), 텔루륨(Te), 안티몬(Sb), 바륨(Ba), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 프로메튬(Pm), 가돌리늄(Gd), 하프늄(Hf), 탄탈륨(Ta), 텅스텐(W), 이리듐(Ir), 납(Pb), 비스무스(Bi), 폴로늄(Po) 및 우라늄(U)으로 이루어지는 군에서 선택되는 적어도 어느 하나일 수 있다.
상기 금속-유기물 전구체를 구성하는 유기물 리간드는 에틸헥사노에이트(ethylhexanoate), 아세틸아세토네이트(acetylacetonate), 디알킬디티오카바메이트(dialkyldithiocarbamates), 카르복실산(carboxylic acids), 카르복실레이트(carboxylates), 피리딘(pyridine), 디아민(diamines), 아르신(arsines), 디아르신(diarsines), 포스핀(phosphines), 디포스핀(diphosphines), 부톡사이드(butoxide), 이소프로팍사이드(isopropoxide), 에톡사이드(ethoxide), 클로라이드(chloride), 아세테이트(acetate), 카르보닐(carbonyl), 카르보네이트(carbonate), 하이드록사이드(hydroxide), 아레네스(arenas), 베타-디케토네이트(beta-diketonate), 2-니트로벤잘디하이드(2-nitrobenzaldehyde), 아세테이트 디하이드레이트(acetate dihydrate) 및 이들의 혼합물을 포함하는 군에서 선택되는 것일 수 있다.
상기 금속-유기물 전구체 조성물은 용매로서 헥산, 4-메틸-2-펜타논(4-methyl-2-pentanone), 케톤, 메틸 이소부틸 케톤, 메틸 에틸 케톤, 물, 메탄올, 에탄올, 프로판올, 이소프로판올, 부탄올, 펜탄올, 헥산올, 디메틸설폭사이드(dimethyl sulfoxide, DMSO), 디메틸포름아마이드(dimethylformamide, DMF), N-메틸피롤리돈, 아세톤, 아세토니트릴, 테트라하이드로퓨란(tetrahydrofuran, THF), 테칸, 노난, 옥탄, 헵탄, 펜탄 및 2-메톡시에탄올(e-methoxyethanol)로 이루어지는 군에서 선택된 적어도 어느 하나를 포함할 수 있다.
본 발명에 따르면, 금속-유기물 전구체 조성물에 대하여 몰드를 가압하고 가열, 자외선 조사 또는 가열과 동시에 자외선 조사하는 임프린트 방법에 의하여 사진 공정에 비해 손쉽게 패턴 전사를 할 수 있다. 금속-유기물 전구체 조성물로부터 피식각층 상에 직접 패턴된 금속 산화 박막은 피식각층 식각을 위한 식각 마스크로 사용할 수 있으며 열처리 또는 마이크로웨이브, X-선, 감마선 또는 자외선을 조사하거나 플라즈마 처리와 같은 처리를 통해 금속 산화 박막 패턴의 크기를 변화시킬 수 있다. 따라서, 패턴 크기가 변화된 직접 패턴 금속 산화 박막을 2차적인 식각 마스크로 사용하면 다양한 형태로 피식각층을 형성할 수 있다. 종래에는 2번 이상의 사진 공정과 식각 공정에 의해 형성할 수 있는 모양의 패턴도 본 발명에 따르면 한 번의 임프린트 공정에 의해 형성할 수 있게 되므로 공정 단순화가 가능하다. 또한 본 발명에 따르면 종래 공정에서 요구되는 마스크 정렬 등 까다로운 공정 단계가 필요하지 않다.
본 발명에 따르면 다양한 형태의 패턴을 손쉽게 저비용으로 형성할 수 있게 된다. 따라서, 디스플레이(LED, LCD, OLED 등), MEMS 응용소자(바이오소자, 바이오칩, 세포칩 및 DNA 칩), 나노 메모리 디바이스(ReRAM 및 플래시 메모리) 등 소자 분야에 이용되어 다양한 패턴 및 그에 따른 기능을 실현할 수 있게 된다.
도 1은 종래 다단계 방식으로 패턴을 형성하는 방법을 설명하기 위한 공정별 단면도이다.
도 2는 본 발명에 따른 패턴 형성 방법의 순서도이다.
도 3은 도 2의 순서도에 따른 공정도이다.
이하에서 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예에 대해 상세하게 설명한다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 것이며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하며, 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이다. 도면에서의 요소의 형상 등은 보다 명확한 설명을 강조하기 위해서 과장되어진 것이며, 도면 상에서 동일한 부호로 표시된 요소는 동일한 요소를 의미한다.
도 2는 본 발명에 따른 패턴 형성 방법의 순서도이고, 도 3은 그에 따른 공정도이다.
먼저, 도 2의 단계 S1을 참조하여 도 3의 (a)와 같이 피식각층(110) 위에 금속-유기물 전구체 조성물(120)을 코팅한다.
여기서, 피식각층(110)은 실리콘, 질화갈륨, n형 질화갈륨, p형 질화갈륨, 질산화갈륨인, InAlGaN, 갈륨비소, 알루미늄갈륨비소, 인듐인, 갈륨인, CIGS(Copper Indium Galium Selenide), 텔루르화카드뮴, 황화카드뮴, 황화구리, 텔루르화아연, 황화납, 카파인디움다이셀레나이드, 갈륨안티모니, 갈륨비소인, 산화규소, 사파이어, 석영, 유리와 같은 무기 물질, 또는 폴리카보네이트, 폴리에틸렌나프탈레이트, 폴리노르보넨, 폴리아크릴레이드, 폴리비닐알콜, 폴리이미드, 폴리에틸렌테레프탈레이트, 폴리에테르셀폰과 같은 투명 폴리머로 이루어질 수 있으며, 소자를 형성하기 위한 이러한 재질의 기판 혹은 기판 상에 형성된 이러한 재질의 박막일 수 있다.
금속-유기물 전구체 조성물(120)은 금속 원소에 빛과 열 중 적어도 어느 하나에 의하여 분해 가능한 유기물 리간드가 결합하여 이루어진 것이다. 이러한 금속-유기물 전구체 조성물(120)을 제조하기 위하여 금속 원소에 빛과 열 중 적어도 어느 하나에 의하여 분해 가능한 유기물 리간드가 결합하여 이루어진 금속-유기물 전구체(precursor)를 먼저 합성한다.
상기 금속-유기물 전구체를 구성하는 금속 원소는 리튬(Li), 베릴륨(Be), 붕소(B), 나트륨(Na), 마그네슘(Mg), 알루미늄(Al), 규소(Si), 인(P), 황(S), 칼륨(K), 칼슘(Ca), 스칸듐(Sc), 타이타늄(Ti), 바나듐(V), 크로뮴(Cr), 망간(Mn), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 아연(Zn), 갈륨(Ga), 저마늄(Ge), 비소(As), 셀레늄(Se), 루비듐(Rb), 스트론튬(Sr), 이트륨(Y), 지르코늄(Zr), 나이오븀(Nb), 몰리브덴(Mo), 루테늄(Ru), 로듐(Rh), 인듐(In), 주석(Sn), 텔루륨(Te), 안티몬(Sb), 바륨(Ba), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 프로메튬(Pm), 가돌리늄(Gd), 하프늄(Hf), 탄탈륨(Ta), 텅스텐(W), 이리듐(Ir), 납(Pb), 비스무스(Bi), 폴로늄(Po) 및 우라늄(U)으로 이루어진 군에서 선택되는 적어도 어느 하나의 금속으로 이루어질 수 있다.
상기 금속-유기물 전구체는 약 5 내지 95중량%의 유기물 리간드와 전체가 100중량%가 되도록 첨가되는 금속 원소를 포함하며, 상기 금속 원소가 유기물 리간드와 결합하여 금속-유기물 전구체가 제조될 수 있다. 그리고 상기 금속-유기물 전구체는 용매에 용해되어 금속-유기물 전구체 조성물로 제조될 수 있다. 이 때, 용매는 전체 금속-유기물 전구체 조성물의 총 함량에 대하여 약 5 내지 95 중량%로 포함될 수 있다.
상기 유기물 리간드는 에틸헥사노에이트, 아세틸아세토네이트, 디알킬디티오카바메이트, 카르복실산, 카르복실레이트, 피리딘, 디아민, 아르신, 디아르신, 포스핀, 디포스핀, 부톡사이드, 이소프로팍사이드, 에톡사이드, 클로라이드, 아세테이트, 카르보닐, 카르보네이트, 하이드록사이드, 아렌, 베타-디케토네이트, 2-니트로벤잘디하이드, 아세테이트 디하이드레이트 및 이들의 혼합물을 포함하는 군에서 선택된 것으로 사용될 수 있다.
그리고 상기 용매는 헥산, 4-메틸-2-펜타논, 케톤, 메틸 이소부틸 케톤, 메틸 에틸 케톤, 물, 메탄올, 에탄올, 프로판올, 이소프로판올, 부탄올, 펜탄올, 헥산올, 디메틸설폭사이드, 디메틸포름아마이드, N-메틸피롤리돈, 아세톤, 아세토니트릴, 테트라하이드로퓨란, 테칸, 노난, 옥탄, 헵탄, 펜탄 및 2-메톡시에탄올을 포함하는 군에서 선택된 적어도 어느 하나를 사용될 수 있다.
이와 같이 제조되는 금속-유기물 전구체 조성물(120)은 가열 또는 자외선 조사 또는 가열과 동시에 자외선 조사하면 유기물의 분해가 이루어지며 이에 따라 금속 원소는 대기 중의 산소 또는 분해된 유기물의 산소와 반응하여 금속 산화 박막을 형성할 수 있게 된다. 금속-유기물 전구체 조성물(120)은 스핀 코팅(spin coating), 딥코팅(dip coating), 스프레이 코팅(spray coating), 용액 적하(dropping), 디스펜싱(dispensing)의 방법 중에서 선택하여 상기 피식각층(110)에 코팅될 수 있다. 피식각층(110) 상에 코팅된 금속-유기물 전구체 조성물(120)은 잔류 용매를 제거하기 위하여 가열 건조될 수 있다.
다음으로, 도 2의 단계 S2를 참조하여 몰드를 준비한다. 도 3의 (b)에 도시한 것은 요철 구조가 패턴된 몰드(125)이다. 본 실시예에서 몰드(125)는 제1 선폭(a1)을 가진 홀(126)이 패턴되어 있는 것이다. 최종적으로 패턴하고자 하는 형상에 따라 적절한 몰드를 선택하거나 제조하여 준비할 수 있다. 본 실시예에서 예로 든 홀 패턴의 몰드를 사용하면 최종적으로는 홀에 대응되는 위치에 독립적인 섬(island) 형상의 패턴이 피식각층(110) 상에 형성된다. 반대로 필라(pillar) 패턴의 몰드를 사용하면 최종적으로는 필라에 대응되는 위치에 오목한 형상의 패턴이 피식각층(110) 상에 형성된다.
몰드(125)는 통상의 제조 방법으로 제조된 실리콘 또는 석영 재질의 마스터 몰드일 수 있으며, 이 마스터 몰드를 복제한 유기물 몰드일 수도 있다. 따라서, 몰드(125)의 주된 재질은 실리콘, 석영 또는 유기물일 수 있으며, 유기물의 일례로 폴리테트라플루오로에틸렌, 폴리우레탄 아크릴레이트, 에틸렌 테트라플루오로에틸렌, 폴리디메틸실록산, 퍼플로로알킬 아크릴레이트 등을 들 수 있다.
다음, 도 2의 단계 S3에 따라, 금속-유기물 전구체 조성물(120)로부터 제1 금속 산화 박막 패턴(121)을 형성한다. 이 과정은 도 3(c)에 도시되어 있다. 도 3의 (c)를 참조하면, 먼저 몰드(125)로 금속-유기물 전구체 조성물(120)을 가압한다. 몰드(125)를 금속-유기물 전구체 조성물(120)에 가압할 때, 1 내지 100 바아(bar)의 압력으로 가압하거나 진공 하에서 가압할 수 있다. 다음으로, 몰드(125)로 가압된 금속-유기물 전구체 조성물(120)에 가열을 하거나 자외선을 조사하거나 가열함과 동시에 자외선을 조사(E)하여 금속-유기물 전구체 조성물(120)을 경화시킨다. 그에 따라 제1 금속 산화 박막 패턴(121)을 형성할 수 있다.
여기서, 자외선을 조사하거나 가열함과 동시에 자외선을 조사하기 위해서는 몰드(125)가 투명 재질로 마련되는 것이 바람직하며, 가열만을 하는 경우에는 몰드(125)가 불투명 재질로 마련되어도 무방하다. 금속-유기물 전구체 조성물(120)을 가열시에는, 히터 또는 로(furnace) 또는 전기오븐 등의 소정의 가열 수단을 이용하여 30℃ ~ 300℃의 온도로 가열한다. 여기서, 가열 시간은 1초 ~ 5시간의 범위 내에서 시간을 조절할 수 있다. 가압된 금속-유기물 전구체 조성물(120)에 가열을 하게 되면, 금속에 붙어 있는 유기물들이 열분해 반응이 일어나게 되어 금속만 남게 되고, 대기 중에 있는 산소와 결합하여 제1 금속 산화 박막 패턴(121)을 형성하게 된다. 이 때, 상기 공정은 제1 금속 산화 박막 패턴(121) 형성시 산소 분위기를 조성하기 위해, 소정의 챔버 내에서 산소 분위기를 조성한 후 소정의 가열 수단을 통해 가열할 수도 있다.
한편, 가압된 금속-유기물 전구체 조성물(120)에 자외선을 조사하거나 가열함과 동시에 자외선을 조사하는 경우에는, 자외선 조사를 위한 노광 장치로서 KrF(248 nm), ArF(193 nm), F2(157 nm)로 구성된 레이저계 노광 장치 또는 G-line(436 nm), I-line(365 nm)으로 구성된 램프계 노광 장치를 이용할 수 있다. 여기서, 자외선 조사 시간은 1초 내지 5시간의 범위 내에서 시간을 조절하여 조사할 수 있으며, 이러한 자외선 조사는 상온에서 수행될 수 있다. 가압된 금속-유기물 전구체 조성물(120)에 자외선을 조사하게 되면, 금속에 붙어 있는 유기물들이 광분해 반응이 일어나게 되어 금속만 남게 되고, 대기 중에 있는 산소와 결합하여 제1 금속 산화 박막 패턴(121)을 형성하게 된다. 이 같이, 가열과 동시에 자외선을 조사하면 제1 금속 산화 박막 패턴(121)을 형성하는 공정 시간을 줄일 수 있다.
다음으로, 도 2의 단계 S4와 도 3(d)를 참조하여 몰드(125)를 상기 제1 금속 산화 박막 패턴(121)으로부터 제거한다. 몰드(125)를 제1 금속 산화 박막 패턴(121)으로부터 릴리스(release)하여 제거하고 나면, 도 3(d)와 같이, 피식각층(110) 상에 제1 선폭(a1)을 가진 홀과 반대되는 독립적인 섬 구조의 제1 금속 산화 박막 패턴(121)이 형성된 상태로 노출된다.
제1 금속 산화 박막 패턴(121)은 후속 공정에서 식각 마스크로서 기능하게 되는데, 본 발명에서는 이와 같이 1차적으로는 나노 임프린트 방법을 이용해 식각 마스크를 형성하는 데 특징이 있다. 나노 임프린트는 초미세 가공인 나노 가공(1 ~ 100nm)을 실현하기 위해 제안된 기술로, 소자용 기판 위에 광경화성 혹은 열가소성 레진을 도포한 후 나노 크기의 몰드로 압력을 가하고 자외선을 조사하거나 가열하여 경화시킴으로써 패턴을 전사하는 기술을 말한다. 나노 임프린트 기술을 활용하면 현재 반도체 공정에서 사용하는 포토리소그래피 방식의 미세화 한계점을 극복하고 도장 찍듯 간단하게 나노 구조물을 제작할 수 있게 된다. 또한 나노 임프린트 기술을 활용하면 현재 100nm급인 미세 공정이 10nm급으로 향상된다.
본 발명은 특히 나노 임프린트를 이용하여 레지스트에 패턴을 전사한 후 그 밑의 하지층을 식각하여 식각 마스크를 형성하는 것이 아니라 광경화성 혹은 열가소성 레진 중 본 발명 특유의 금속-유기물 전구체 조성물(120)을 이용해 제1 금속 산화 박막 패턴(121)을 직접 형성하는 데에 특징이 있다.
다음, 도 3(e)에 도시한 바와 같이, 제1 금속 산화 박막 패턴(121)을 식각 마스크로 이용하여 피식각층(110) 일부를 1차 식각한다(도 2의 단계 S5). 제1 금속 산화 박막 패턴(121)으로 보호된 피식각층(110)은 식각되지 않으며 제1 금속 산화 박막 패턴(121)으로 보호되지 않아 노출된 피식각층(110) 부분은 식각되어, 제1 금속 산화 박막 패턴(121)은 식각 마스크로 이용될 수 있다. 제1 금속 산화 박막 패턴(121)을 식각 마스크로 이용하여 피식각층(110)을 소정 깊이 식각하면 제1 선폭(a1)을 갖는 제1 패턴(110a)이 형성된다. 식각은 Cl2, HBr, HCl, SF6, CF4, CHF3, NF3 및 CFCs(chlorofluorocarbons)로 이루어지는 군에서 선택된 적어도 어느 하나의 가스를 사용한 건식 식각일 수 있으며, 상기 가스에 N2, Ar 및 He 중에서 선택되는 적어도 하나의 불활성 가스를 더 포함시켜 사용할 수 있다.
그리고 나서, 도 3의 (f)를 참조하여 제1 금속 산화 박막 패턴(121)의 크기를 변경하기 위한 처리(D)를 실시한다(도 2의 단계 S6). 이 처리는 상기 제1 금속 산화 박막 패턴(121)을 가열하거나 마이크로웨이브, X-선, 감마선 또는 자외선을 조사하거나 플라즈마 처리하는 것이다. 물론 이러한 처리를 조합하여 이용할 수도 있다.
제1 금속 산화 박막 패턴(121)을 가열하거나 마이크로웨이브, X-선, 감마선 또는 자외선을 조사하거나 플라즈마 처리하면 시간, 온도 등을 조절해 제1 금속 산화 박막 패턴(121)의 크기를 원하는 대로 변경할 수 있다. 금속-유기물 전구체 조성물의 특성상 이러한 처리시 금속-유기물 전구체 조성물의 치밀화(densification) 및 결정상 형성(formation of crystallinity phase)에 의해서 패턴된 막의 선폭 변화를 일으킬 수 있다.
제1 금속 산화 박막 패턴(121)의 변형을 위해 가열할 때에는 히터 또는 로(furnace) 또는 전기오븐 등의 소정의 가열 수단을 이용하여 가열 온도는 50℃ 내지 800℃로 하고, 가열 시간은 15초 내지 5시간으로 할 수 있다. 자외선을 조사할 때에는 조사 시간은 1초 내지 5시간으로 할 수 있다.
도 3의 (f)는 이러한 처리를 통해 피식각층(110) 상에 처리 전의 선폭 a1보다 선폭이 a2로 감소한 제2 금속 산화 박막 패턴(122)이 형성된 것을 보여준다. 종래에는 선폭이 다른 레지스트 패턴을 형성하기 위하여 레지스트 도포, 사진 및 현상 공정을 다시 수행해야 하고 마스크간 정렬이 반드시 필요하였다. 그러나, 본 발명에 따르면 이미 형성되어 있는 제1 금속 산화 박막 패턴(121)에 대하여 가열하거나 자외선 조사하는 것과 같은 간단한 추가 처리(D)를 통해 제1 금속 산화 박막 패턴(121)을 축소시킴으로써 제1 금속 산화 박막 패턴(121)과는 다른 크기, 다른 선폭을 갖는 제2 금속 산화 박막 패턴(122)을 쉽게 형성할 수가 있다.
다음, 도 3(g)에 도시한 바와 같이, 제2 금속 산화 박막 패턴(122)을 식각 마스크로 이용하여 피식각층(110)을 2차 식각한다(도 2의 단계 S7). 식각은 1차 식각과 마찬가지로 Cl2, HBr, HCl, SF6, CF4, CHF3, NF3 및 CFCs로 이루어지는 군에서 선택된 적어도 어느 하나의 가스를 사용한 건식 식각일 수 있으며, 상기 가스에 N2, Ar 및 He 중에서 선택되는 적어도 하나의 불활성 가스를 더 포함시켜 사용할 수 있다.
이렇게 피식각층(110)을 식각함으로써 하나의 패턴 안에 선폭이 제1 선폭(a1)부터 제2 선폭(a2)까지 변화하는 형상으로 2차 패터닝하여 제2 패턴(110b)을 형성할 수 있다. 후속 공정에서 제2 금속 산화 박막 패턴(122)을 제거하는 단계를 더 실시할 수 있으며, 도 3(g)를 참조한 2차 식각 단계에서의 조건 조절시 제2 금속 산화 박막 패턴(122)을 제거하면서 제2 패턴(110b) 상단의 선폭을 a2보다 작게 형성하는 것도 가능하다.
이와 같이 본 발명에 따르면, 금속-유기물 전구체 조성물(120)에 대하여 몰드(125)를 가압하고 가열, 자외선 조사 또는 가열과 동시에 자외선 조사하는 임프린트 방법에 의하여 사진 공정에 비해 손쉽게 패턴 전사를 할 수 있다. 금속-유기물 전구체 조성물(120)로부터 피식각층(110) 상에 직접 패턴된 제1 금속 산화 박막 패턴(121)은 피식각층(110) 식각을 위한 식각 마스크로 사용할 수 있다. 또한 열처리 또는 마이크로웨이브, X-선, 감마선 또는 자외선을 조사하거나 플라즈마 처리와 같은 처리를 통해 제1 금속 산화 박막 패턴(121)의 크기를 쉽게 변화시킬 수 있다. 패턴 크기가 변화된 제2 금속 산화 박막 패턴(122)을 2차적인 식각 마스크로 사용하면 다양한 형태로 피식각층(110)을 형성할 수 있다.
종래에는 2번 이상의 사진 공정과 식각 공정에 의해 형성할 수 있는 모양의 패턴도 본 발명에 따르면 한 번의 임프린트 공정에 의해 형성할 수 있게 되므로 공정 단순화가 가능하다. 또한 본 발명에 따르면 종래 공정에서 요구되는 마스크 정렬 등 까다로운 공정 단계가 필요하지 않다.
이상에서 본 발명의 바람직한 실시예에 대해 도시하고 설명하였으나, 본 발명은 상술한 특정의 바람직한 실시예에 한정되지 아니하며, 청구범위에서 청구하는 본 발명의 요지를 벗어남이 없이 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자라면 누구든지 다양한 변형 실시가 가능한 것은 물론이고, 그와 같은 변경은 청구범위 기재의 범위 내에 있게 된다.

Claims (12)

  1. 금속 원소에 빛과 열 중 적어도 어느 하나에 의하여 분해 가능한 유기물 리간드가 결합하여 이루어진 금속-유기물 전구체 조성물을 피식각층에 코팅하는 단계;
    요철 구조가 패턴된 몰드로 상기 금속-유기물 전구체 조성물을 가압하는 단계;
    상기 가압된 금속-유기물 전구체 조성물에 가열 또는 자외선 조사 또는 가열과 동시에 자외선 조사하여 경화된 제1 금속 산화 박막 패턴을 형성하는 단계;
    상기 몰드를 상기 제1 금속 산화 박막 패턴으로부터 제거하는 단계;
    상기 제1 금속 산화 박막 패턴을 식각 마스크로 이용하여 상기 피식각층 일부를 식각하는 단계;
    상기 제1 금속 산화 박막 패턴을 가열하거나 마이크로웨이브(Microwave), X-선, 감마선 또는 자외선을 조사하거나 플라즈마 처리하여 상기 제1 금속 산화 박막 패턴 크기를 변경시켜 제2 금속 산화 박막 패턴을 형성하는 단계; 및
    상기 제2 금속 산화 박막 패턴을 식각 마스크로 이용하여 상기 피식각층을 식각하는 단계를 포함하는 패턴 형성 방법.
  2. 제1항에 있어서, 상기 피식각층은 무기 물질 또는 투명 폴리머인 것을 특징으로 하는 패턴 형성 방법.
  3. 제1항에 있어서, 상기 피식각층은 실리콘, 질화갈륨, n형 질화갈륨, p형 질화갈륨, 질산화갈륨인, InAlGaN, 갈륨비소, 알루미늄갈륨비소, 인듐인, 갈륨인, CIGS(Copper Indium Galium Selenide), 텔루르화카드뮴, 황화카드뮴, 황화구리, 텔루르화아연, 황화납, 카파인디움다이셀레나이드, 갈륨안티모니, 갈륨비소인, 산화규소, 사파이어, 석영, 유리와 같은 무기 물질, 또는 폴리카보네이트, 폴리에틸렌나프탈레이트, 폴리노르보넨, 폴리아크릴레이드, 폴리비닐알콜, 폴리이미드, 폴리에틸렌테레프탈레이트, 폴리에테르셀폰 중 어느 하나인 것을 특징으로 하는 패턴 형성 방법.
  4. 제1항에 있어서, 상기 금속-유기물 전구체 조성물 가열시 가열 온도는 30℃ 내지 300℃이며, 가열 시간은 1초 내지 5시간인 것을 특징으로 하는 패턴 형성 방법.
  5. 제1항에 있어서, 상기 금속-유기물 전구체 조성물에 자외선 조사시 조사 시간은 1초 내지 5시간인 것을 특징으로 하는 패턴 형성 방법.
  6. 제1항에 있어서, 상기 제1 금속 산화 박막 패턴 가열시 가열 온도는 50℃ 내지 800℃이며, 가열 시간은 15초 내지 5시간인 것을 특징으로 하는 패턴 형성 방법.
  7. 제1항에 있어서, 상기 제1 금속 산화 박막 패턴에 자외선 조사시 조사 시간은 1초 내지 5시간인 것을 특징으로 하는 패턴 형성 방법.
  8. 제1항에 있어서, 상기 금속-유기물 전구체를 구성하는 금속 원소는 리튬(Li), 베릴륨(Be), 붕소(B), 나트륨(Na), 마그네슘(Mg), 알루미늄(Al), 규소(Si), 인듐(In), 황(S), 칼륨(K), 칼슘(Ca), 스칸듐(Sc), 타이타늄(Ti), 바나듐(V), 크로뮴(Cr), 망간(Mn), 철(Fe), 코발트(Co), 니켈(Ni), 구리(Cu), 아연(Zn), 갈륨(Ga), 저마늄(Ge), 비소(As), 셀레늄(Se), 루비듐(Rb), 스트론튬(Sr), 이트륨(Y), 지르코늄(Zr), 나이오븀(Nb), 몰리브덴(Mo), 루테늄(Ru), 로듐(Rh), 인듐(In), 주석(Sn), 텔루륨(Te), 안티몬(Sb), 바륨(Ba), 란탄(La), 세륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 프로메튬(Pm), 가돌리늄(Gd), 하프늄(Hf), 탄탈륨(Ta), 텅스텐(W), 이리듐(Ir), 납(Pb), 비스무스(Bi), 폴로늄(Po) 및 우라늄(U)으로 이루어지는 군에서 선택되는 적어도 어느 하나인 것을 특징으로 하는 패턴 형성 방법.
  9. 제1항에 있어서, 상기 금속-유기물 전구체를 구성하는 유기물 리간드는 에틸헥사노에이트(ethylhexanoate), 아세틸아세토네이트(acetylacetonate), 디알킬디티오카바메이트(dialkyldithiocarbamates), 카르복실산(carboxylic acids), 카르복실레이트(carboxylates), 피리딘(pyridine), 디아민(diamines), 아르신(arsines), 디아르신(diarsines), 포스핀(phosphines), 디포스핀(diphosphines), 부톡사이드(butoxide), 이소프로팍사이드(isopropoxide), 에톡사이드(ethoxide), 클로라이드(chloride), 아세테이트(acetate), 카르보닐(carbonyl), 카르보네이트(carbonate), 하이드록사이드(hydroxide), 아레네스(arenas), 베타-디케토네이트(beta-diketonate), 2-니트로벤잘디하이드(2-nitrobenzaldehyde), 아세테이트 디하이드레이트(acetate dihydrate) 및 이들의 혼합물을 포함하는 군에서 선택되는 것을 특징으로 하는 패턴 형성 방법.
  10. 제1항에 있어서, 상기 금속-유기물 전구체 조성물은 용매로서 헥산, 4-메틸-2-펜타논(4-methyl-2-pentanone), 케톤, 메틸 이소부틸 케톤, 메틸 에틸 케톤, 물, 메탄올, 에탄올, 프로판올, 이소프로판올, 부탄올, 펜탄올, 헥산올, 디메틸설폭사이드(dimethyl sulfoxide, DMSO), 디메틸포름아마이드(dimethylformamide, DMF), N-메틸피롤리돈, 아세톤, 아세토니트릴, 테트라하이드로퓨란(tetrahydrofuran, THF), 테칸, 노난, 옥탄, 헵탄, 펜탄 및 2-메톡시에탄올(e-methoxyethanol)로 이루어지는 군에서 선택된 적어도 어느 하나를 포함하는 것을 특징으로 하는 패턴 형성 방법.
  11. 제1항에 있어서, 상기 식각은 Cl2, HBr, HCl, SF6, CF4, CHF3, NF3 및 CFCs(chlorofluorocarbons)로 이루어지는 군에서 선택된 적어도 어느 하나의 가스를 사용한 건식 식각인 것을 특징으로 하는 패턴 형성 방법.
  12. 제11항에 있어서, 상기 가스에 N2, Ar 및 He 중에서 선택되는 적어도 하나의 불활성 가스를 더 포함시켜 사용하는 것을 특징으로 하는 패턴 형성 방법.
KR1020100115397A 2010-11-19 2010-11-19 나노 임프린트를 이용한 패턴 형성 방법 KR101270499B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020100115397A KR101270499B1 (ko) 2010-11-19 2010-11-19 나노 임프린트를 이용한 패턴 형성 방법

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020100115397A KR101270499B1 (ko) 2010-11-19 2010-11-19 나노 임프린트를 이용한 패턴 형성 방법

Publications (2)

Publication Number Publication Date
KR20120054153A KR20120054153A (ko) 2012-05-30
KR101270499B1 true KR101270499B1 (ko) 2013-06-03

Family

ID=46270073

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020100115397A KR101270499B1 (ko) 2010-11-19 2010-11-19 나노 임프린트를 이용한 패턴 형성 방법

Country Status (1)

Country Link
KR (1) KR101270499B1 (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101437639B1 (ko) * 2012-11-28 2014-09-05 한국기계연구원 미세 패턴 및 투명 도전막의 제조 방법

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100914291B1 (ko) * 2007-10-31 2009-08-27 주식회사 하이닉스반도체 림 타입의 포토마스크 제조방법
KR100965904B1 (ko) * 2009-09-02 2010-06-24 한국기계연구원 나노임프린트를 이용한 금속 산화박막 패턴 형성방법 및 led 소자의 제조방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100914291B1 (ko) * 2007-10-31 2009-08-27 주식회사 하이닉스반도체 림 타입의 포토마스크 제조방법
KR100965904B1 (ko) * 2009-09-02 2010-06-24 한국기계연구원 나노임프린트를 이용한 금속 산화박막 패턴 형성방법 및 led 소자의 제조방법

Also Published As

Publication number Publication date
KR20120054153A (ko) 2012-05-30

Similar Documents

Publication Publication Date Title
KR100965904B1 (ko) 나노임프린트를 이용한 금속 산화박막 패턴 형성방법 및 led 소자의 제조방법
EP2525392B1 (en) Method of forming metal oxide thin film pattern using nanoimprint and manufacturing method of led element using same
KR101088359B1 (ko) 나노임프린트를 이용한 패턴 형성방법
KR101249933B1 (ko) 임프린트용 마이크로 스탬프를 이용한 마이크로-나노 하이브리드 스탬프 및 그 제조방법
KR20130012291A (ko) 임프린트 리소그래피와 리프트 오프 공정을 이용한 3차원 구조의 정렬된 나노구조체 및 그 제조방법
US8129720B2 (en) Double self-aligned metal oxide TFT
Peroz et al. Single digit nanofabrication by step-and-repeat nanoimprint lithography
KR101357065B1 (ko) 임프린트 리소그래피와 리프트 오프 공정을 이용한 굴절률이 조절된 다층 나노 구조체 제조방법
CN112947002A (zh) 一种用于微纳加工的光刻胶材料、其制备和应用
KR101419531B1 (ko) 정렬된 금속산화물 나노구조체 형성 방법
US11762286B2 (en) Template manufacturing method and template base member
KR20140081202A (ko) 나노임프린트 리소그래피와 도금 공정을 이용한 나노패턴이 형성된 금속 필름 제조방법
KR101270499B1 (ko) 나노 임프린트를 이용한 패턴 형성 방법
US20120241409A1 (en) Pattern formation method
Lee et al. Large-area nanoimprinting on various substrates by reconfigurable maskless laser direct writing
KR101681753B1 (ko) 메타-열경화 임프린팅과 포토리소그래피 공정에 의한 금속 산화물 복합 구조체 제조방법
KR101205826B1 (ko) 하나의 스탬프를 이용해 패턴 모양이나 크기가 변화된 다른 스탬프를 제조 하는 방법
KR101673971B1 (ko) 메타-광경화 임프린팅 공정과 완전경화 포토리소그래피 공정에 의한 금속 산화물 복합 구조체 제조방법
KR20120070076A (ko) 마이크로/나노 하이브리드 패턴을 포함하는 스탬프 제조 방법
KR20120054152A (ko) 나노 임프린트용 나노패턴 스탬프 제조 방법
KR101334920B1 (ko) 마이크로웨이브를 이용한 패턴형성방법
KR20130068668A (ko) 임프린트 리소그래피를 이용한 3차원 나노구조체 제조방법 및 이에 의해 제조된 3차원 나노구조체
KR101508185B1 (ko) 3차원 금속 산화물 구조체 및 그 제조방법
Lee et al. Large area patterning of residue-free metal oxide nanostructures by liquid transfer imprint lithography
US20140057443A1 (en) Pattern forming method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20160317

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20170426

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee