KR101235623B1 - Lift Pin Assembly and Plasma Processingg Apparatus - Google Patents

Lift Pin Assembly and Plasma Processingg Apparatus Download PDF

Info

Publication number
KR101235623B1
KR101235623B1 KR1020050066580A KR20050066580A KR101235623B1 KR 101235623 B1 KR101235623 B1 KR 101235623B1 KR 1020050066580 A KR1020050066580 A KR 1020050066580A KR 20050066580 A KR20050066580 A KR 20050066580A KR 101235623 B1 KR101235623 B1 KR 101235623B1
Authority
KR
South Korea
Prior art keywords
lift pin
lift
pin assembly
spring
substrate
Prior art date
Application number
KR1020050066580A
Other languages
Korean (ko)
Other versions
KR20070011904A (en
Inventor
황영주
Original Assignee
엘아이지에이디피 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 엘아이지에이디피 주식회사 filed Critical 엘아이지에이디피 주식회사
Priority to KR1020050066580A priority Critical patent/KR101235623B1/en
Priority to CN2008100857118A priority patent/CN101261791B/en
Priority to TW095116472A priority patent/TWI354824B/en
Priority to CN2008100857048A priority patent/CN101308806B/en
Publication of KR20070011904A publication Critical patent/KR20070011904A/en
Application granted granted Critical
Publication of KR101235623B1 publication Critical patent/KR101235623B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support

Abstract

본 발명은 리프트 핀이 리프트 핀 홀에 끼여 하강되지 못하는 문제점을 해결하기 위한 리프트 핀 어셈블리와 이를 이용한 플라즈마 처리 장치에 관한 것이다. 이를 위한 본 발명의 리프트 핀 어셈블리는 리프트 핀과 결합되는 압축 스프링을 마련함으로써, 상승시 압축하였다가 리프트 핀 플레이트 하강시 인장하여 리프트 핀을 강제로 하강시킬 수 있도록 하고, 리프트 핀 상부면을 접시 모양으로 형성함으로써, 기판에 받는 하중을 분산시키고 기판이 받는 물화 현상을 방지하였다. 상기와 같은 발명은, 리프트 핀의 하강 되지 못하는 문제점을 해결함으로써, 리프트 핀 어셈블리의 고장율을 줄여 설비의 안정화에 따른 생산성을 향상할 수 있다.The present invention relates to a lift pin assembly and a plasma processing apparatus using the same to solve the problem that the lift pin is pinched in the lift pin hole and can not be lowered. Lift pin assembly of the present invention for this purpose by providing a compression spring coupled with the lift pin, it is compressed at the time of lifting and tension when the lift pin plate lowered to forcibly lower the lift pin, the upper surface of the lift pin plate shape By forming the structure, the load applied to the substrate was dispersed, and the phenomena of the substrate received were prevented. The invention as described above, by solving the problem that the lift pin can not be lowered, it is possible to reduce the failure rate of the lift pin assembly to improve the productivity according to the stabilization of the equipment.

리프트 핀, 압축 스프링, 완충 부재, 플라즈마, 어셈블리 Lift Pins, Compression Springs, Shock Absorbers, Plasma, Assembly

Description

리프트 핀 어셈블리 및 플라즈마 처리 장치 {Lift Pin Assembly and Plasma Processingg Apparatus}Lift Pin Assembly and Plasma Processingg Apparatus

도 1은 종래 플라즈마 처리 장치의 단면도이다.1 is a cross-sectional view of a conventional plasma processing apparatus.

도 2는 종래 리프트 핀 어셈블리에 관한 단면도이다.2 is a cross-sectional view of a conventional lift pin assembly.

도 3은 본 발명에 따른 플라즈마 처리 장치를 개략적으로 나타낸 단면도이다.3 is a cross-sectional view schematically showing a plasma processing apparatus according to the present invention.

도 4a 내지 5b는 본 발명의 일 실시예에 따른 리프트 핀 어셈블리의 개략 구성을 도시한 단면도이다.4A through 5B are cross-sectional views illustrating schematic configurations of a lift pin assembly according to an embodiment of the present invention.

도 6은 본 발명의 일 실시예에 따른 변형예를 나타낸 단면도이다.6 is a cross-sectional view showing a modification according to an embodiment of the present invention.

도 7a 및 도 7b는 본 발명의 리프트 핀 어셈블리의 동작을 나타낸 단면도이다.7A and 7B are cross-sectional views illustrating the operation of the lift pin assembly of the present invention.

<도면 주요 부분에 대한 부호의 설명>             DESCRIPTION OF THE REFERENCE SYMBOLS

10, 110: 진공 챔버 11, 111: 상부 전극10, 110: vacuum chamber 11, 111: upper electrode

12, 112: 기판 13, 113: 하부 전극12, 112 substrate 13, 113 lower electrode

14, 114: 정전척 15, 115: 리프트 핀 어셈블리14, 114: electrostatic chuck 15, 115: lift pin assembly

16: 자중 하강 추 17, 117: 승강 핀16: self-weighting weight 17, 117: lifting pin

18, 118: 승강 핀 결합부 19, 119: 리프트 핀18, 118: lift pin coupling portion 19, 119: lift pin

20, 120: 하우징 121, 124: RF 전원 장치20, 120: housing 121, 124: RF power supply

122, 123: 임피던스 정합기 125, 138: 압축 스프링122, 123: impedance matcher 125, 138: compression spring

126: 직류 전원 130: 지지판126: DC power supply 130: support plate

132: 고정 스크류 134: 탄성 부재132: fixing screw 134: elastic member

136: 완충 스프링 140: 스프링 가이드136: buffer spring 140: spring guide

120a: 내향 돌출부120a: inward protrusion

본 발명은 반도체 소자를 제조하기 위한 플라즈마 처리 장치 및 리프트 핀 승강 장치에 관한 것으로, 보다 상세하게는 리프트 핀이 원활하게 승하강할 수 있는 리프트 핀 어셈블리와 이를 이용한 플라즈마 처리 장치에 관한 것이다.The present invention relates to a plasma processing apparatus and a lift pin lifting apparatus for manufacturing a semiconductor device, and more particularly, to a lift pin assembly capable of raising and lowering a lift pin smoothly and a plasma processing apparatus using the same.

반도체 및 디스플레이 산업이 발전함에 따라 웨이퍼, 유리 등의 기판 가공도 한정된 면적에 원하는 패턴을 극미세화하고 고집적화하는 방향으로 진행되고 있고, 이에 따라 기판의 박막을 성장시키거나 식각할 때 플라즈마 처리 기술이 널리 활용되고 있다. 플라즈마 처리는 고밀도로 공정을 제어할 수 있는 등의 장점에 의해 반도체, 디스플레이 기판의 가공 공정 등에 널리 사용되고 있다. 플라즈마 처리 장치는 매엽식 혹은 배치식 장치가 있고, 매엽식 플라즈마 처리 장치는 진공 챔버 내에 전극이 상하로 대향 배치되어 양 전극 사이에 고주파 전력을 인가하여 플라즈마를 생성시킨다.As the semiconductor and display industry develops, processing of substrates such as wafers and glass is also progressing toward minimizing and integrating desired patterns in a limited area. Accordingly, plasma processing technology is widely used to grow or etch thin films of substrates. It is utilized. Plasma treatment is widely used in processing processes of semiconductors, display substrates, etc. due to the advantages of controlling the process at high density. The plasma processing apparatus includes a single type or batch type apparatus. In the single type plasma processing apparatus, electrodes are disposed up and down in a vacuum chamber to generate a plasma by applying high frequency power between both electrodes.

도 1을 참조하면, 일반적인 매엽식 반도체 플라즈마 처리 장치는 진공 챔버(10) 내에 상부 전극(11) 및 상기 상부 전극(11)에 대향 위치하고 피처리체인 기판(12)이 장착되는 지지부재를 구비한다. 지지부재는 전원이 인가되는 하부 전극(13)과 정전척(14)을 포함한다. 상부 전극(11)과 하부 전극(13)은 일정간격 이격되어 서로 대향하고 있으며, 외부로부터 정합된 고주파 전력이 인가되고 상부 및 하부 전극(11,13)에 인가된 고주파 전력에 의해 진공 챔버(10) 내의 가스가 전리되고, 상부 및 하부 전극(11,13) 사이의 공간에서 고밀도의 플라즈마(P)를 발생시킨다. 여기서 하부 전극(13) 상부에는 기판(12)이 놓여지는 정전척(14)이 구비되고, 정전척(14) 하부에는 기판(12)을 흡착 및 탈착시 기판의 수직 방향 이동을 위해 기판 가장 자리에 대응하는 위치에 마련된 (도시되지 않은) 복수개의 리프트 핀과, 휨 현상(SAGGING)을 줄이기 위한 센터 리프트 핀 어셈블리(15)가 설치된다. 또한 하부 전극(13) 하부는 절연체로 구성되어 있다.Referring to FIG. 1, a general sheet type semiconductor plasma processing apparatus includes a support member in a vacuum chamber 10, on which an upper electrode 11 and a substrate 12, which is disposed opposite to the upper electrode 11, are mounted. . The support member includes a lower electrode 13 and an electrostatic chuck 14 to which power is applied. The upper electrode 11 and the lower electrode 13 are opposed to each other by being spaced apart by a predetermined interval, and the vacuum chamber 10 is applied by a high frequency power matched from the outside and applied to the upper and lower electrodes 11 and 13. The gas in) is ionized and generates a high-density plasma P in the space between the upper and lower electrodes 11, 13. In this case, the lower electrode 13 is provided with an electrostatic chuck 14 on which the substrate 12 is placed, and the lower edge of the substrate for vertical movement of the substrate when the substrate 12 is adsorbed and detached. A plurality of lift pins (not shown) provided at positions corresponding to the centers and a center lift pin assembly 15 for reducing warping phenomenon are provided. The lower part of the lower electrode 13 is made of an insulator.

도 2를 참조하면, 리프트 핀 어셈블리(15)는 하우징(20)과, 상기 하우징(20) 내에 승하강이 가능하게 설치되어 상단부가 상기 정전척(14)의 상부로 돌출될 수 있는 승강 핀 결합부(18)의 상부면에 형성된 리프트 핀(19)과 자중 하강 추(16)를 포함한다.Referring to FIG. 2, the lift pin assembly 15 is provided with a housing 20 and a lifting pin coupled to the housing 20 so that the upper and lower ends thereof may protrude to the upper portion of the electrostatic chuck 14. A lift pin 19 and a self-weighting weight 16 formed on the upper surface of the portion 18 is included.

기판(12)이 진공 챔버(10) 내로 로딩되면, 리프트 핀 홀의 내부에 위치하는 리프트 핀(19)은 외부 구동장치에 의해 상승하는 승강 핀(17)의 결합부(18)에 결합된 상태로 상기 승강 핀(17)와 함께 상승한다. 이때 리프트 핀(19)은 그 상단부가 정전척(14) 표면보다 높이 상승한다. 기판(12)이 상승한 리프트 핀(19)의 상단부 상에 놓이면, 자중 하강 추(16)와 기판(12)의 자중에 의해 승강 핀(17)과 결합된 리프트 핀(19)이 하강하여 기판(12)은 정전척(14)의 상부로 안착하게 된다. When the substrate 12 is loaded into the vacuum chamber 10, the lift pin 19 located inside the lift pin hole is coupled to the engaging portion 18 of the lifting pin 17 that is lifted by the external drive. Ascend with the lifting pin 17. At this time, the upper end of the lift pin 19 rises higher than the surface of the electrostatic chuck 14. When the substrate 12 is placed on the upper end of the lift pin 19 which has been raised, the lift pin 19 coupled with the lift pin 17 is lowered by the weight of the weight lowering weight 16 and the weight of the substrate 12 to lower the substrate ( 12 is seated on top of the electrostatic chuck 14.

이후, 공정이 완료되면 리프트 핀(19)이 정전척(14)의 상부로 상승하면서 기판(12)을 정전척(14)으로부터 분리하여 기판(12)은 진공 챔버(10) 외부로 이송된다.Subsequently, when the process is completed, the lift pin 19 is lifted to the upper portion of the electrostatic chuck 14 to separate the substrate 12 from the electrostatic chuck 14 and the substrate 12 is transferred to the outside of the vacuum chamber 10.

상기와 같은 리프트 핀은 도면에 도시되지 않았지만 정전척에 다수개가 설치되어 기판을 로딩 및 언로딩하는 데 사용된다. 특히 유리 기판 두께는 얇아지고 크기는 커짐에 따라 기판의 로딩 및 언로딩시에 유리 기판의 휨 현상(sagging)이 발생하게 되어 이를 방지하기 위해 정전척의 중앙 영역에도 리프트 핀이 설치된다.Although not shown in the figure, a plurality of such lift pins are installed in the electrostatic chuck and used to load and unload the substrate. In particular, as the glass substrate is thinner and larger in size, a sagging of the glass substrate occurs during loading and unloading of the substrate, and a lift pin is also installed in the central region of the electrostatic chuck to prevent this.

이와 같이 센터 리프트 핀 어셈블리의 리프트 핀(19)이 상승 시에는 승강 핀(17)에 의해 상승되며, 하강 시에는 자중 하강 추(16) 및 기판(12)의 자중에 의해 리프트 핀(19)이 하강된다. 그러나, 자중 하강 추(16)에 의해 리프트 핀(19)이 하강될 때 리프트 핀(19)이 리프트 핀 홀에 끼어 승강 핀(17)이 하강 되어도 리프트 핀(19)은 하강되지 못하는 문제가 발생된다. As such, when the lift pin 19 of the center lift pin assembly is lifted, the lift pins 19 are lifted by the lift pins 17. When the lift pins 19 are lifted, the lift pins 19 are lifted by the own weight of the weight drop weight 16 and the substrate 12. Descends. However, when the lift pin 19 is lowered by the weight drop weight 16, the lift pin 19 is caught in the lift pin hole, and thus the lift pin 19 is not lowered even when the lift pin 17 is lowered. do.

또한, 리프트 핀(19)의 상부면이 원기둥 형상으로 되어있기 때문에 기판(12)의 물화 현상을 가져다주는 문제점이 발생하게 된다. 즉, 이러한 물화 현상은 기판(12) 중앙 영역을 지지하는 리프트 핀에 의해 발생되며, 이로 인해 액정 표시 패널의 생산성을 떨어뜨리는 문제점을 야기한다.In addition, since the upper surface of the lift pin 19 is in a cylindrical shape, there is a problem of bringing about the physicalization of the substrate 12. That is, such a materialization phenomenon is generated by the lift pins supporting the central region of the substrate 12, thereby causing a problem of lowering the productivity of the liquid crystal display panel.

따라서, 본 발명은 상기의 문제점을 해결하기 위하여 도출된 것으로서, 리프트 핀이 상부 기판에 악영향을 주지 않고, 원활하게 승하강할 수 있는 리프트 핀 어셈블리 및 이를 이용한 플라즈마 처리 장치를 제공함을 그 목적으로 한다.Accordingly, an object of the present invention is to provide a lift pin assembly and a plasma processing apparatus using the same that can be lifted up and down smoothly without adversely affecting an upper substrate.

상술한 목적을 달성하기 위해서 본 발명은 진공 챔버 내에 설치되는 리프트 핀 어셈블리는 중심부에 관통부과 형성된 하우징과, 상기 하우징의 관통부 내에 승하강이 가능하게 설치되고, 하부에는 안착부가 마련된 리프트 핀과, 상기 리프트 핀을 하향 편의시키는 스프링을 구비하였다. 상기 하우징의 상단부에는 탈착 가능한 지지판이 포함되고, 상기 지지판과 상기 안착부 사이에 압축 스프링이 구비되었다. 상기 지지판은 환형의 디스크 형상의 몸체부와 그의 내주면에서 하향 연장된 파이프 형상이다. 또한 상기 하우징 상단부에 탈착 가능하게 장착되는 지지판과 하단부의 관통부 내에 내향 돌출된 내향 돌출부를 포함하고, 상기 승강핀 결합부 하단면과 상기 하우징 하단부 내향 돌출부 사이에 스프링이 구비되기도 한다.In order to achieve the above object, the present invention is a lift pin assembly installed in the vacuum chamber is a housing formed with a through portion in the center, the lifting pin is installed in the through portion of the housing, the lift pin provided with a seating portion, A spring was provided to bias the lift pin downward. The upper end of the housing includes a removable support plate, a compression spring is provided between the support plate and the seating portion. The support plate has an annular disk-shaped body portion and a pipe shape extending downward from the inner circumferential surface thereof. In addition, the support plate is detachably mounted to the upper end of the housing and an inward protrusion projecting inwardly in the through portion of the lower end, and the spring is provided between the lifting pin coupling portion bottom surface and the inward protrusion of the housing bottom.

리프트 핀 하단부와 결합되는 승강 핀 결합부가 형성된 승강 핀을 더 포함하고, 상기 안착부는 상기 승강 핀 결합부를 포함한다. 상기 하우징의 하단부에는 관통부 내에 내향 돌출된 내향 돌출부를 포함하고, 상기 내향 돌출부와 안착부의 하부면 사이에 완충 부재를 더 구비한다. 상기 스프링은 스테인레스에 텅스텐이 코팅이 되어 있다. 상기 리프트 핀은 PBI(Polybenzimidazole) 계열, 스프링 가이드는 폴리이미드 수지 계열로 이루어져 있다. 또한 리프트 핀 상부면은 접시 모양으로 형성되어 있다.And a lift pin formed with a lift pin coupling portion coupled to the lift pin lower portion, wherein the seating portion includes the lift pin coupling portion. The lower end of the housing includes an inward protrusion projecting inwardly in the through portion, and further includes a cushioning member between the inward protrusion and the bottom surface of the seating portion. The spring is coated with tungsten on stainless steel. The lift pin is made of polybenzimidazole (PBI), and the spring guide is made of polyimide resin. In addition, the upper surface of the lift pin is formed in a dish shape.

도 3은 본 발명에 따른 플라즈마 처리 장치를 개략적으로 나타낸 단면도이다.3 is a cross-sectional view schematically showing a plasma processing apparatus according to the present invention.

도 3을 참조하면, 본 발명의 플라즈마 처리 장치는 진공 챔버(110) 내에 상부 전극(111) 및 상기 상부 전극(111)과 대향 위치하고 피처리체인 기판(112)이 장착되는 기판 지지부재를 구비한다. 기판 지지부재는 전원이 인가되는 하부 전극(113)과 정전척(114)과 리프트 핀 어셈블리(115)를 포함한다.Referring to FIG. 3, the plasma processing apparatus of the present invention includes an upper electrode 111 and a substrate support member on which the substrate 112, which is disposed to face the upper electrode 111, is mounted in the vacuum chamber 110. . The substrate support member includes a lower electrode 113, an electrostatic chuck 114, and a lift pin assembly 115 to which power is applied.

진공 챔버(110)의 측벽에는 기판 반입 반출구(G)가 형성되어, 이를 통해 기판(112)을 반입 반출한다. 또한 진공 챔버(110)의 측벽 또는 하부에는 진공 펌프 등의 배기구(도시되지 않음)가 연결되어 이로부터 배기가 실행되어 진공 챔버(110) 내를 원하는 진공도로 유지할 수 있다.A substrate loading / exiting opening (G) is formed on the sidewall of the vacuum chamber 110, and the substrate 112 is carried in and out of the substrate. In addition, an exhaust port (not shown) such as a vacuum pump may be connected to the sidewall or the bottom of the vacuum chamber 110, and exhausting may be performed to maintain the inside of the vacuum chamber 110 at a desired degree of vacuum.

상부 전극(111)에는 RF 전원 장치(121) 및 임피던스 정합기(122)를 통해 RF 전원이 가해질 수 있고, 진공 챔버(110) 내로 반응 가스를 유입시킬 수 있는 샤워헤드(도시되지 않음)가 설치될 수 있다.The upper electrode 111 may be applied with RF power through the RF power supply 121 and the impedance matcher 122, and a shower head (not shown) may be installed to introduce a reaction gas into the vacuum chamber 110. Can be.

상부 전극(111)과 일정간격 이격되어 서로 대향하고 있는 하부 전극(113)에는 정합기(123)를 거쳐서 고주파 전원(124)이 접속되어 있다. 기판(112)을 플라즈마 처리하는 경우 하부 전극(113)에 고주파 전원(124)에 의해 고주파 전력이 가해질 수 있고, 상부 전극(111)과 하부 전극(113) 사이에서 전위차가 발생하도록 접지될 수도 있다. 하부 전극(113) 내부에는 기판(112)을 소정의 온도로 조정하기 위한 냉각 수단 및 가열 수단 등을 구비할 수 있다. 또한 하부 전극(113) 상면에는 기판(112)을 유지하기 위한 유지 수단인 정전척(114)이 설치된다.The high frequency power source 124 is connected to the lower electrode 113 which is spaced apart from the upper electrode 111 by a predetermined interval and faces each other. When the substrate 112 is plasma treated, high frequency power may be applied to the lower electrode 113 by the high frequency power source 124, and may be grounded to generate a potential difference between the upper electrode 111 and the lower electrode 113. . Cooling means and heating means for adjusting the substrate 112 to a predetermined temperature may be provided in the lower electrode 113. In addition, an upper surface of the lower electrode 113 is provided with an electrostatic chuck 114, which is a holding means for holding the substrate 112.

정전척(114)은 상면에 장착될 기판과 대략 동일한 형상 및 크기로 형성되나 특별히 그 형상이 한정되지는 않는다. 예를 들어 기판(112)이 반도체 웨이퍼인 경우 웨이퍼의 형상과 유사한 원통형의 형상으로 이루어지고 상면의 직경이 웨이퍼 직경과 대략 유사하게 형성되는 것이 바람직하고, 기판(112)이 사각형의 유리 기판인 경우 유리 기판의 형상과 유사한 사각형 플레이트 형상으로 이루어지는 것이 바람직하다. 정전척(114)은 내부에 도시되지 않은 도전성 부재를 구비하며, 도전성 부재는 고압 직류 전원(126)에 접속되어 고전압을 인가함으로써 기판(112)을 흡착 유지한다. 이때, 정전척(114)은 정전력 외에 진공력 또는 기계적 힘 등에 의해 기판(112)을 유지할 수도 있다.The electrostatic chuck 114 is formed in substantially the same shape and size as the substrate to be mounted on the upper surface, but the shape thereof is not particularly limited. For example, when the substrate 112 is a semiconductor wafer, it is preferable to have a cylindrical shape similar to the shape of the wafer, and the diameter of the upper surface is formed to be approximately similar to the diameter of the wafer, and the substrate 112 is a rectangular glass substrate. It is preferable to have a rectangular plate shape similar to the shape of the glass substrate. The electrostatic chuck 114 has a conductive member (not shown) inside, and the conductive member is connected to the high voltage direct current power supply 126 to hold and hold the substrate 112 by applying a high voltage. In this case, the electrostatic chuck 114 may maintain the substrate 112 by a vacuum force or a mechanical force in addition to the electrostatic force.

상기 정전척(114) 하부에는 기판(112)의 흡착 및 탈착시 기판의 수직방향 이동을 위한 도시되지 않은 복수개의 리프트 핀과 중앙 영역의 센터 리프트 핀 어셈블리(115)가 설치된다. 리프트 핀 어셈블리(115)는 기판(112)을 정전척(114)에 부착 및 탈착시키기 위해 사용된다. 또한, 정전척 내에서 리프트 핀을 기판의 로딩 및 언로딩에 필요한 충분한 수 만큼 다수개가 기판 크기에 맞추어 배치되며, 이러한 다수개의 리프트 핀은 단일 구동 수단에 의해 동시에 또는 개별적으로 상하 이동할 수 있다. 본 발명에서의 리프트 핀 어셈블리는 이와 같이 리프트 핀의 상승 운동 및 하강 운동을 발생시키기 위한 리프트 핀 장치 중에서 기판(112)의 중심부를 지지하는 장치에 적용하는 것이 특히 바람직하다.The lower portion of the electrostatic chuck 114 is provided with a plurality of lift pins (not shown) and a center lift pin assembly 115 in a central region for vertical movement of the substrate when the substrate 112 is adsorbed and detached. The lift pin assembly 115 is used to attach and detach the substrate 112 to the electrostatic chuck 114. In addition, as many as the number of lift pins required for loading and unloading of the substrate in the electrostatic chuck are arranged to the substrate size, the plurality of lift pins can be moved up and down simultaneously or separately by a single drive means. The lift pin assembly in the present invention is particularly preferably applied to a device that supports the center of the substrate 112 among the lift pin devices for generating the lifting and lowering motion of the lift pin.

도 4a는 본 발명의 일 실시예에 따른 리프트 핀 어셈블리에 대하여 상세히 설명한다.4A illustrates a lift pin assembly according to an embodiment of the present invention in detail.

도 4a를 참조하면, 하우징(120)과, 상기 하우징(120) 내에 승하강이 가능하게 설치되어 상단부가 정전척(114)의 내부에 위치되거나 상승하여 정전척(114)의 상부면에서 돌출되는 리프트 핀(119)과, 상기 리프트 핀(119) 하단부가 결합되는 승강 핀 결합부(118)가 상부면에 형성된 승강 핀(117)과, 승강 핀 결합부(118) 상부면과 하우징(120) 상부 내측면에 연결되어 있는 압축 스프링(125)과, 하우징(120) 내측면과 승강 핀 결합부(118) 양측면 사이에 압축 스프링(125)을 안내하는 스프링 가이드(140)로 구성되어 있다.Referring to FIG. 4A, the housing 120 and the housing 120 are installed to be lifted and lowered so that their upper end portions are positioned or raised inside the electrostatic chuck 114 to protrude from the upper surface of the electrostatic chuck 114. A lift pin 119, a lifting pin coupling portion 118 to which the lift pin 119 lower end is coupled, and a lifting pin 117 formed on an upper surface thereof, a lifting pin coupling portion 118 upper surface, and a housing 120. Compression spring 125 is connected to the upper inner surface, and the spring guide 140 for guiding the compression spring 125 between the inner surface of the housing 120 and the lifting pin coupling portion 118 both sides.

리프트 핀(119)은 승강 핀(117)과 결합하여 상하 운동을 한다. 리프트 핀(119)의 상부면은 도 4a에 도시한 바와 같이, 기존의 원기둥 모양 대신 접시 모양으로 만든다. 이는 종래의 원기둥 모양의 리프트 핀은 물화 현상으로 생산 수율을 떨어뜨릴 수 있기 때문에 본 발명은 리프트 핀의 상단부 형상을 개선한 것이다. 또한 도 4b에 도시한 바와 같이 count bore 형상으로 형성될 수 있다. 그 외의 다양한 형성의 모양도 가능하며, 그 형상이 한정되지는 않는다. 리프트 핀 상부면이 접시 모양 또는 count bore 모양으로 형성되면, 기판(112)의 하중을 분산시키는 효과도 얻을 수 있다. 리프트 핀(119)은 전기 절연성이 뛰어난 PBI(Polybenzimidazole) 계열로 형성되는 것이 바람직하다. 상기 리프트 핀(119)을 절연성 물질로 형성시키는 이유는 공정과정 중에서 발생하는 플라즈마에 의한 영향을 막기 위함이다.The lift pin 119 is combined with the lifting pin 117 to move up and down. The upper surface of the lift pin 119 is made into a plate shape instead of the conventional cylindrical shape, as shown in FIG. 4A. The present invention improves the shape of the upper end of the lift pin because the conventional cylindrical lift pin can reduce the production yield due to the physicochemical phenomenon. In addition, as shown in Figure 4b may be formed in a count bore shape. Various other forms of formation are also possible, and the shape is not limited. If the upper surface of the lift pin is formed in the shape of a plate or a count bore, the effect of dispersing the load of the substrate 112 can also be obtained. The lift pin 119 is preferably formed of PBI (Polybenzimidazole) series having excellent electrical insulation. The reason why the lift pin 119 is formed of an insulating material is to prevent the influence of plasma generated during the process.

하우징(120)은 외주면이 원형이고, 중심부에는 관통홀을 갖는 도 4c에 도시된 바와 같이 원기둥 형상이다. 상부측에는 지지판(130)이 고정 스크류(132)로 체결되고 하부측에는 내주면 상에 내향 돌출부(120a)가 단차 형상으로 형성되어 승강 핀(117)의 승강 핀 결합부(118)가 상기 내향 돌출부(120a)에 걸려 하우징의 외부로 이탈되지 못하게 구성된다. 상기 지지판(130)은 환형의 디스크 형상의 몸체부와 그의 내주면에서 하향 연장된 파이프 형상의 연장부로 구성된다. 이때, 몸체부는 상기 하우징의 상부면을 덥고 연장부는 관통홀 내에 삽입된다. 도 4a 및 도 4b에 도시된 바와 같이, 하우징의 관통홀 내에 압축 스프링(140)을 삽입한 후, 상기 지지판(130)의 몸체부와 하우징(120)의 상부면에 상기 스크류로 체결된다. 상기 지지판(130)이 하우징(120)에 체결됨에 따라 압축 스프링(140)은 상기 승강 핀 결합부(118)의 상부면과 상기 지지판의 하부면 사이에 압축된 상태로 배치되어 리프트 핀(119)이 하향 편의되도록 한다. 이때 지지판(130)의 연장부의 외측면과 하우징(120)의 관통홀의 내측면 사이에는 소정의 간격이 형성되어, 압축 스프링(125)과 스프링 가이드(140)가 설치된다. 또한, 상기 하우징(120)은 전기 절연성이 뛰어난 폴리이미드 수지 계열로 형성되거나, 알루미늄으로 형성되고 내측벽에 폴리이미드 수지 계열로 제조된 스프링 가이드가 마련될 수도 있다. 스프링 가이드(140)는 압축 스프링(120)이 팽창 및 수축할 때 스프링의 형상이 비틀어지지 않도록 도와주는 역할을 한다. 이때, 압축 스프링(140)의 하단부가 승강 핀(117)의 승강 핀 결합부(118)의 상부면에 밀착되어 있으나, 리프트 핀(119)의 하부측에 안착부를 형성하고 상기 안착부 상에 밀착될 수도 있다.The housing 120 has a circular outer circumferential surface and has a cylindrical shape as shown in FIG. 4C having a through hole at the center thereof. On the upper side, the support plate 130 is fastened with the fixing screw 132, and on the lower side, the inward protrusion 120a is formed in a stepped shape on the inner circumferential surface, so that the lifting pin coupling portion 118 of the elevating pin 117 is the inward protrusion 120a. ) So as not to escape to the outside of the housing. The support plate 130 is composed of an annular disk-shaped body portion and a pipe-shaped extension portion extending downward from the inner circumferential surface thereof. At this time, the body portion is the upper surface of the housing and the extension is inserted into the through hole. 4A and 4B, after the compression spring 140 is inserted into the through hole of the housing, the screw is fastened to the body of the support plate 130 and the upper surface of the housing 120. As the support plate 130 is fastened to the housing 120, the compression spring 140 is disposed in a compressed state between an upper surface of the lifting pin coupling portion 118 and a lower surface of the support plate to lift pin 119. Let this be biased downwards. At this time, a predetermined interval is formed between the outer surface of the extension of the support plate 130 and the inner surface of the through hole of the housing 120, the compression spring 125 and the spring guide 140 is installed. In addition, the housing 120 may be formed of a polyimide resin series having excellent electrical insulation, or may be provided with a spring guide formed of aluminum and made of polyimide resin based on an inner wall thereof. The spring guide 140 serves to prevent the shape of the spring from twisting when the compression spring 120 expands and contracts. At this time, the lower end portion of the compression spring 140 is in close contact with the upper surface of the lifting pin coupling portion 118 of the lifting pin 117, but a seating portion is formed on the lower side of the lift pin 119, and is in close contact with the seating portion. May be

압축 스프링(125)은 외부 구동 장치에 의해 리프트 핀(119)이 상승하며 압축 스프링(125)이 더 수축되며, 하강시에는 압축 스프링(125)이 팽창하면서 리프트 핀 플레이트(117)를 하강시켜 리프트 핀(119)을 본래 위치에 되돌아오게 한다.The compression spring 125 lifts the lift pin 119 by an external driving device and further contracts the compression spring 125, and when lowered, the compression spring 125 expands and lowers the lift pin plate 117 to lift. Return pin 119 to its original position.

도 5a 및 도 5b는 도 4a에 따른 변형 예를 나타낸 단면도이다.5A and 5B are cross-sectional views illustrating a modified example according to FIG. 4A.

도 5a를 참조하면, 리프트 핀 어셈블리에서 승강핀 결합부(118)의 하부면과 하우징의 내향 돌출부(120a)의 상부면 사이에는 완충 부재(134)가 더 마련될 수 있다. 완충 부재(134)는 압축 스프링(125)이 팽창시 하우징(120)과 기판 승강 핀 결합부(118)의 접촉면에 충격을 주어 그로 인한 스크래치에 의한 손상을 방지하기 위해 사용된다. 이러한 완충 부재(134)의 재료로는 고무, 스펀지 등이 사용될 수 있다. 또한 도 5b에 도시된 바와 같이 상기 완충 부재로서, 완충 스프링(136)이 더 사용될 수 있다. 이때, 완충 스프링(136)의 탄성 계수는 리프트 핀(119)의 상단부가 정전척 내부로 수납되는데 영향을 주지 않는 범위 내에서 결정되어야 한다.Referring to FIG. 5A, a cushioning member 134 may be further provided between the lower surface of the lifting pin coupling portion 118 and the upper surface of the inward protrusion 120a of the housing in the lift pin assembly. The shock absorbing member 134 is used to shock the contact surface of the housing 120 and the substrate lift pin coupling portion 118 when the compression spring 125 is inflated to prevent damage due to scratches. Rubber, sponge, or the like may be used as the material of the buffer member 134. Also, as shown in FIG. 5B, as the shock absorbing member, a shock absorbing spring 136 may be further used. At this time, the elastic modulus of the buffer spring 136 should be determined within a range that does not affect the upper end of the lift pin 119 is accommodated into the electrostatic chuck.

도 6은 본 발명의 일 실시예의 변형예로서, 스프링(125)을 승강 핀(117) 하부면과 하우징(120)의 내향 돌출부(120a)의 상부면 사이에 마련된다. 이때 스프링(136)은 리프트 핀(119)의 상단부가 정전척 내부에 완전히 수납된 상태일 때 다소 신장된 상태에 있도록 설치되어, 리프트 핀(119)을 하향 편의 되도록 하여야 한다. 6 is a variation of one embodiment of the present invention, wherein a spring 125 is provided between the lower surface of the elevating pin 117 and the upper surface of the inwardly projecting portion 120a of the housing 120. At this time, the spring 136 is installed so that the upper end of the lift pin 119 is somewhat extended when the state is completely stored in the electrostatic chuck, so that the lift pin 119 is biased downward.

즉, 승강 핀(117)에 의해 리프트 핀(119)이 상승하면, 스프링(136)은 팽창하게 되고, 하강시 스프링(136)의 수축에 의해 기판 승강 핀(117)은 본래 자리로 돌아온다. 이 경우, 도 5a 및 5b 와 같이 완충 부재(134) 및 완충 스프링(136)을 사용하지 않고, 하우징(120) 하부 내측면과 리프트 핀 지지대(118)와의 손상을 방지할 수 있다.That is, when the lift pin 119 is raised by the lifting pin 117, the spring 136 expands, and the substrate lifting pin 117 returns to its original position due to the contraction of the spring 136 during the lowering. In this case, it is possible to prevent damage to the inner surface of the lower portion of the housing 120 and the lift pin support 118 without using the buffer member 134 and the buffer spring 136 as shown in FIGS. 5A and 5B.

도 4a에 도시된 리프트 핀 어셈블리가 장착된 플라즈마 처리 장치를 이용한 기판 처리 공정에 대해서 설명한다. 기판 반입 반출구(G)로부터 기판(112)이 반입 되면 도 7a에 도시된 바와 같이 외부 구동 장치에 의해 승강 핀(117)을 상승시켜 그에 결합된 리프트 핀(119)이 상승하게 된다. 이때 압축 스프링(125)은 수축하게 된다. 이 상태에서 기판(112)을 리프트 핀(119) 상부면에 안착시킨다. 이후 상기 외부 구동 장치의 구동력을 제거하면, 도 7b에 도시된 바와 같이 수축된 압축 스프링(125)의 팽창에 의해 리프트 핀(119)이 하강하여 기판(112)을 정전척(114)의 상부면에 안착 된다. 접시 모양의 리프트 핀(119) 상부면은 압축 스프링(125)에 의해 정전척(114)의 상부면과 동일하거나 또는 그의 상부면보다 아래에 위치하게 된다. 이와 같이, 센터 리프트 핀 어셈블리(115)는 기판(112)의 휨 현상(SAGGING)을 방지하기 위해 쓰이며, 리프트 핀 어셈블리(115)가 정전척(114)보다 아래에 위치하는 것은 기판(114) 하부면의 물화 현상을 없애기 위한 본 발명의 핵심 목적이다.A substrate processing process using the plasma processing apparatus equipped with the lift pin assembly shown in FIG. 4A will be described. When the substrate 112 is loaded from the substrate loading / unloading outlet G, as shown in FIG. 7A, the lifting pin 117 is lifted by the external driving device to lift the lift pin 119 coupled thereto. At this time, the compression spring 125 is contracted. In this state, the substrate 112 is seated on the upper surface of the lift pin 119. Subsequently, when the driving force of the external driving device is removed, the lift pin 119 is lowered by the expansion of the contracted compression spring 125, as shown in FIG. 7B, and the substrate 112 is moved to the upper surface of the electrostatic chuck 114. Is seated on. The upper surface of the plate-shaped lift pin 119 is the same as or lower than the upper surface of the electrostatic chuck 114 by the compression spring (125). As such, the center lift pin assembly 115 is used to prevent SAGGING of the substrate 112, and the lift pin assembly 115 is positioned below the electrostatic chuck 114 to lower the substrate 114. It is a core object of the present invention to eliminate the phenomena of cotton.

그 다음, 고압 직류 전원(126)으로부터 정전척(114)에 고전압이 인가되어 기판(112)은 정전력에 의해 정전척(114)에 흡착 유지된다. 이어서, 상부 전극(111)에 설치된 샤워헤드(도시되지 않음)로부터 플라즈마 처리 가스가 도입되고 진공 펌프를 이용하여 진공 챔버(110)를 소정 압력으로 유지한다. 외부로부터 정합된 고주파 전력이 상부 및 하부 전극(111,113)에 인가되고 고주파 전력에 의해 진공 챔버(110) 내의 가스가 전리되고, 상부 및 하부 전극(111,113) 사이의 공간에서 고밀도의 플라즈마를 발생시킨다. 이러한 고밀도 플라즈마에 의해 건식 식각 등의 플라즈마 처리를 수행한다. 플라즈마 처리가 종료하면 고압 직류 전원(126) 및 고주파 전원(121,124)으로부터 전력 공급이 정지되고, 외부 구동 장치가 리프트 핀 어셈블리(115)의 리프트 핀(119)을 상승시키고, 압축 스프링(125)은 수축하게 된다. 이에 따라 리프트 핀(119)에 의해 지지되는 기판(112)은 정전척(114)으로부터 탈착된다. 이후, 외부 구동 장치의 구동력이 제거되면 압축 스프링(125)의 팽창에 의해 리프트 핀(119)은 하강하여 원위치로 돌아가게 되고, 기판은 반입 반출구(G)를 통해 진공 챔버(110) 외부로 반출된다. Then, a high voltage is applied from the high voltage direct current power source 126 to the electrostatic chuck 114 so that the substrate 112 is held by the electrostatic chuck 114 by the constant power. Subsequently, a plasma processing gas is introduced from a shower head (not shown) provided in the upper electrode 111 and the vacuum chamber 110 is maintained at a predetermined pressure by using a vacuum pump. The high frequency power matched from the outside is applied to the upper and lower electrodes 111 and 113 and the gas in the vacuum chamber 110 is ionized by the high frequency power to generate a high density plasma in the space between the upper and lower electrodes 111 and 113. Plasma processing such as dry etching is performed by such a high density plasma. When the plasma processing ends, the power supply is stopped from the high voltage DC power supply 126 and the high frequency power supply 121, 124, and the external driving device lifts the lift pin 119 of the lift pin assembly 115, and the compression spring 125 Will contract. Accordingly, the substrate 112 supported by the lift pin 119 is detached from the electrostatic chuck 114. Subsequently, when the driving force of the external drive device is removed, the lift pin 119 is lowered and returned to its original position by the expansion of the compression spring 125, and the substrate is moved out of the vacuum chamber 110 through the loading / unloading outlet G. It is taken out.

이상에서 설명된 실시예는 본 발명을 설명하기 위한 일례에 불과하고, 본 발명의 권리 범위는 상기 실시예에 한정되지 않으며, 본 발명의 기술적 사항과 특허청구범위 내에서 이 분야의 당업자에 의하여 다양한 변경, 변형 또는 치환이 가능하며, 본 발명의 권리범위는 후속하는 특허청구범위에 기초하여 해석되어야 할 것이다. 예를 들어, 전술된 실시 예에서는 리프트 핀과 승강핀이 별도로 제작되어 결합된 형태이나, 이들이 일체로 형성될 수 있음은 물론이다.The embodiments described above are merely examples for describing the present invention, and the scope of the present invention is not limited to the above embodiments, and various modifications may be made by those skilled in the art within the technical details and claims of the present invention. Modifications, variations or substitutions are possible, and the scope of the present invention should be construed based on the following claims. For example, in the above-described embodiment, the lift pins and the lifting pins are separately manufactured and combined, but they may be formed integrally.

상술한 바와 같이, 본 발명에 따른 리프트 핀 어셈블리 및 플라즈마 처리 장치는 리프트 핀 상부면을 접시 모양으로 만들고, 리프트 핀 지지대와 상부면과 하우징 상부 내측면에 압축 스프링을 설치함으로써, 종래의 물화 현상과 리프트 핀이 리프트 홀에 끼어 하강 되지 못하는 문제점을 해결하였다.As described above, the lift pin assembly and the plasma processing apparatus according to the present invention make the lift pin upper surface into a plate shape, and by installing a lift pin support and a compression spring on the upper surface and the upper inner surface of the housing, The problem that the lift pin is stuck in the lift hole and cannot be lowered has been solved.

그러므로, 본 발명은 리프트 핀의 하강 되지 못하는 문제점을 해결함으로써, 리프트 핀 어셈블리의 고장율을 줄여 설비의 안정화에 따른 생산성을 향상할 수 있다.Therefore, the present invention can solve the problem that the lift pin can not be lowered, it is possible to reduce the failure rate of the lift pin assembly to improve the productivity according to the stabilization of the equipment.

또한, 본 발명은 리프트 핀의 상부면을 접시 모양으로 만들어 기판의 하중을 분산시키고, 본 발명의 리프트 핀 어셈블리로부터 물화 현상을 해결하는 효과가 있 다.In addition, the present invention has the effect of dispersing the load of the substrate by making the upper surface of the lift pin in the shape of a plate, and solve the phenomena from the lift pin assembly of the present invention.

또한, 본 발명은 리프트 핀 플레이트와 하우징 내부에 탄성 부재 및 완충 스프링을 더 구비하여 리프트 핀 플레이트와 하우징의 반복적인 접촉으로 인한 파티클 발생을 억제하는 효과가 있다.In addition, the present invention further includes an elastic member and a cushioning spring inside the lift pin plate and the housing to suppress particle generation due to repeated contact of the lift pin plate and the housing.

Claims (10)

진공 챔버 내에 위치하며, 상부에 기판이 안착되는 정전척 하측에 설치되는 리프트 핀 어셈블리에 있어서,In the lift pin assembly located in the vacuum chamber, which is installed below the electrostatic chuck on which the substrate is seated, 상기 진공 챔버 내부에서 상기 정전척 하측에 설치되며, 중심부에 관통부가 형성된 하우징과,A housing installed below the electrostatic chuck in the vacuum chamber and having a through portion formed at a central portion thereof; 상기 하우징의 관통부 내에 승하강이 가능하게 설치되고, 하부에는 안착부가 마련된 리프트 핀과,Lift pins are installed in the through portion of the housing so as to be lifted and lowered, and a seating portion is provided at a lower portion thereof; 상기 리프트 핀의 외측을 둘러 싸도록 설치되어, 상기 리프트 핀을 하향 편의시키는 스프링과;A spring installed to surround the outer side of the lift pin and biasing the lift pin downward; 상기 하우징의 내측에서 상기 스프링의 외측을 둘러싸도록 설치되어, 상기 스프링을 안내하는 스프링 가이드를 포함하는 것을 특징으로 하는 리프트 핀 어셈블리.And a spring guide installed to surround the outside of the spring at an inner side of the housing to guide the spring. 청구항 1에 있어서, 상기 하우징의 상단부에는 탈착 가능한 지지판이 포함되고, 상기 지지판과 상기 안착부 사이에 압축 스프링이 구비된 것을 특징으로 하는 리프트 핀 어셈블리.The lift pin assembly as set forth in claim 1, wherein the upper end of the housing includes a removable support plate, and a compression spring is provided between the support plate and the seating part. 청구항 2에 있어서, 상기 지지판은 환형의 디스크 형상의 몸체부와 그의 내주면에서 하향 연장된 파이프 형상인 것을 특징으로 하는 리프트 핀 어셈블리.The lift pin assembly according to claim 2, wherein the support plate has an annular disk-shaped body portion and a pipe shape extending downward from the inner circumferential surface thereof. 청구항 3에 있어서, 상기 리프트 핀 하단부와 결합 되는 승강 핀 결합부가 형성된 승강 핀을 더 포함하고, 상기 안착부는 상기 승강 핀 결합부를 포함하는 것을 특징으로 하는 리프트 핀 어셈블리.The lift pin assembly of claim 3, further comprising a lift pin having a lift pin coupling portion coupled to the lift pin lower portion, wherein the seating portion includes the lift pin coupling portion. 청구항 4에 있어서, 상기 하우징은 상단부에 탈착 가능하게 장착되는 지지판과 하단부의 관통부 내에 내향 돌출된 내향 돌출부를 포함하고, 상기 승강 핀 결합부 하단면과 상기 하우징 하단부 내향 돌출부 사이에 스프링이 구비된 것을 특징으로 하는 리프트 핀 어셈블리. The method according to claim 4, wherein the housing includes a support plate which is detachably mounted to the upper end and the inward protrusion projecting inwardly in the through portion of the lower end, the spring is provided between the lower end of the lifting pin coupling portion and the inward protrusion of the housing lower end Lift pin assembly, characterized in that. 청구항 1 내지 청구항 3 중 어느 한 항에 있어서, 상기 하우징의 하단부에는 관통부 내에 내향 돌출된 내향 돌출부를 포함하고, 상기 내향 돌출부와 안착부의 하부면 사이에 완충 부재를 더 구비하는 것을 특징으로 하는 리프트 핀 어셈블리.The lift according to any one of claims 1 to 3, wherein the lower end of the housing includes an inward protrusion projecting inwardly in the through portion, and further comprising a cushioning member between the inward protrusion and the bottom surface of the seating portion. Pin assembly. 청구항 1 내지 청구항 4중 어느 한 항에 있어서, 상기 스프링은 스테인레스에 텅스텐이 코팅된 것을 특징으로 하는 리프트 핀 어셈블리.The lift pin assembly according to any one of claims 1 to 4, wherein the spring is coated with tungsten on stainless steel. 청구항 1 내지 청구항 4중 어느 한 항에 있어서, 상기 리프트 핀은 PBI(Polybezimidazole) 계열, 스프링 가이드는 폴리이미드 계열로 이루어진 것을 특징으로 하는 리프트 핀 어셈블리.The lift pin assembly according to any one of claims 1 to 4, wherein the lift pin is made of PBI (Polybezimidazole) series and the spring guide is made of polyimide series. 청구항 1 내지 청구항 3에 있어서, 리프트 핀의 상부면은 접시 모양으로 형성된 것을 특징으로 하는 리프트 핀 어셈블리.The lift pin assembly of claim 1, wherein the upper surface of the lift pin is formed in a dish shape. 청구항 1 내지 청구항 3 중 한 항에 기재된 리프트 핀 어셈블리를 포함하는 것을 특징으로 하는 플라즈마 처리 장치.A plasma processing apparatus comprising the lift pin assembly according to any one of claims 1 to 3.
KR1020050066580A 2005-05-09 2005-07-22 Lift Pin Assembly and Plasma Processingg Apparatus KR101235623B1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
KR1020050066580A KR101235623B1 (en) 2005-07-22 2005-07-22 Lift Pin Assembly and Plasma Processingg Apparatus
CN2008100857118A CN101261791B (en) 2005-05-09 2006-05-09 Lift pin module of FPD manufacturing machine
TW095116472A TWI354824B (en) 2005-05-09 2006-05-09 Lift pin module of flat panel display manufacturin
CN2008100857048A CN101308806B (en) 2005-05-09 2006-05-09 Lift pin module of fpd manufacturing machine

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050066580A KR101235623B1 (en) 2005-07-22 2005-07-22 Lift Pin Assembly and Plasma Processingg Apparatus

Publications (2)

Publication Number Publication Date
KR20070011904A KR20070011904A (en) 2007-01-25
KR101235623B1 true KR101235623B1 (en) 2013-02-21

Family

ID=38012470

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050066580A KR101235623B1 (en) 2005-05-09 2005-07-22 Lift Pin Assembly and Plasma Processingg Apparatus

Country Status (1)

Country Link
KR (1) KR101235623B1 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019139731A1 (en) * 2018-01-09 2019-07-18 Varian Semiconductor Equipment Associates, Inc. Lift pin system for wafer handling
US11282738B2 (en) 2019-12-16 2022-03-22 Samsung Electronics Co., Ltd. Lift pin module

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020189803A1 (en) * 2019-03-15 2020-09-24 에스케이실트론 주식회사 Damping device and epitaxial reactor including same
WO2020189804A1 (en) * 2019-03-15 2020-09-24 에스케이실트론 주식회사 Buffer device and epitaxial reactor comprising same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07161800A (en) * 1993-12-13 1995-06-23 Kokusai Electric Co Ltd Substrate support device
KR20010036322A (en) * 1999-10-07 2001-05-07 윤종용 Wafer lifter for semiconductor manufacturing apparatus
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07161800A (en) * 1993-12-13 1995-06-23 Kokusai Electric Co Ltd Substrate support device
KR20010036322A (en) * 1999-10-07 2001-05-07 윤종용 Wafer lifter for semiconductor manufacturing apparatus
US6887317B2 (en) * 2002-09-10 2005-05-03 Applied Materials, Inc. Reduced friction lift pin

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019139731A1 (en) * 2018-01-09 2019-07-18 Varian Semiconductor Equipment Associates, Inc. Lift pin system for wafer handling
US10784142B2 (en) 2018-01-09 2020-09-22 Varian Semiconductor Equipment Associates, Inc. Lift pin system for wafer handling
US11282738B2 (en) 2019-12-16 2022-03-22 Samsung Electronics Co., Ltd. Lift pin module

Also Published As

Publication number Publication date
KR20070011904A (en) 2007-01-25

Similar Documents

Publication Publication Date Title
US8409995B2 (en) Substrate processing apparatus, positioning method and focus ring installation method
KR102434559B1 (en) Mounting table and plasma processing apparatus
JP4951536B2 (en) Substrate mounting table and substrate processing apparatus
KR100636487B1 (en) Apparatus for supporting a substrate and method for dechucking a substrate
KR102002216B1 (en) Substrate lifting mechanism, substrate mounting table, and substrate processing apparatus
KR100993441B1 (en) Substrate loading mechanism, substrate transfer method, substrate processing apparatus and computer readable storage medium
US8568554B2 (en) Movable gas introduction structure and substrate processing apparatus having same
JP2011517087A (en) Plasma processing apparatus and plasma processing method
JP5219377B2 (en) Substrate mounting table and substrate processing apparatus
US20110061813A1 (en) Plasma processing apparatus
KR101235623B1 (en) Lift Pin Assembly and Plasma Processingg Apparatus
KR102143140B1 (en) Baffle unit, apparatus and method for treating substrate using the same
KR101345605B1 (en) Elevating apparatus, system for processing a substrate and method of processing the substrate using the same
KR100589703B1 (en) Plasma treatment apparatus
KR101135355B1 (en) Substrate lifting apparatus
US11189467B2 (en) Apparatus and method of attaching pad on edge ring
KR100596328B1 (en) Lift pin module of fpd manufacturing machine
KR101256485B1 (en) Processing chamber for substrate processing apparatus
JPWO2005055298A1 (en) Plasma processing apparatus and multi-chamber system
KR101390785B1 (en) Apparatus for processing substrate and method for processing substrate
KR20080089791A (en) Wafer lift unit and semiconductor manufacturing apparatus with the same
KR102504269B1 (en) Support unit, and apparatus for treating substrate with the same
JP2020115519A (en) Mounting table and substrate processing device
US20230178417A1 (en) Substrate support, plasma processing apparatus, and ring replacement method
KR101748195B1 (en) electrostatic chuck supporting semiconductor board using multilayer ceramic electrode

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee