KR101044467B1 - Titanium silicide forming method of semiconductor device - Google Patents

Titanium silicide forming method of semiconductor device Download PDF

Info

Publication number
KR101044467B1
KR101044467B1 KR1020080114705A KR20080114705A KR101044467B1 KR 101044467 B1 KR101044467 B1 KR 101044467B1 KR 1020080114705 A KR1020080114705 A KR 1020080114705A KR 20080114705 A KR20080114705 A KR 20080114705A KR 101044467 B1 KR101044467 B1 KR 101044467B1
Authority
KR
South Korea
Prior art keywords
forming
gate electrode
titanium
titanium silicide
annealing
Prior art date
Application number
KR1020080114705A
Other languages
Korean (ko)
Other versions
KR20100055825A (en
Inventor
선종원
Original Assignee
주식회사 동부하이텍
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 동부하이텍 filed Critical 주식회사 동부하이텍
Priority to KR1020080114705A priority Critical patent/KR101044467B1/en
Publication of KR20100055825A publication Critical patent/KR20100055825A/en
Application granted granted Critical
Publication of KR101044467B1 publication Critical patent/KR101044467B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32055Deposition of semiconductive layers, e.g. poly - or amorphous silicon layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823443MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 반도체 소자의 티타늄 실리사이드 형성방법에 관한 것으로서, 폴리실리콘과 티타늄을 이용하여 무정질 상태에서 티타늄 실리사이드를 형성한 다음 레이져 어닐링을 통해 무정질 실리콘을 결정질 폴리실리콘으로 변경시켜 주어 선폭 0.25㎛ 이하의 소자에서도 티타늄 실리사이드를 형성할 수 있도록 한 것에 특징이 있으며, 따라서 반도체 소자의 게이트의 저항과 소스/드레인 영역과의 접촉 저항을 감소시킬 수 있으며, 특히 기존 코발트와 티타늄을 혼용하여 사용하는 소자에서는 티타늄 단일 재료를 사용하여 티타늄 실리사이드를 형성할 수 있으므로 제품에 따른 공정의 복잡성을 해소시킬 수 있는 이점이 있다. The present invention relates to a method for forming titanium silicide of a semiconductor device, wherein titanium silicide is formed in an amorphous state using polysilicon and titanium, and then the amorphous silicon is changed to crystalline polysilicon through laser annealing to give a line width of 0.25 μm or less. It is characterized by the fact that it is possible to form titanium silicide in the device of, thus reducing the resistance of the gate resistance of the semiconductor device and the contact resistance between the source and the drain region, especially in the case of using a mixture of conventional cobalt and titanium Titanium silicides can be formed using a single titanium material, which has the advantage of reducing the complexity of the process according to the product.

Description

반도체 소자의 티타늄 실리사이드 형성방법{Titanium silicide forming method of semiconductor device}Titanium silicide forming method of semiconductor device

본 발명은 반도체 소자의 티타늄 실리사이드 형성방법에 관한 것으로서, 더욱 상세하게는 선폭 0.25㎛ 이하의 소자에서 폴리실리콘과 티타늄을 이용하여 실리사이드를 형성할 수 있도록 함으로서 게이트의 저항과 접촉 저항을 감소시킬 수 있는 반도체 소자의 티타늄 실리사이드 형성방법에 관한 것이다. The present invention relates to a method for forming titanium silicide of a semiconductor device, and more particularly, to enable silicide to be formed using polysilicon and titanium in a device having a line width of 0.25 μm or less, thereby reducing the resistance and contact resistance of the gate. A method for forming titanium silicide in a semiconductor device.

반도체 소자의 고집적화로 인해 디자인 룰(design rule)이 미세화됨에 따라 트랜지스터 게이트 전극(gate electrode)의 폭과 접촉(contact)의 크기가 축소되므로 이에 따른 게이트 저항 및 접촉 저항의 증가를 극복하기 위하여 실리사이드(salicide) 공정이 개발되었다. As the design rule is miniaturized due to high integration of semiconductor devices, the width of the gate gate of the transistor and the size of the contact are reduced, so that silicide ( salicide process has been developed.

실리사이드 공정이란 'self aligned silicide'의 약자로서 MOS 트랜지스터 형성시 게이트 전극, 소스/드레인 및 LDD 스페이서(spacer)를 형성 후, 상기 트렌지스터 소자의 소스/드레인 영역과 게이트 배선의 저항을 낮추기 위하여 8족의 금 속(Ni, Co, Pt, 등) 또는 Ti를 증착한 후 어닐링(annealing) 공정을 진행하여 실리콘과 상기 금속 물질과 반응시키고 반응을 진행하지 않은 금속, 즉 소스/드레인 및 게이트 상부를 제외한 영역의 금속 물질을 습식 식각 등으로 제거하는 일련의 공정을 말한다.The silicide process is an abbreviation of 'self aligned silicide'. After forming the gate electrode, the source / drain and the LDD spacer when forming the MOS transistor, the group 8 group is used to lower the resistance of the source / drain region and the gate wiring of the transistor element. After depositing metal (Ni, Co, Pt, etc.) or Ti, an annealing process is performed to react with silicon and the metal material and to remove the non-reacted metal, that is, the region except the source / drain and the top of the gate. Refers to a series of processes for removing metals by wet etching.

도 1은 종래의 기술에 따른 실리사이드 형성 방법에 의해 형성된 반도체 소자를 보여주는 단면도이다. 1 is a cross-sectional view showing a semiconductor device formed by a silicide formation method according to the prior art.

첨부된 도 1에 도시한 바와 같이, 반도체 기판(210)의 상부에 순차적으로 형성된 게이트 산화막(220) 및 게이트 전극(230)과, 상기 게이트 전극(230)의 양 측벽과 상기 반도체 기판 표면의 일부에 형성된 스페이서(240)와, 상기 게이트 전극(230)의 하부 좌우측에 형성되는 소스/드레인 영역(250)과, 상기게이트 전극(230)과 소스/드레인 영역(250) 상부에 형성된 실리사이드(260)로 구성된다.As shown in FIG. 1, the gate oxide film 220 and the gate electrode 230 sequentially formed on the semiconductor substrate 210, both sidewalls of the gate electrode 230, and a part of the surface of the semiconductor substrate. A spacer 240 formed at the upper portion, source / drain regions 250 formed at the lower left and right sides of the gate electrode 230, and silicide 260 formed at the gate electrode 230 and the source / drain regions 250. It consists of.

여기서 실리사이드(260)는 열적 안정성(thermal stability)이 낮아서 후속되는 열처리에 의해 응집(agglomeration)되어 소스/드레인 영역(250)의 콘택저항(contact resistance)과 면저항(sheet resistance)이 증가될 뿐만 아니라, 금속 원자의 확산으로 인하여 접합 누설 특성이 열화되는 문제점이 있다. 이에 따라, 고온에서 높은 안정성과 낮은 비저항(resistivity)을 갖는 티타늄 실리사이드(titanium silicide)와 코발트 실리사이드(cobalt silicide)가 가장 널리 사용되고 있다. Here, the silicide 260 is low thermal stability (agglomeration) by the subsequent heat treatment not only increases the contact resistance (sheet resistance) and sheet resistance (sheet resistance) of the source / drain region 250, There is a problem in that the junction leakage property is deteriorated due to the diffusion of metal atoms. Accordingly, titanium silicide and cobalt silicide, which have high stability at low temperatures and low resistivity, are most widely used.

일반적으로 선폭 0.25um까지는 티타늄을 사용하고 그 이하의 선폭에서는 코발트를 사용하는 것이 일반적이다. 선폭에 따라서 티타늄과 코발트를 구분하여 사 용하는 이유는 여러가지가 있지만 대표적으로 선폭에서의 결정구조의 상전이의 유무에 따라 물질을 다르게 사용한다. 즉 티타늄의 경우 선폭이 0.2um이하에서는 C49 상의 TiSi2구조가 C54 상의 TiSi2구조로 상전이가 되지 않는다. 그 이유는 일반적인 저항을 감소시키기 위해 증착되는 폴리실리콘의 입자 크기가 선폭인 0.2um보다 작기 때문에 상전이가 이루어지 지지 않는다.In general, titanium is used up to a line width of 0.25um, and cobalt is generally used at line widths below that. There are various reasons for using titanium and cobalt separately depending on the line width. However, materials are used differently depending on the presence or absence of phase transition of the crystal structure in the line width. That is, when the line width of titanium is less than 0.2um in TiSi 2 structure on the C49 is not a phase transition to TiSi 2 structure on the C54. The reason is that no phase transition occurs because the particle size of the polysilicon deposited to reduce the general resistance is smaller than the line width of 0.2 um.

그에 따라 티타늄 실리사이드가 고온에서 높은 안정성과 낮은 비저항을 가짐에도 불구하고, 0.25㎛급의 디자인 룰을 갖는 반도체 소자에서는 게이트의 선폭(line width)에 대한 의존성이 적은 코발트 실리사이드가 주로 사용되고 있는 실정이다. Accordingly, although titanium silicide has high stability and low resistivity at high temperature, cobalt silicide having a small dependency on gate line width is mainly used in semiconductor devices having a 0.25 μm-class design rule.

이에 본 발명은 상기한 종래의 문제점을 해소하기 위한 것으로, 선폭 0.25㎛ 이하의 소자에서 폴리실리콘과 티타늄을 이용하여 실리사이드를 형성할 수 있도록 하여 게이트의 저항과 소스/드레인 영역과의 접촉 저항을 감소시킬 수 있는 반도체 소자의 티타늄 실리사이드 형성방법을 제공하는데 그 목적이 있다. Accordingly, the present invention is to solve the above-described problems, it is possible to form a silicide using polysilicon and titanium in a device having a line width of 0.25㎛ or less to reduce the contact resistance between the gate resistance and the source / drain region It is an object of the present invention to provide a method for forming titanium silicide of a semiconductor device.

상기한 목적을 달성하기 위하여 본 발명은, 반도체 기판 상부에 무정질 실리콘을 증착하고, 이를 사진식각 공정으로 패터닝하여 게이트 전극을 형성하는 단계; 상기 게이트 전극의 측벽에 스페이서를 형성하고, 이온 주입 공정을 통해 LDD(lightly doped drain) 구조의 소스/드레인 영역을 형성하는 단계; 상기 게이트 전극 및 소스/드레인영역 상에 Ti/TiN을 증착하는 단계; 1차 어닐링을 실시하여 C49 구조의 TiSi2를 형성시킨 다음 2차 어닐링을 실시하여 C49 구조의 TiSi2를 C54 구조의 TiSi2로 형성시키는 단계; 및 레이져 어닐링을 진행하여 무정질 실리콘을 결정질의 폴리실리콘으로 변형시키는 단계;를 포함함을 특징으로 하는 반도체 소자의 티타늄 실리사이드 형성방법을 제공한다. In order to achieve the above object, the present invention comprises the steps of depositing amorphous silicon on a semiconductor substrate, and patterning it by a photolithography process to form a gate electrode; Forming a spacer on a sidewall of the gate electrode and forming a source / drain region of a lightly doped drain (LDD) structure through an ion implantation process; Depositing Ti / TiN on the gate electrode and source / drain regions; Subjected to a primary anneal by the step of annealing was subjected to the following secondary forming TiSi 2 in the C49 structure forming the TiSi 2, TiSi 2 of the C49 structure with a C54 structure; And performing laser annealing to transform amorphous silicon into crystalline polysilicon.

상기에서 본 발명은 폴리실리콘 증착이 500∼580℃에서 실시하는 것을 특징으로 한다. The present invention is characterized in that the polysilicon deposition is carried out at 500 ~ 580 ℃.

아울러 본 발명은, 상기 레이져 어닐링이 620∼1020℃ 내의 온도구간에서 실시하는 것을 특징으로 한다. In addition, the present invention is characterized in that the laser annealing is carried out at a temperature range of 620 ~ 1020 ℃.

나아가 본 발명은, 상기 1차 어닐링 이전 또는 2차 어닐링 이후에 상기 게이트 전극 또는 소스/드레인 영역에 N 타입 이온을 주입하는 단계를 더 포함하는 것을 특징으로 한다. Furthermore, the present invention further includes the step of implanting N-type ions into the gate electrode or source / drain region before the primary annealing or after the secondary annealing.

상기 N타입 이온은 P+ 이온을 주입하는 것을 특징으로 한다. The N-type ion is characterized in that the implantation of P + ions.

본 발명에 따른 반도체 소자의 티타늄 실리사이드 형성방법에서는 폴리실리콘과 티타늄을 이용하여 무정질 상태에서 티타늄 실리사이드를 형성한 다음 레이져 어닐링을 통해 무정질 실리콘을 결정질 폴리실리콘으로 변경시켜 주어 선폭 0.25㎛ 이하의 소자에서도 티타늄 실리사이드를 형성할 수 있게 된다. 따라서 반도체 소자의 게이트의 저항과 소스/드레인 영역과의 접촉 저항을 감소시킬 수 있으며, 특히 기존 코발트와 티타늄을 혼용하여 사용하는 소자에서는 티타늄 단일 재료를 사용하여 티타늄 실리사이드를 형성할 수 있으므로 제품에 따른 공정의 복잡성을 해소시킬 수 있다. In the method for forming titanium silicide of the semiconductor device according to the present invention, titanium silicide is formed in an amorphous state using polysilicon and titanium, and then the amorphous silicon is changed to crystalline polysilicon through laser annealing, so that the device has a line width of 0.25 μm or less. It is possible to form titanium silicide at. Therefore, it is possible to reduce the resistance of the gate of the semiconductor device and the contact resistance between the source and the drain region, and in particular, in the case of using a mixture of cobalt and titanium, titanium silicide may be formed using a single titanium material. The complexity of the process can be eliminated.

이하 첨부한 도면을 참조하여 본 발명의 바람직한 실시예에 대한 구성 및 작용을 상세히 설명하면 다음과 같다.Hereinafter, the configuration and operation of the preferred embodiment of the present invention will be described in detail with reference to the accompanying drawings.

도 2a 내지 도 2d는 본 발명의 일실시예에 따른 반도체 소자의 티타늄 실리사이드 형성방법을 설명하기 위한 공정별 반도체 소자의 단면도이다. 2A through 2D are cross-sectional views of semiconductor devices by processes for explaining a method of forming titanium silicide of a semiconductor device according to an embodiment of the present invention.

본 발명은 폴리실리콘과 티타늄을 이용하여 무정질 상태에서 티타늄 실리사이드를 형성한 다음 레이져 어닐링을 통해 무정질 실리콘을 결정질 폴리실리콘으로 변경시켜 주어 선폭 0.25㎛ 이하의 소자에서도 티타늄 실리사이드(170)를 형성할 수 있도록 한 것에 그 특징이 있다. The present invention forms titanium silicide in an amorphous state using polysilicon and titanium, and then changes the amorphous silicon to crystalline polysilicon through laser annealing to form titanium silicide 170 even in devices having a line width of 0.25 μm or less. Its features are that it makes it possible.

이를 위하여, 본 발명에 따른 반도체 소자의 티타늄 실리사이드(160) 형성방법은 반도체 기판(110) 상부에 무정질 실리콘을 증착하고, 이를 사진식각 공정으로 패터닝하여 게이트 전극(130)을 형성하는 단계, 상기 게이트 전극(130)의 측벽에 스페이서(140)를 형성하고, 이온 주입 공정을 통해 LDD(lightly doped drain) 구조의 소스/드레인 영역(150)을 형성하는 단계, 상기 게이트 전극(130) 및 소스/드레인영역(150) 상에 Ti/TiN을 증착하는 단계, 1차 어닐링을 실시하여 C49 구조의 TiSi2를 형성시킨 다음 2차 어닐링을 실시하여 C49 구조의 TiSi2를 C54 구조의 TiSi2로 형성시키는 단계 및 레이져 어닐링을 진행하여 무정질 실리콘을 결정질의 폴리실리콘으로 변형시키는 단계를 포함하여 이루어진다. To this end, the method of forming the titanium silicide 160 of the semiconductor device according to the present invention comprises depositing amorphous silicon on the semiconductor substrate 110, patterning it by a photolithography process to form a gate electrode 130, the Forming a spacer 140 on sidewalls of the gate electrode 130, and forming a source / drain region 150 having a lightly doped drain (LDD) structure through an ion implantation process, the gate electrode 130 and the source / depositing a Ti / TiN on the drain region 150, subjected to the primary annealing and which form a TiSi 2 of the C49 structure, and then subjected to secondary annealing for forming the TiSi 2 of the C49 structure with TiSi 2 of the C54 structure And laser annealing to transform the amorphous silicon into crystalline polysilicon.

도 2a를 참조하여 설명하면 좀더 세부적으로 설명하면 본 발명에서는 먼저 반도체 기판(110) 상부에 580℃ 이하의 온도에서 폴리실리콘을 증착하고, 이를 사진식각 공정으로 패터닝하여 무정질 실리콘 게이트 전극(130)을 형성하는 단계, 상기 게이트 전극(130)의 측벽에 스페이서(140)를 형성하고, 이온 주입 공정을 통해 LDD(lightly doped drain) 구조의 소스/드레인 영역(150)을 형성하는 단계를 거치게 된다. Referring to FIG. 2A, in more detail, in the present invention, polysilicon is first deposited on the semiconductor substrate 110 at a temperature of 580 ° C. or lower, and patterned by a photolithography process to form an amorphous silicon gate electrode 130. Forming a spacer 140 on sidewalls of the gate electrode 130, and forming a source / drain region 150 having a lightly doped drain (LDD) structure through an ion implantation process.

통상적으로 폴리실리콘 증착공정은 STI(Shallow Trench Isolation)형 소자분리막이 형성된 반도체 기판(110) 상부에 게이트 전극(130) 형성용 폴리실리콘을, 예를 들면 저압화학기상증착(Low Pressure Chemical Vapor Deposition : 이하, LPCVD라 칭함) 기법으로 증착하게 된다. 이때, 폴리실리콘을 증착하기에 앞서 반도체 기판(110) 상부에는 게이트절연막 형성용 산화막(120)이 형성될 수 있다. In general, the polysilicon deposition process includes polysilicon for forming the gate electrode 130 on the semiconductor substrate 110 on which a shallow trench isolation (STI) type isolation layer is formed, for example, low pressure chemical vapor deposition (Low Pressure Chemical Vapor Deposition): Hereinafter referred to as LPCVD). In this case, prior to depositing polysilicon, an oxide layer 120 for forming a gate insulating layer may be formed on the semiconductor substrate 110.

이때, 본 발명에 따르면 상기 폴리실리콘 증착공정시 580℃ 이하의 온도에서 폴리실리콘을 증착하는 것이 매우 중요하다. 이것은 폴리실리콘의 증착시 온도가 580℃를 초과할 경우 결정질의 폴리실리콘이 증착되기 때문이다. 결정질의 폴리실리콘이 증착될 경우 종래 문제점에서 제시한 바와 같이 어닐링을 실시하여도 C54 구조의 TiSi2를 형성시키는 것이 곤란하며, 따라서 580℃ 이하의 온도에서 폴리실리콘을 증착하여 입자가 형성되지 않은 무정질 실리콘을 형성하는 것이 바람직하다. 더욱 바람직하게는 상기 폴리실리콘의 증착이 500∼580℃의 온도에서 이루어지는 것이 바람직하다. 여기서 증착 온도가 500℃ 미만일 경우 폴리실리콘의 증착이 원할하게 이루어지지 않는 문제가 있다. 이와 같이 무정질 실리콘이 형성되게 폴리실리콘을 증착하는 것은 후술하는 어닐링 공정을 통하여 C54 구조의 TiSi2 구조를 형성하고, 이후 레이져 어닐링을 통해 무정질 실리콘을 결정질의 폴리실리콘으로 구조변경하여 선폭 0.25㎛이하에서도 티타늄 실리사이드(160)의 형성이 가능하도록 하기 위함이다. In this case, according to the present invention, it is very important to deposit polysilicon at a temperature of 580 ° C. or less during the polysilicon deposition process. This is because crystalline polysilicon is deposited when the temperature at the time of deposition of polysilicon exceeds 580 ° C. When crystalline polysilicon is deposited, it is difficult to form TiSi 2 having a C54 structure even by annealing as suggested in the conventional problem. Therefore, amorphous silicon is not formed by depositing polysilicon at a temperature of 580 ° C. or lower. It is desirable to form vaginal silicon. More preferably, the polysilicon is deposited at a temperature of 500 to 580 ° C. If the deposition temperature is less than 500 ℃ there is a problem that the deposition of polysilicon is not made smoothly. As described above, the deposition of polysilicon to form amorphous silicon forms a Ti54 structure having a C54 structure through an annealing process described later, and then changes the structure of amorphous silicon into crystalline polysilicon through laser annealing, and has a line width of 0.25 μm or less. In order to enable the formation of the titanium silicide 160 also.

폴리실리콘 증착 후 이를 통상의 사진식각 공정을 통해 패터닝하여 무정질 실리콘 게이트 전극(130)을 형성하게 된다. 사진식각 공정은 도면에 도시하지는 않았으나 폴리실리콘 상에 포토레지스트를 도포한 후 게이트를 정의하는 노광마스크를 사용한 노광 및 현상을 실시하여 게이트 전극 영역을 덮는 포토레지스트 패턴을 형성하고, 포토레지스트 패턴으로 보호되지 않는 게이트 형성용 폴리실리콘, 게이트절연막 형성용 산화막을 건식 식각 등의 비등방성 식각으로 제거하여 게이트 전극을 형성하는 것이다. After polysilicon deposition, it is patterned through a conventional photolithography process to form the amorphous silicon gate electrode 130. Although not shown in the drawing, the photolithography process is performed by exposing and developing a photoresist on polysilicon, followed by exposure and development using an exposure mask defining a gate to form a photoresist pattern covering the gate electrode region, and protecting with a photoresist pattern. The gate electrode is formed by removing the gate forming polysilicon and the gate insulating film forming oxide film by anisotropic etching such as dry etching.

게이트 전극(130)의 형성이 완료되면 절연물질로서 상기 게이트 전극(130) 상에, N-채널 모스 트랜지스터의 경우 P형 불순물, 예컨대 보론 이온을 저농도로 주입함으로써 상기 게이트 전극(130)에 자기정합되는 저농도의 소스/드레인 영역을 형성한다. 그런다음 상기 전면에 절연물질로, 예컨대 실리콘 질화물을 증착한 후 이를 건식 식각함으로써 상기 게이트 전극(130)의 측벽에 스페이서(140)를 형성한다. 상기 공정 후 이온을 고농도로 주입함으로써 상기 스페이서(140)에 자기정합되는 고농도의 소스/드레인 영역을 형성한다. 상기한 공정의 결과로, LDD(Lightly Doped Drain) 구조의 소스/드레인 영역(150)이 형성된다. 이때, 상기 소스/드레인 영역(150)의 형성과정에서 통상적으로 실시되는 소스/드레인 어닐링은 레이져 어닐링으로 진행하는 것도 가능하다. When the formation of the gate electrode 130 is completed, self-alignment is performed on the gate electrode 130 by injecting P-type impurities such as boron ions at low concentration in the case of an N-channel MOS transistor as an insulating material. Forming a low concentration source / drain region. Then, a spacer 140 is formed on the sidewall of the gate electrode 130 by depositing silicon nitride with an insulating material on the front surface and dry etching the same. After the process, a high concentration of ions are implanted to form a high concentration source / drain region which is self-aligned to the spacer 140. As a result of the above process, source / drain regions 150 of LDD (Lightly Doped Drain) structures are formed. In this case, the source / drain annealing that is typically performed in the process of forming the source / drain region 150 may be performed by laser annealing.

소스/드레인 영역(150)의 형성이 완료되며, 도 2b에 도시된 바와 같이 상기 게이트 전극(130) 및 소스/드레인영역(150) 상에 Ti/TiN을 증착하는 단계를 거치게 된다. Formation of the source / drain region 150 is completed, and as shown in FIG. 2B, Ti / TiN is deposited on the gate electrode 130 and the source / drain region 150.

이때, 상기 Ti/TiN을 증착하기 전에 세정 공정이 수행될 수 있다. 예컨대 반도체 기판(110)의 활성영역 상에 형성된 자연산화막을 희석된 불산용액으로 제거 공정을 수행함으로써 양질의 티타늄 실리사이드 형성이 가능하다. 이후 상기 반도체 기판(110) 상에 Ti/TiN을 증착시켜 Ti 금속막(170)을 형성키게 된다. 증착은 플라즈마를 이용한 PVD(Plasma Vapor Deposition) 또는 CVD(Chemical vapor deposition)에 의해 이루어질 수 있다. In this case, a cleaning process may be performed before depositing the Ti / TiN. For example, it is possible to form high quality titanium silicide by performing a process of removing the native oxide film formed on the active region of the semiconductor substrate 110 with dilute hydrofluoric acid solution. Thereafter, Ti / TiN is deposited on the semiconductor substrate 110 to form a Ti metal layer 170. Deposition may be performed by plasma vapor deposition (PVD) or chemical vapor deposition (CVD) using plasma.

Ti 증착이 완료되면, 티타늄 실리사이드가 상기 게이트 전극(130)과 상기 소스/드레인 영역(150) 상부에 형성될 수 있도록 1차 어닐링 및 2차 어닐링하여 C54 구조의 TiSi2를 형성시키는 단계를 거치게 된다. 도 2c와 도 2d를 참조하면, 먼저 1차 어닐링을 실시하여 C49 구조의 TiSi2(180)를 형성시키고, 이후 2차 어닐링을 실시하여 C49 구조의 TiSi2(180) C54 구조의 TiSi2(190) 형성시키게 된다. After the Ti deposition is completed, the first and second annealing process is performed to form TiSi 2 having a C54 structure so that titanium silicide may be formed on the gate electrode 130 and the source / drain region 150. . Even if 2c with reference to Figure 2d, first, the first subjected to an annealing to form a TiSi 2 (180) of the C49 structure, since two of the TiSi 2 (180) C54 structure of the C49 structure subjected to primary annealing TiSi 2 (190 ) Formed.

상기 1차 어닐링은 저온에서 수행되는데 통상 750~850℃에서 어닐링을 실시하고 반응하지 않은 티타늄을 제거한다. 1차 어닐링이 완료되면 C49 상의 TiSi2(180)가 형성된다. 2차 어닐링은 다소 고온인 약 850~950℃에서 실시되는데, 이를 통해 저항이 낮은 C54상의 TiSi2(190)가 형성된다. The first annealing is carried out at a low temperature, usually annealing at 750 ~ 850 ℃ to remove the unreacted titanium. Upon completion of the primary annealing, TiSi 2 180 on C49 is formed. Secondary annealing is carried out at a somewhat high temperature of about 850 ~ 950 ℃, this results in the formation of TiSi 2 (190) of a low resistance C54 phase.

이때 1차 어닐링 이후 반응하지 않은 티타늄의 제거는 예컨대 H2O, H2O2, 및 NH4OH 가 각각 5:1:1의 비율로 혼합된 식각용액을 사용하여 제거할 수 있는 것이다.At this time, the removal of titanium that did not react after the first annealing can be removed using an etching solution in which H 2 O, H 2 O 2 , and NH 4 OH are mixed at a ratio of 5: 1: 1.

C54 상의 TiSi2(180) 형성이 완료되면 도 2e에서와 같이 레이져 어닐링을 진행하여 무정질 실리콘을 결정질의 폴리실리콘으로 변형시켜 최종적으로 원하는 티타늄 실리사이드(160)를 얻는 단계를 거치게 된다. After the formation of TiSi 2 180 on C54 is completed, laser annealing is performed as shown in FIG. 2E to transform amorphous silicon into crystalline polysilicon to finally obtain desired titanium silicide 160.

레이져 어닐링 공정은 무정질 실리콘이 결정질의 폴리실리콘으로 변화될 수 있도록 하기 위하여 실시하는 것으로서, 결정구조의 변화를 유도하기 위하여 620~1020℃에서 어닐링을 실시하는 것이 바람직하다. The laser annealing process is performed to change amorphous silicon into crystalline polysilicon, and is preferably annealed at 620 to 1020 ° C. to induce a change in crystal structure.

이때, 본 발명은, 상기 1차 어닐링 이전 또는 2차 어닐링 이후에 상기 게이트 전극 또는 소스/드레인 영역에 N 타입 이온을 주입하는 단계를 더 포함할 수 있다. In this case, the present invention may further include implanting N-type ions into the gate electrode or source / drain region before the first annealing or after the second annealing.

상기한 N 타입 이온 주입단계는 폴리실리콘 내부의 저항을 줄여주기 위한 것으로서, 본 발명의 일 실시예에서는 인 이온(P+)을 주입하였으며, 예를들어 15~30keV의 조건으로 1E15~1E16개 주입하였다. The N-type ion implantation step is to reduce the resistance inside the polysilicon, in one embodiment of the present invention was implanted with phosphorus ions (P +), for example 1E15 ~ 1E16 implanted under the conditions of 15 ~ 30keV .

본 발명은 도면에 도시된 바람직한 실시예를 토대로 설명되었으나, 본 발명이 상기 실시 예에 한정되지 않고 본 발명의 기술적 요지를 벗어나지 아니하는 범위 내에서 다양하게 수정·변형되어 실시될 수 있음은 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에 있어서 자명한 것이다.Although the present invention has been described on the basis of the preferred embodiments shown in the drawings, the present invention is not limited to the above embodiments and can be practiced in various modifications and variations within the scope not departing from the technical gist of the present invention. It is obvious to those of ordinary skill in the art.

도 1은 종래의 기술에 따른 실리사이드 형성 방법에 의해 형성된 반도체 소자를 보여주는 단면도이다. 1 is a cross-sectional view showing a semiconductor device formed by a silicide formation method according to the prior art.

도 2a 내지 도 2e는 본 발명의 일실시예에 따른 반도체 소자의 티타늄 실리사이드 형성방법을 설명하기 위한 공정별 반도체 소자의 단면도이다. 2A through 2E are cross-sectional views of semiconductor devices by processes for explaining a method of forming titanium silicide of a semiconductor device according to an embodiment of the present invention.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for main parts of the drawings>

110 : 반도체 기판110: semiconductor substrate

120 : 산화막120: oxide film

130 : 게이트 전극130: gate electrode

140 : 스페이서140: spacer

150 : 소스/드레인 영역150: source / drain area

160 : 티타늄 실리사이드160: titanium silicide

Claims (5)

반도체 기판 상부에 무정질 실리콘을 증착하고, 이를 사진식각 공정으로 패터닝하여 게이트 전극을 형성하는 단계; Depositing amorphous silicon on the semiconductor substrate and patterning the same by using a photolithography process to form a gate electrode; 상기 게이트 전극의 측벽에 스페이서를 형성하고, 이온 주입 공정을 통해 LDD(lightly doped drain) 구조의 소스/드레인 영역을 형성하는 단계; Forming a spacer on a sidewall of the gate electrode and forming a source / drain region of a lightly doped drain (LDD) structure through an ion implantation process; 상기 게이트 전극 및 소스/드레인영역 상에 Ti/TiN을 증착하는 단계; Depositing Ti / TiN on the gate electrode and source / drain regions; 1차 어닐링을 실시하여 C49 구조의 TiSi2를 형성시킨 다음 2차 어닐링을 실시하여 C49 구조의 TiSi2를 C54 구조의 TiSi2로 형성시키는 단계; 및 Subjected to a primary anneal by the step of annealing was subjected to the following secondary forming TiSi 2 in the C49 structure forming the TiSi 2, TiSi 2 of the C49 structure with a C54 structure; And 레이져 어닐링을 진행하여 무정질 실리콘을 결정질의 폴리실리콘으로 변형시키는 단계;를 포함함을 특징으로 하는 반도체 소자의 티타늄 실리사이드 형성방법.And performing laser annealing to deform amorphous silicon into crystalline polysilicon. 청구항 1에 있어서, The method according to claim 1, 상기 폴리실리콘 증착은 500∼580℃에서 실시하는 것을 특징으로 하는 반도체 소자의 티타늄 실리사이드 형성방법.The polysilicon deposition is titanium silicide forming method of a semiconductor device, characterized in that carried out at 500 ~ 580 ℃. 청구항 1 또는 2에 있어서, The method according to claim 1 or 2, 상기 레이져 어닐링은 620∼1020℃ 내의 온도구간에서 실시하는 것을 특징으로 하는 반도체 소자의 티타늄 실리사이드 형성방법.The laser annealing is titanium silicide forming method of a semiconductor device, characterized in that performed at a temperature range of 620 ~ 1020 ℃. 청구항 1 또는 2에 있어서, The method according to claim 1 or 2, 상기 1차 어닐링 이전 또는 2차 어닐링 이후에 상기 게이트 전극 또는 소스/드레인 영역에 N 타입 이온을 주입하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 티타늄 실리사이드 형성방법. And implanting N-type ions into the gate electrode or source / drain region before the first annealing or after the second annealing. 청구항 4에 있어서, The method according to claim 4, 상기 N타입 이온은 P+ 이온을 주입하는 것을 특징으로 하는 반도체 소자의 티타늄 실리사이드 형성방법.The N-type ion is a titanium silicide forming method of a semiconductor device, characterized in that for implanting P + ions.
KR1020080114705A 2008-11-18 2008-11-18 Titanium silicide forming method of semiconductor device KR101044467B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020080114705A KR101044467B1 (en) 2008-11-18 2008-11-18 Titanium silicide forming method of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020080114705A KR101044467B1 (en) 2008-11-18 2008-11-18 Titanium silicide forming method of semiconductor device

Publications (2)

Publication Number Publication Date
KR20100055825A KR20100055825A (en) 2010-05-27
KR101044467B1 true KR101044467B1 (en) 2011-06-27

Family

ID=42280100

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020080114705A KR101044467B1 (en) 2008-11-18 2008-11-18 Titanium silicide forming method of semiconductor device

Country Status (1)

Country Link
KR (1) KR101044467B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10366918B2 (en) 2016-10-04 2019-07-30 International Business Machines Corporation Self-aligned trench metal-alloying for III-V nFETs

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102426667B1 (en) 2015-06-23 2022-07-28 삼성전자주식회사 Method and apparatus for performing anti-aliasing in path rendering

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100628253B1 (en) 2000-08-09 2006-09-27 매그나칩 반도체 유한회사 Method for Forming Self-Aligned Silcide of Semiconductor Device
KR100744270B1 (en) 2006-08-31 2007-07-30 동부일렉트로닉스 주식회사 Method for forming source/drain region of the semiconductor device
KR20080058093A (en) * 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 Forming method of silicide for semiconductor device

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100628253B1 (en) 2000-08-09 2006-09-27 매그나칩 반도체 유한회사 Method for Forming Self-Aligned Silcide of Semiconductor Device
KR100744270B1 (en) 2006-08-31 2007-07-30 동부일렉트로닉스 주식회사 Method for forming source/drain region of the semiconductor device
KR20080058093A (en) * 2006-12-21 2008-06-25 동부일렉트로닉스 주식회사 Forming method of silicide for semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10366918B2 (en) 2016-10-04 2019-07-30 International Business Machines Corporation Self-aligned trench metal-alloying for III-V nFETs

Also Published As

Publication number Publication date
KR20100055825A (en) 2010-05-27

Similar Documents

Publication Publication Date Title
US6451693B1 (en) Double silicide formation in polysicon gate without silicide in source/drain extensions
US6534402B1 (en) Method of fabricating self-aligned silicide
US6936520B2 (en) Method for fabricating semiconductor device having gate electrode together with resistance element
KR100318311B1 (en) Method of forming a silicide layer in semiconductor devices
KR101044467B1 (en) Titanium silicide forming method of semiconductor device
KR100685898B1 (en) method for manufacturing of semiconductor device
KR100313089B1 (en) Method for manufacturing semiconductor device
JPH1140679A (en) Semiconductor device and manufacture
TW396419B (en) A method of manufacturing resistors with high ESD resistance and salicide CMOS transistor
US20100163938A1 (en) Method for forming silicide in semiconductor device
US7470605B2 (en) Method for fabrication of a MOS transistor
JPH10313117A (en) Mis transistor and manufacture thereof
JP2001015740A (en) Semiconductor device and manufacture thereof
KR100628253B1 (en) Method for Forming Self-Aligned Silcide of Semiconductor Device
US6221725B1 (en) Method of fabricating silicide layer on gate electrode
KR100604496B1 (en) Method for fabricating semiconductor device
KR100565755B1 (en) Method for manufacturing of semiconductor device
KR20080058093A (en) Forming method of silicide for semiconductor device
KR100861220B1 (en) Method of manufactruing semiconductor device
KR100444720B1 (en) Method for manufacturing salicide layer of semiconductor device
KR100628214B1 (en) method for manufacturing of semiconductor device
JPH07249761A (en) Semiconductor device and its fabrication
KR100720399B1 (en) Method for Forming Silicide of Semiconductor Device
KR100491419B1 (en) Method for manufacturing a semiconductor device
KR100898257B1 (en) Method for manufacturing of semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee