KR101019712B1 - Method for fabricating semiconductor device - Google Patents

Method for fabricating semiconductor device Download PDF

Info

Publication number
KR101019712B1
KR101019712B1 KR1020090056622A KR20090056622A KR101019712B1 KR 101019712 B1 KR101019712 B1 KR 101019712B1 KR 1020090056622 A KR1020090056622 A KR 1020090056622A KR 20090056622 A KR20090056622 A KR 20090056622A KR 101019712 B1 KR101019712 B1 KR 101019712B1
Authority
KR
South Korea
Prior art keywords
forming
bit line
insulating film
spacer
storage node
Prior art date
Application number
KR1020090056622A
Other languages
Korean (ko)
Other versions
KR20100138203A (en
Inventor
이동근
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020090056622A priority Critical patent/KR101019712B1/en
Publication of KR20100138203A publication Critical patent/KR20100138203A/en
Application granted granted Critical
Publication of KR101019712B1 publication Critical patent/KR101019712B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • H10B12/053Making the transistor the transistor being at least partially in a trench in the substrate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/482Bit lines
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Abstract

반도체 소자의 제조방법이 개시되어 있다. 개시된 반도체 소자의 제조방법은 기판에 형성된 트렌치 하부에 게이트를 형성하고 상기 트렌치 상부에 절연막을 형성하는 단계와, 상기 트렌치 양측 기판에 소스 영역 및 드레인 영역을 형성하는 단계와, 상기 기판 상에 제 1 층간절연막을 형성하고 상기 제 1 층간절연막에 상기 드레인 영역 및 그 양측의 상기 절연막 및 상기 소스 영역의 일부를 노출하는 비트라인 콘택홀을 형성하는 단계와, 상기 비트라인 콘택홀의 측면에 상기 비트라인 콘택홀 바닥의 상기 소스 영역을 덮는 제 1 절연막 스페이서를 형성하고 상기 제 1 절연막 스페이서의 측면에 제 2 절연막 스페이서를 형성하는 단계와, 상기 비트라인 콘택홀에 비트라인 콘택을 형성하는 단계와, 상기 비트라인 콘택을 포함한 전면에 제 2 층간절연막을 형성하는 단계와, 상기 제 2 층간절연막과 상기 제 1 층간절연막에 상기 소스 영역을 노출하는 스토리지노드 콘택홀을 형성하는 단계와, 상기 스토리지노드 콘택홀 측면의 상기 제 1 절연막 스페이서를 제거하는 단계와, 상기 스토리지노드 콘택홀에 스토리지노드 콘택을 형성하는 단계를 포함하는 것을 특징으로 한다. 본 발명에 따르면, 스토리지노드콘택과 소스 영역(액티브 영역)간 오버랩 면적이 증가되어 스토리지노드콘택 저항이 감소되므로 높은 스토리지노드콘택 저항으로 인한 소자 폐일(fail)을 방지하여 수율을 향상시킬 수 있다. A method for manufacturing a semiconductor device is disclosed. The disclosed method of manufacturing a semiconductor device includes forming a gate under a trench formed in a substrate and forming an insulating layer on the trench, forming a source region and a drain region on both substrates of the trench, and forming a first region on the substrate. Forming an interlayer insulating film and forming a bit line contact hole in the first interlayer insulating film, the bit line contact hole exposing the drain region, the insulating film on both sides thereof, and a portion of the source region; Forming a first insulating film spacer covering the source region at the bottom of the hole and forming a second insulating film spacer on a side surface of the first insulating film spacer, forming a bit line contact in the bit line contact hole, and forming the bit Forming a second interlayer insulating film on the entire surface including a line contact; Forming a storage node contact hole exposing the source region in the first interlayer insulating layer, removing the first insulating spacer on the side of the storage node contact hole, and forming a storage node contact in the storage node contact hole. It characterized by comprising the step of forming. According to the present invention, since the overlap area between the storage node contact and the source region (active area) is increased to reduce the storage node contact resistance, the device failure due to the high storage node contact resistance can be prevented and the yield can be improved.

Description

반도체 소자의 제조방법{METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}Manufacturing method of semiconductor device {METHOD FOR FABRICATING SEMICONDUCTOR DEVICE}

본 발명은 반도체 소자의 제조방법에 관한 것으로, 보다 상세하게는 스토리지노드콘택의 저항을 줄이기 위한 반도체 소자의 제조방법에 관한 것이다.The present invention relates to a method for manufacturing a semiconductor device, and more particularly, to a method for manufacturing a semiconductor device for reducing the resistance of the storage node contact.

하나의 모스 트랜지스터(MOS transistor)와 하나의 캐패시터(capacitor)로 단위 셀이 구성되는 디램(DRAM) 소자에서는 칩(chip)에서 많은 면적을 차지하는 캐패시터의 캐패시턴스(capacitance)를 크게 하면서 면적을 줄이는 것이 고집적화에 중요한 요인이 되고 있다.In DRAM devices, in which a unit cell is composed of one MOS transistor and one capacitor, reducing the area while increasing the capacitance of a capacitor, which occupies a large area on a chip, is highly integrated. Has become an important factor.

좁은 면적에 높은 캐패시턴스를 갖는 캐패시터를 형성하기 위해서 캐패시터의 높이를 증가시키거나, 유전막의 두께를 줄이는 등의 시도가 이루어지고 있다. In order to form a capacitor having a high capacitance in a small area, attempts have been made to increase the height of the capacitor or to reduce the thickness of the dielectric film.

그러나, 캐패시터의 높이를 높일 경우 캐패시터 높이 증가에 따른 단차 증가로 인한 문제가 발생되고, 유전막의 두께를 낮출 경우 유전막의 두께 감소에 따라 누설전류가 증가하는 문제가 발생된다.However, when the height of the capacitor is increased, a problem occurs due to an increase in the level difference due to the increase in the height of the capacitor, and when the thickness of the dielectric film is decreased, the leakage current increases as the thickness of the dielectric film is decreased.

이러한 문제를 극복하기 위하여 최근에는 매립형 게이트 구조(buried type gate structure)를 사용하여 비트라인 기생 캐패시턴스를 절반 수준으로 감소시킴으로써 동일한 센스앰프(sense amplifier) 능력을 유지하는데 필요로 하는 캐패시 터의 캐패시턴스를 획기적으로 낮추는 방법이 도입되었다.To overcome this problem, a buried type gate structure has recently been used to reduce the capacitance of the capacitor required to maintain the same sense amplifier capability by reducing the bitline parasitic capacitance to half. A dramatically lowering method has been introduced.

그러나, 매립형 게이트 구조를 사용할 경우 구조적인 특성상 스토리지노드콘택과 액티브 영역(소스 영역)간 오버랩 마진을 확보하기가 어려워 스토리지노드콘택과 액티브 영역간 오버랩 면적이 작다. However, when the buried gate structure is used, an overlap margin between the storage node contact and the active region (source region) is difficult to secure due to its structural characteristics, so the overlap area between the storage node contact and the active region is small.

구체적으로, 스토리지노드콘택과 액티브 영역간 오버랩 면적은 비트라인의 폭이 작을수록 액티브 영역의 단축 및 장축 CD(Critical Dimension)가 클수록 증가된다. 그러나, 액티브 영역의 단축 및 장축 CD는 고집적화에 따라 감소되는 추세에 있고, 비트라인의 폭을 비트라인 콘택의 CD보다 작게 구성할 경우 비트라인 콘택과 스토리지노드 콘택이 숏트되는 불량이 유발되므로 비트라인의 폭을 줄이기 어려운 상황에 있는 바, 스토리지노드 콘택과 액티브 영역간 오버랩 면적은 작게 된다.In detail, the overlap area between the storage node contact and the active area is increased as the width of the bit line is smaller and the length of the active area is shorter and the critical dimension (CD) is increased. However, shorter and longer-length CDs in the active area tend to be reduced due to higher integration, and when the width of the bitline is smaller than the CD of the bitline contact, the bitline contact and the storage node contact are shorted. Since it is difficult to reduce the width, the overlap area between the storage node contact and the active area becomes small.

그 결과, 스토리지노드 콘택의 저항이 임계치 이상으로 높아져 테스트시 불량품으로 판정됨에 따라 수율(yield)이 저하되는 문제가 있다.As a result, the resistance of the storage node contact becomes higher than a threshold value, and thus the yield is reduced as it is determined to be a defective product during the test.

본 발명은 스토리지노드 콘택의 저항을 줄이기 위한 반도체 소자의 제조방법을 제공한다.The present invention provides a method of manufacturing a semiconductor device for reducing the resistance of the storage node contact.

본 발명의 실시예에 따른 반도체 소자의 제조방법은 기판에 형성된 트렌치 하부에 게이트를 형성하고 상기 트렌치 상부에 절연막을 형성하는 단계와, 상기 트렌치 양측 기판에 소스 영역 및 드레인 영역을 형성하는 단계와, 상기 기판 상에 제 1 층간절연막을 형성하고 상기 제 1 층간절연막에 상기 드레인 영역 및 그 양측의 상기 절연막 및 상기 소스 영역의 일부를 노출하는 비트라인 콘택홀을 형성하는 단계와, 상기 비트라인 콘택홀의 측면에 상기 비트라인 콘택홀 바닥의 상기 소스 영역을 덮는 제 1 절연막 스페이서를 형성하고 상기 제 1 절연막 스페이서의 측면에 제 2 절연막 스페이서를 형성하는 단계와, 상기 비트라인 콘택홀에 비트라인 콘택을 형성하는 단계와, 상기 비트라인 콘택을 포함한 전면에 제 2 층간절연막을 형성하는 단계와, 상기 제 2 층간절연막과 상기 제 1 층간절연막에 상기 소스 영역을 노출하는 스토리지노드 콘택홀을 형성하는 단계와, 상기 스토리지노드 콘택홀 측면의 상기 제 1 절연막 스페이서를 제거하는 단계와, 상기 스토리지노드 콘택홀에 스토리지노드 콘택을 형성하는 단계를 포함하는 것을 특징으로 한다.A method of manufacturing a semiconductor device according to an embodiment of the present invention includes the steps of forming a gate under the trench formed in the substrate and forming an insulating film on the trench, forming a source region and a drain region on both sides of the trench; Forming a first interlayer dielectric layer on the substrate and forming a bit line contact hole in the first interlayer dielectric layer to expose the drain region, a portion of the insulating layer on both sides, and a portion of the source region; Forming a first insulating film spacer on a side surface of the bit line contact hole and forming a second insulating film spacer on a side of the first insulating film spacer, and forming a bit line contact on the bit line contact hole Forming a second interlayer insulating film on the entire surface including the bit line contact; Forming a storage node contact hole exposing the source region in a second interlayer insulating film and the first interlayer insulating film, removing the first insulating film spacer at a side of the storage node contact hole, Forming a storage node contact.

상기 제 1 층간절연막은 질화막과 산화막을 적층하여 형성되는 것을 특징으로 한다.The first interlayer insulating film is formed by laminating a nitride film and an oxide film.

상기 제 1 절연막 스페이서는 산화막으로 형성되고 상기 제 2 절연막 스페이서는 질화막으로 형성되는 것을 특징으로 한다.The first insulating film spacer may be formed of an oxide film, and the second insulating film spacer may be formed of a nitride film.

상기 비트라인 콘택을 형성한 후 상기 제 2 층간절연막을 형성하기 전에 상기 제 1 층간절연막의 일부분 상에 상기 비트라인 콘택과 전기적으로 연결되는 비트라인 및 비트라인 하드마스크막을 적층하는 단계를 더 포함하는 것을 특징으로 한다.Stacking a bit line and a bit line hard mask film electrically connected to the bit line contact on a portion of the first interlayer insulating film after forming the bit line contact and before forming the second interlayer insulating film. It is characterized by.

상기 비트라인 및 비트라인 하드마스크막을 적층하는 단계 이후에 상기 비트라인 및 상기 비트라인 하드마스크막을 포함한 전면에 표면 굴곡을 따라서 비트라인 스페이서 절연막을 형성하는 단계를 더 포함하는 것을 특징으로 한다.And forming a bit line spacer insulating layer along the surface curvature on the entire surface including the bit line and the bit line hard mask layer after the stacking of the bit line and the bit line hard mask layer.

상기 비트라인 스페이서 절연막은 질화막으로 형성되는 것을 특징으로 한다.The bit line spacer insulating film is formed of a nitride film.

상기 제 1 절연막 스페이서를 제거하는 단계는 습식 식각 공정 또는 크리닝 공정으로 수행되는 것을 특징으로 한다.The removing of the first insulating layer spacer may be performed by a wet etching process or a cleaning process.

본 발명에 따르면, 스토리지노드콘택과 액티브 영역(소스 영역)간 오버랩 면적이 증가되어 스토리지노드콘택의 저항이 감소되므로 높은 스토리지노드콘택 저항으로 인한 소자 폐일을 방지하여 수율을 향상시킬 수 있다.According to the present invention, since the overlap area between the storage node contact and the active area (source area) is increased to decrease the resistance of the storage node contact, the device can be prevented from being lost due to the high storage node contact resistance, thereby improving the yield.

이하, 첨부된 도면들을 참조하여 본 발명의 바람직한 실시예들을 상세히 설명하도록 한다.Hereinafter, preferred embodiments of the present invention will be described in detail with reference to the accompanying drawings.

도 1a 내지 도 1i는 본 발명의 실시예에 따른 반도체 소자의 제조방법을 설 명하기 위한 단면도들이다.1A to 1I are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

도 1a를 참조하면, 기판(10)에 소자분리막(11)을 형성하여 액티브 영역(10A)을 한정한다.Referring to FIG. 1A, an isolation layer 11 is formed on a substrate 10 to define an active region 10A.

그 다음, 게이트 예정 영역의 기판(10) 및 소자분리막(11)을 식각하여 트렌치(12)를 형성하고, 트렌치(12) 하부에 게이트(G)를 형성한다. Next, the trench 10 is formed by etching the substrate 10 and the device isolation layer 11 in the gate predetermined region, and the gate G is formed below the trench 12.

상기 게이트(G)는 표면 굴곡을 따라서 게이트 절연막(13)을 형성하고 게이트 절연막(13) 상에 게이트 전극(14)을 형성하여 트렌치(12)를 매립한 다음, 트렌치(12) 하부에 남도록 게이트 전극(14)과 게이트 절연막(13)을 전면 식각하여 형성할 수 있다.The gate G forms the gate insulating layer 13 along the surface curvature, forms the gate electrode 14 on the gate insulating layer 13 to fill the trench 12, and then leaves the gate 12 below the trench 12. The electrode 14 and the gate insulating layer 13 may be formed by etching the entire surface.

게이트 절연막(13)으로는 산화막 또는 산화막과 질화막의 복합막을 사용할 수 있고, 게이트 전극(14)으로는 금속을 사용할 수 있다.An oxide film or a composite film of an oxide film and a nitride film can be used for the gate insulating film 13, and a metal can be used for the gate electrode 14.

이어, 트렌치(12)를 포함한 전면에 절연막(15)을 형성하고 트렌치(12) 외부에 형성된 절연막(15)을 제거하여 트렌치(12) 상부를 절연막(15)으로 매립한다.Subsequently, an insulating film 15 is formed on the entire surface including the trench 12, and the insulating film 15 formed outside the trench 12 is removed to fill the upper portion of the trench 12 with the insulating film 15.

그 다음, 액티브 영역(10A)에 불순물 이온을 주입하여 소스 영역 및 드레인 영역(S, D)을 형성한다.Next, impurity ions are implanted into the active region 10A to form source and drain regions S and D.

이와는 다르게, 소스 영역 및 드레인 영역(S, D)은 트렌치(12)를 형성하기 이전에 불순물 이온을 주입하여 불순물 주입층을 형성하고 트렌치(12) 형성시 불순물 주입층을 분리시키는 방법으로 형성될 수 도 있다.Alternatively, the source region and the drain region S and D may be formed by implanting impurity ions before forming the trench 12 to form an impurity implantation layer and separating the impurity implantation layer when forming the trench 12. Can also be.

그런 다음, 전면에 제 1 층간절연막(16, 17)을 형성한다.Then, first interlayer insulating films 16 and 17 are formed on the entire surface.

제 1 층간절연막(16, 17)은 캡핑막(16)과 산화막(17)을 적층하여 형성할 수 있다.The first interlayer insulating films 16 and 17 may be formed by stacking the capping film 16 and the oxide film 17.

상기 캡핑막(16)은 질화막으로 형성될 수 있고, 산화막(17)은 TEOS(TetraEthOxySilane)막으로 형성될 수 있다.The capping layer 16 may be formed of a nitride layer, and the oxide layer 17 may be formed of a TEOS (TetraEthOxySilane) layer.

도 1b를 참조하면, 사진 식각 공정으로 산화막(17)과 캡핑막(16)을 패터닝하여 드레인 영역(D)과 그 양측의 절연막(15) 및 소스 영역(S)의 일부분을 노출하는 비트라인 콘택홀(18)을 형성한다.Referring to FIG. 1B, a bit line contact that exposes a portion of the drain region D, the insulating layer 15 and the source region S on both sides by patterning the oxide layer 17 and the capping layer 16 by a photolithography process is performed. The hole 18 is formed.

그 다음, 비트라인 콘택홀(18)의 측면에 비트라인 콘택홀(18) 바닥의 소스 영역(S)을 덮는 제 1 절연막 스페이서(19)를 형성한다.Next, a first insulating layer spacer 19 is formed on the side of the bit line contact hole 18 to cover the source region S at the bottom of the bit line contact hole 18.

제 1 절연막 스페이서(19)는 산화막으로 형성될 수 있다.The first insulating film spacer 19 may be formed of an oxide film.

상기 제 1 절연막 스페이서(19)는 비트라인 콘택홀(18)을 포함한 전면에 산화막을 형성하고, 비트라인 콘택홀(18) 측면에 남도록 상기 산화막을 전면 식각하여 형성될 수 있다. 이때, 전면 식각 공정으로는 에치백(etchback) 공정이 사용될 수 있다.The first insulating layer spacer 19 may be formed by forming an oxide layer on the entire surface including the bit line contact hole 18 and etching the entire surface of the oxide layer so as to remain on the side of the bit line contact hole 18. In this case, an etchback process may be used as the entire etching process.

도 1c를 참조하면, 제 1 절연막 스페이서(19)의 측면에 제 2 절연막 스페이서(20)를 형성한다.Referring to FIG. 1C, a second insulating film spacer 20 is formed on the side of the first insulating film spacer 19.

제 2 절연막 스페이서(20)는 질화막으로 형성될 수 있다.The second insulating film spacer 20 may be formed of a nitride film.

제 2 절연막 스페이서(20)는 제 1 절연막 스페이서(19)를 포함한 전면에 질화막을 형성하고, 제 1 절연막 스페이서(19)의 측면에 남도록 상기 질화막을 전면 식각하여 형성될 수 있다. 이때, 전면 식각 공정으로는 에치백 공정이 사용될 수 있다.The second insulating film spacer 20 may be formed by forming a nitride film on the entire surface including the first insulating film spacer 19 and etching the entire surface of the nitride film so as to remain on the side surface of the first insulating film spacer 19. In this case, an etch back process may be used as the entire surface etching process.

도 1d를 참조하면, 비트라인 콘택홀(18)에 비트라인 콘택(21)을 형성한다.Referring to FIG. 1D, the bit line contact 21 is formed in the bit line contact hole 18.

비트라인 콘택(21)은 비트라인 콘택홀(18)을 포함한 전면에 도전막, 예를 들어 폴리실리콘막을 형성하여 비트라인 콘택홀(18)을 매립하고, 전면 식각 공정으로 비트라인 콘택홀(18) 외부에 형성된 폴리실리콘막을 제거하여 형성될 수 있다. 이때, 전면 식각 공정으로는 CMP(Chemical Mechanical Polishing) 공정이 사용될 수 있다.The bit line contact 21 fills the bit line contact hole 18 by forming a conductive film, for example, a polysilicon film, on the entire surface including the bit line contact hole 18, and then forms the bit line contact hole 18 by a front surface etching process. It may be formed by removing the polysilicon film formed on the outside. In this case, a chemical mechanical polishing (CMP) process may be used as the front surface etching process.

도 1e를 참조하면, 비트라인 콘택(21)을 포함한 전면에 비트라인용 도전막(22)과 비트라인 하드마스크막(23)을 적층하고, 비트라인 하드마스크막(23) 상에 비트라인 예정 영역을 덮는 마스크 패턴(24)을 형성한다.Referring to FIG. 1E, a bit line conductive film 22 and a bit line hard mask film 23 are stacked on the entire surface including the bit line contact 21, and bit lines are scheduled on the bit line hard mask film 23. A mask pattern 24 covering the region is formed.

도 1f를 참조하면, 마스크 패턴(24)을 배리어로 비트라인 하드마스크막(23)과 비트라인용 도전막(22)을 식각하여 비트라인 콘택(21) 및 그 주변의 제 2 절연막 스페이서(20) 상에 비트라인 콘택(21)과 전기적으로 연결되는 비트라인(BL)을 형성하고, 남아있는 마스크 패턴(24)을 제거한다.Referring to FIG. 1F, the bit line hard mask layer 23 and the bit line conductive layer 22 are etched using the mask pattern 24 as a barrier to form the bit line contact 21 and the second insulating layer spacer 20 around the bit line contact 21. The bit line BL electrically connected to the bit line contact 21 is formed on the top surface layer, and the remaining mask pattern 24 is removed.

이때, 식각되는 비트라인(BL) 하부의 제 2 절연막 스페이서(20)과 제 1 절연막 스페이서(19) 및 산화막(17)을 추가로 식각할 수도 있다.In this case, the second insulating layer spacer 20, the first insulating layer spacer 19, and the oxide layer 17 under the bit line BL may be further etched.

그 다음, 비트라인(BL) 및 비트라인 하드마스크막(23)을 포함한 전면에 비트라인 스페이서 절연막(25)을 형성한다.Next, the bit line spacer insulating layer 25 is formed on the entire surface including the bit line BL and the bit line hard mask layer 23.

비트라인 스페이서 절연막(25)은 질화막으로 형성될 수 있다.The bit line spacer insulating layer 25 may be formed of a nitride film.

도 1g를 참조하면, 비트라인 스페이서 절연막(25) 상에 제 2 층간절연막(26)을 형성한다.Referring to FIG. 1G, a second interlayer insulating layer 26 is formed on the bit line spacer insulating layer 25.

제 2 층간절연막(26)은 산화막, 예컨데 BPSG(Boron Phosphorus Silicate Glass)막으로 형성될 수 있다.The second interlayer insulating layer 26 may be formed of an oxide layer, for example, a boron phosphorus silicate glass (BPSG) layer.

제 2 층간절연막(26)을 형성한 다음에 비트라인 하드마스크(23) 상부의 비트라인 스페이서 절연막(25)이 노출되도록 CMP 공정을 수행할 수도 있다.After forming the second interlayer insulating layer 26, a CMP process may be performed to expose the bit line spacer insulating layer 25 on the bit line hard mask 23.

이어, 제 2 층간절연막(26)과 비트라인 스페이서 절연막(25)과 캡핑막(16)을 선택적으로 식각하여 소소 영역(S)을 노출하는 스토리지노드 콘택홀(27)을 형성한다.Subsequently, the second interlayer insulating layer 26, the bit line spacer insulating layer 25, and the capping layer 16 are selectively etched to form a storage node contact hole 27 exposing the source region S.

도 1h를 참조하면, 스토리지노드 콘택홀(27) 측면에 노출된 제 1 절연막 스페이서(19)를 제거한다.Referring to FIG. 1H, the first insulating layer spacer 19 exposed on the side surface of the storage node contact hole 27 is removed.

제 1 절연막 스페이서(19) 제거 공정은 습식 식각 공정 또는 크리닝 공정으로 수행될 수 있다. 상기 습식 식각 공정 또는 크리닝 공정은 HF 또는 BOE(Buffer Oxide Etchant)가 포함된 식각액 또는 세정액을 사용하여 수행될 수 있다.The first insulating layer spacer 19 may be removed by a wet etching process or a cleaning process. The wet etching process or cleaning process may be performed using an etching solution or a cleaning solution containing HF or BOE (Buffer Oxide Etchant).

제 1 절연막 스페이서(19)가 제거되어 제 1 절연막 스페이서(19) 하부의 소스 영역(S)이 노출됨에 따라서 스토리지노드 콘택홀(27) 바닥의 소스 영역(S) 면적이 증가된다.As the first insulating layer spacer 19 is removed to expose the source region S under the first insulating layer spacer 19, the area of the source region S at the bottom of the storage node contact hole 27 increases.

도 1i를 참조하면, 스토리지노드 콘택홀(27)에 도전막, 예를 들어 폴리실리콘막을 매립하여 스토리지노드 콘택(28)을 형성한다.Referring to FIG. 1I, a storage node contact 28 is formed by filling a conductive layer, for example, a polysilicon layer, in the storage node contact hole 27.

이상에서 상세하게 설명한 바에 의하면, 스토리지노드콘택과 소스 영역간 오버랩 면적이 증가되어 스토리지노드콘택의 저항이 감소되므로 높은 스토리지노드콘택 저항으로 인한 소자 폐일을 방지하여 수율을 향상시킬 수 있다.As described in detail above, since the overlap area between the storage node contact and the source region is increased, the resistance of the storage node contact is reduced, thereby preventing device waste due to high storage node contact resistance, thereby improving yield.

앞서 설명한 본 발명의 상세한 설명에서는 본 발명의 실시예들을 참조하여 설명하였지만, 해당 기술분야의 숙련된 당업자 또는 해당 기술분야에 통상의 지식을 갖는 자라면 후술 될 특허청구범위에 기재된 본 발명의 사상 및 기술 영역으로부터 벗어나지 않는 범위 내에서 본 발명을 다양하게 수정 및 변경시킬 수 있음을 이해할 수 있을 것이다.In the detailed description of the present invention described above with reference to the embodiments of the present invention, those skilled in the art or those skilled in the art having ordinary knowledge in the scope of the present invention described in the claims and It will be appreciated that various modifications and variations can be made in the present invention without departing from the scope of the art.

도 1a 내지 도 1i는 본 발명의 실시예에 따른 반도체 소자의 제조방법을 설명하기 위한 단면도들이다.1A to 1I are cross-sectional views illustrating a method of manufacturing a semiconductor device in accordance with an embodiment of the present invention.

<도면의 주요부분에 대한 설명><Description of main parts of drawing>

10 : 기판10: substrate

16, 17 : 제 1 층간절연막16, 17: first interlayer insulating film

18 : 비트라인 콘택홀18: bit line contact hole

19, 20 : 제 1, 제 2 절연막 스페이서19, 20: 1st, 2nd insulating film spacer

21 : 비트라인 콘택21: bitline contact

25 : 비트라인 스페이서 절연막25: bit line spacer insulating film

26 : 제 2 층간절연막26: second interlayer insulating film

27 : 스토리지노드 콘택홀27: storage node contact hole

28 : 스토리지노드 콘택28: Storage Node Contact

G : 게이트G: Gate

BL : 비트라인BL: Bitline

Claims (7)

기판에 형성된 트렌치 하부에 게이트를 형성하고 상기 트렌치 상부에 절연막을 형성하는 단계;Forming a gate under the trench formed in the substrate and forming an insulating layer over the trench; 상기 트렌치 양측 기판에 소스 영역 및 드레인 영역을 형성하는 단계; Forming source and drain regions on both sides of the trench; 상기 기판 상에 제 1 층간절연막을 형성하고 상기 제 1 층간절연막에 상기 드레인 영역 및 그 양측의 상기 절연막 및 상기 소스 영역의 일부를 노출하는 비트라인 콘택홀을 형성하는 단계;Forming a first interlayer insulating film on the substrate and forming a bit line contact hole in the first interlayer insulating film exposing the drain region, the insulating film on both sides thereof, and a portion of the source region; 상기 비트라인 콘택홀의 측면에 상기 비트라인 콘택홀 바닥의 상기 소스 영역을 덮는 제 1 절연막 스페이서를 형성하고 상기 제 1 절연막 스페이서의 측면에 제 2 절연막 스페이서를 형성하는 단계;Forming a first insulating film spacer on a side of the bit line contact hole and covering the source region at a bottom of the bit line contact hole, and forming a second insulating film spacer on a side of the first insulating film spacer; 상기 비트라인 콘택홀에 비트라인 콘택을 형성하는 단계;Forming a bit line contact in the bit line contact hole; 상기 비트라인 콘택을 포함한 전면에 제 2 층간절연막을 형성하는 단계;Forming a second interlayer insulating film over the entire surface including the bit line contacts; 상기 제 2 층간절연막과 상기 제 1 층간절연막에 상기 소스 영역을 노출하는 스토리지노드 콘택홀을 형성하는 단계;Forming a storage node contact hole exposing the source region in the second interlayer dielectric layer and the first interlayer dielectric layer; 상기 스토리지노드 콘택홀 측면의 상기 제 1 절연막 스페이서를 제거하는 단계; 및Removing the first insulating spacer on the side of the storage node contact hole; And 상기 스토리지노드 콘택홀에 스토리지노드 콘택을 형성하는 단계;Forming a storage node contact in the storage node contact hole; 를 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.Method of manufacturing a semiconductor device comprising a. 제 1항에 있어서,The method of claim 1, 상기 제 1 층간절연막은 질화막과 산화막을 적층하여 형성되는 것을 특징으로 하는 반도체 소자의 제조방법.The first interlayer insulating film is a semiconductor device manufacturing method, characterized in that formed by laminating a nitride film and an oxide film. 제 1항에 있어서,The method of claim 1, 상기 제 1 절연막 스페이서는 산화막으로 형성되고 상기 제 2 절연막 스페이서는 질화막으로 형성되는 것을 특징으로 하는 반도체 소자의 제조방법.And the first insulating film spacer is formed of an oxide film and the second insulating film spacer is formed of a nitride film. 제 1항에 있어서,The method of claim 1, 상기 비트라인 콘택을 형성한 후 상기 제 2 층간절연막을 형성하기 전에 상기 제 1 층간절연막의 일부분 상에 상기 비트라인 콘택과 전기적으로 연결되는 비트라인과 비트라인 하드마스크막을 적층하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.Stacking a bit line and a bit line hard mask film electrically connected to the bit line contact on a portion of the first interlayer insulating film after forming the bit line contact and before forming the second interlayer insulating film. A method of manufacturing a semiconductor device, characterized in that. 제 4항에 있어서,The method of claim 4, wherein 상기 비트라인 및 비트라인 하드마스크막을 적층하는 단계 이후에 상기 비트라인 및 상기 비트라인 하드마스크막을 포함한 전면에 표면 굴곡을 따라서 비트라인 스페이서 절연막을 형성하는 단계를 더 포함하는 것을 특징으로 하는 반도체 소자의 제조방법.And forming a bit line spacer insulating layer on the entire surface including the bit line and the bit line hard mask layer along the surface curvature after stacking the bit line and the bit line hard mask layer. Manufacturing method. 제 5항에 있어서,The method of claim 5, 상기 비트라인 스페이서 절연막은 질화막으로 형성되는 것을 특징으로 하는 반도체 소자의 제조방법.And the bit line spacer insulating film is formed of a nitride film. 제 1항에 있어서,The method of claim 1, 상기 제 1 절연막 스페이서를 제거하는 단계는 습식 식각 공정 또는 크리닝 공정으로 수행되는 것을 특징으로 하는 반도체 소자의 제조방법.The removing of the first insulating layer spacer is a method of manufacturing a semiconductor device, characterized in that performed by a wet etching process or a cleaning process.
KR1020090056622A 2009-06-24 2009-06-24 Method for fabricating semiconductor device KR101019712B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020090056622A KR101019712B1 (en) 2009-06-24 2009-06-24 Method for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020090056622A KR101019712B1 (en) 2009-06-24 2009-06-24 Method for fabricating semiconductor device

Publications (2)

Publication Number Publication Date
KR20100138203A KR20100138203A (en) 2010-12-31
KR101019712B1 true KR101019712B1 (en) 2011-03-07

Family

ID=43511703

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020090056622A KR101019712B1 (en) 2009-06-24 2009-06-24 Method for fabricating semiconductor device

Country Status (1)

Country Link
KR (1) KR101019712B1 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142536B2 (en) 2012-11-13 2015-09-22 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9985034B2 (en) 2015-01-05 2018-05-29 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
US11417664B2 (en) 2020-02-18 2022-08-16 Samsung Electronics Co., Ltd. Semiconductor device

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101843442B1 (en) 2012-06-21 2018-05-14 삼성전자주식회사 Methods of Fabricating Semiconductor Devices
KR101959388B1 (en) * 2012-10-04 2019-03-19 삼성전자주식회사 Semiconductor device and method of fabricating the same

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070002548A (en) * 2005-06-30 2007-01-05 주식회사 하이닉스반도체 Method for forming capacitor of semiconductor device
US20070072375A1 (en) 2005-09-14 2007-03-29 Elpida Memory, Inc. Method for manufacturing semiconductor device
KR20080030385A (en) * 2006-09-30 2008-04-04 주식회사 하이닉스반도체 Semiconductor device and method of manufacturing the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070002548A (en) * 2005-06-30 2007-01-05 주식회사 하이닉스반도체 Method for forming capacitor of semiconductor device
US20070072375A1 (en) 2005-09-14 2007-03-29 Elpida Memory, Inc. Method for manufacturing semiconductor device
KR20080030385A (en) * 2006-09-30 2008-04-04 주식회사 하이닉스반도체 Semiconductor device and method of manufacturing the same

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142536B2 (en) 2012-11-13 2015-09-22 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9985034B2 (en) 2015-01-05 2018-05-29 Samsung Electronics Co., Ltd. Method of manufacturing a semiconductor device
US10050041B1 (en) 2015-01-05 2018-08-14 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US11417664B2 (en) 2020-02-18 2022-08-16 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
KR20100138203A (en) 2010-12-31

Similar Documents

Publication Publication Date Title
US8865545B2 (en) Semiconductor device and method for fabricating the same
KR100476690B1 (en) Semiconductor device and Method of manufacturing the same
KR101040367B1 (en) Semiconductor device having saddle FIN transistor and method for fabricating the same
US9825146B2 (en) Dummy bit line MOS capacitor and device using the same
US9263452B2 (en) Reservoir capacitor of semiconductor device
US8247304B2 (en) Method of manufacturing semiconductor device having capacitor under bit line structure
KR100378200B1 (en) Method for forming contact plug of semiconductor device
JP4964407B2 (en) Semiconductor device and manufacturing method thereof
JP2010123961A (en) Wiring structure of semiconductor device and method of forming the same
US7396772B2 (en) Method for fabricating semiconductor device having capacitor
KR101019712B1 (en) Method for fabricating semiconductor device
US8217449B2 (en) Semiconductor device and method for forming the same
KR101168606B1 (en) wiring structure of semiconductor device and Method of forming a wiring structure
US20110263089A1 (en) Method for fabricating semiconductor device
KR20100110098A (en) Method for manufacturing semiconductor device
JP2006032574A (en) Semiconductor device and its manufacturing method
KR20100132196A (en) Method for fabricating semiconductor device
JP2011171507A (en) Method for manufacturing semiconductor device
KR20060107130A (en) Semiconductor device having a storage node electrode and fabrication method thereof
KR101213803B1 (en) Semiconductor device and method for fabricating the same
KR101253452B1 (en) Semiconductor device and method for fabricating the same
KR20040063352A (en) Method of forming semiconductor devices
KR100283484B1 (en) How to form a trench capacitor
KR100929293B1 (en) Capacitor manufacturing method of semiconductor device
KR20080095669A (en) Method of forming a contact structure

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee