KR101017339B1 - 포토레지스트용 반사방지성 조성물 - Google Patents

포토레지스트용 반사방지성 조성물 Download PDF

Info

Publication number
KR101017339B1
KR101017339B1 KR1020057008759A KR20057008759A KR101017339B1 KR 101017339 B1 KR101017339 B1 KR 101017339B1 KR 1020057008759 A KR1020057008759 A KR 1020057008759A KR 20057008759 A KR20057008759 A KR 20057008759A KR 101017339 B1 KR101017339 B1 KR 101017339B1
Authority
KR
South Korea
Prior art keywords
hydrocarbyl group
carbon atoms
polymer
hydrocarbyl
composition
Prior art date
Application number
KR1020057008759A
Other languages
English (en)
Other versions
KR20050074624A (ko
Inventor
헹펭 우
슈지 딩-리
즈홍 시앙
조셉 이 오베란더
마크 오 네이서
엘리자 곤잘레즈
지안후이 샨
Original Assignee
에이제토 엘렉토로닉 마티리알즈 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이제토 엘렉토로닉 마티리알즈 가부시키가이샤 filed Critical 에이제토 엘렉토로닉 마티리알즈 가부시키가이샤
Publication of KR20050074624A publication Critical patent/KR20050074624A/ko
Application granted granted Critical
Publication of KR101017339B1 publication Critical patent/KR101017339B1/ko

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/038Macromolecular compounds which are rendered insoluble or differentially wettable
    • G03F7/0382Macromolecular compounds which are rendered insoluble or differentially wettable the macromolecular compound being present in a chemically amplified negative photoresist composition
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/106Binder containing
    • Y10S430/109Polyester
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • Y10S430/115Cationic or anionic
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • Y10S430/12Nitrogen compound containing
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S430/00Radiation imagery chemistry: process, composition, or product thereof
    • Y10S430/1053Imaging affecting physical property or radiation sensitive material, or producing nonplanar or printing surface - process, composition, or product: radiation sensitive composition or product or process of making binder containing
    • Y10S430/1055Radiation sensitive composition or product or process of making
    • Y10S430/114Initiator containing
    • Y10S430/122Sulfur compound containing

Landscapes

  • Physics & Mathematics (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Materials For Photolithography (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Polyesters Or Polycarbonates (AREA)
  • Paints Or Removers (AREA)

Abstract

본 발명은 중합체, 가교결합제 및 산 발생제를 포함하는 신규한 반사방지성 코팅 조성물에 관한 것이다. 또한 본 발명은 특히 193 nm에서 이 신규한 조성물을 사용하는 방법에 관한 것이다. 본 발명의 중합체는 하기 구조식 1, 2 및 3에서 선택된 1 이상의 유닛을 함유한다:
Figure 112005025464011-pct00008
반사방지성 코팅 조성물, 포토레지스트

Description

포토레지스트용 반사방지성 조성물 {ANTIREFLECTIVE COMPOSITIONS FOR PHOTORESISTS}
본 발명은 신규한 반사방지성 코팅 조성물 및 반사성 기판과 포토레지스트 코팅 사이에 이 신규한 반사방지성 코팅 조성물의 박층을 형성함으로써 이미지 가공에 있어 이의 용도에 관한 것이다. 이러한 조성물은 포토리소그래피 기술에 의한 반도체 장치의 제작에 특히 유용하다.
포토레지스트 조성물은 컴퓨터 칩과 집적 회로의 제작과 같은, 소형화 전자 소자의 제조를 위한 마이크로리소그래피 공정에 사용된다. 일반적으로, 이들 공정에서는 집적 회로 제조에 사용되는 실리콘 웨이퍼와 같은 기판 재료에 포토레지스트 조성물 필름의 얇은 코팅을 먼저 도포한다. 그 후 코팅된 기판을 베이킹하여 포토레지스트 조성물 내 용매를 증발시키며 코팅을 기판상에 고정시킨다. 그 다음 기판의 베이킹된 코팅 표면을 방사선에 이미지와이즈(imagewise) 노출시킨다.
이러한 방사선 노출은 코팅된 표면의 노출된 면적에서 화학적 변형을 초래한다. 가시광선, 자외선(UV) 광, 전자 빔 및 X-선 방사 에너지가 현재 마이크로리소그래피 공정에 종종 사용되는 방사선 유형들이다. 이러한 이미지와이즈 노출 이후, 코팅된 기판을 발색제 용액으로 처리하여, 포토레지스트의 방사선-노출된 또는 노출되지 않은 면적을 용해 및 제거시킨다.
반도체 소자의 소형화 경향은 더더욱 낮은 파장의 방사선에 민감한 새로운 포토레지스트의 사용을 요구로 하며, 또한 이러한 소형화와 연관되는 문제점들을 극복하기 위해 정규한 멀티레벨 시스템의 사용을 요구해 왔다.
고해상도, 화학적으로 증폭된, 딥 자외선(100-300 nm) 양성 및 음성 톤 포토레지스트가 1/4 미크론 보다 작은 형상을 가진 이미지를 패턴화하는 데 유용하다. 이들은 소형화에 있어 중요한 개선점을 제공해 준 2가지의 주된 딥 자외선(UV) 노출 기술이며, 248 nm 및 193 nm에서 방사선을 방출하는 레이저들이다. 이러한 포토레지스트의 예는 특허 US 4,491,628, US 5,350,660, EP 794458 및 GB 2320718에 나타나 있으며, 본 명세서에 참조 문헌으로 포함된다. 전형적으로 248 nm용 포토레지스트는 치환된 폴리히드록시스티렌과 이의 공중합체에 기초한다. 한편, 193 nm 노출용 포토레지스트는 비방향족 중합체를 요구하는데, 이는 방향족이 이 파장에서 빛을 통과시키지 않기 때문이다. 일반적으로, 지환족 탄화수소가 중합체에 결합되어, 방향족을 가지지 않음으로써 소실된 에치 저항을 대체해준다. 또한, 낮은 파장에서, 기판으로부터의 반사는 포토레지스트의 리소그래피 수행능을 더욱 악화시키게 된다. 따라서, 이들 파장에서, 반사방지성 코팅은 중요하게 된다.
포토리소그래피에서 고도로 흡광성인 반사방지성 코팅을 사용하는 것은 고도로 반사성인 기판으로부터 빛이 후방 반사되어 초래되는 문제점들을 감소시킬 수 있는 더욱 간단한 접근법이 된다. 후방 반사의 두가지 주된 단점은 박막 간섭 효과와 반사성 노칭(notching)이다. 박막 간섭 효과, 또는 정상파는 레지스트 변화의 두께로, 레지스트 필름내 전체 광도의 변화로 초래되는 임계적인 선 폭 치수의 변화를 초래한다. 반사성 노칭은 포토레지스트 필름을 통해 빛이 산란되어, 선 폭의 변화를 초래하고, 극단적인 경우에는 완전히 포토레지스트가 소실된 영역을 형성하게 하는, 지형적 특징을 함유하는 기판에 포토레지스트가 패턴화되도록 할 것이다.
과거에는, 염색된 포토레지스트를 이러한 반사 문제를 해결하기 위해 사용하여 왔다. 그러나, 염색된 레지스트는 기판으로부터의 반사성만을 감소시켜줄 뿐, 실질적으로 이를 제거해주지는 못한다고 통상 알려져 있다. 또한, 염색된 레지스트는 염료의 승화와 레지스트 필름내 염료의 불화합 가능성과 함께 포토레지스트의 리소그래피 수행능의 감소를 초래한다.
선 폭 변화의 추가적인 감소나 제거가 요구되는 경우, 하부 반사방지성 코팅의 사용은 반사성의 제거를 위한 최적의 해결책을 제공해준다. 하부 반사방지성 코팅은 포토레지스트의 코팅 이전 및 노출 이전에 기판에 도포된다. 이 레지스트는 이미지와이즈 노출되고 발색된다. 그 후 노출된 면적에서 반사방지성 코팅은 전형적으로 산소 플라스마에서 에칭되며, 이로써 레지스트 패턴이 기판으로 전이된다. 에치 공정 동안 반사방지성 필름이 레지스트 필름의 과도한 소실없이 에칭되도록 하기 위해, 반사방지성 필름의 에칭률은 포토레지스트와 비교시 상대적으로 높아야만 한다. 무기 유형의 반사방지성 코팅에는 30 nm 범위에서 TiN, TiON, TiW 및 스핀-온 유기 중합체와 같은 필름이 포함된다. 무기 B.A.R.C.는 필름 두께, 필름의 균일성, 특정 침착 기기, 레지스트 코팅 이전의 복합체 응착 촉진 기술, 분리된 건조 에칭 패턴 전이 단계, 및 제거를 위한 건조 에칭의 정확한 조절을 요구한다.
유기 B.A.R.C.는 염료를 중합체 코팅에 첨가하여 제제화되는 것이 가장 바람직하다(Proc. SPIE, Vol. 1086 (1989), p. 106). 이러한 염료가 블렌딩된 코팅의 문제점에는 1) 스핀 코팅 동안 중합체와 염료 성분의 분리, 2) 레지스트 용매로의 염료 스트리핑, 및 3) 베이킹 공정시 레지스트로의 열확산이 있다. 이 모든 효과들은 포토레지스트 성질의 파괴를 초래하므로, 이는 바람직한 조성물이 되지 못한다.
흡광성, 필름 형성 중합체가 다른 대안이 된다. 중합체 유기 반사방지성 코팅은 본 명세서에 참조 문헌으로 포함된 EP 583,205에 서술된 바와 같이 당업계에 공지되어 있다. 그러나, 이들 중합체는 193 nm에 민감한 포토레지스트용 반사방지성 코팅으로 사용되는 경우에는 비효율적임이 밝혀졌다. 이는 이러한 반사방지성 중합체가 천연적으로 매우 방향성이여서 너무 반사성을 가지므로, 흡광제라기 보다는 거울처럼 작용하기 때문이라고 측측된다. 부가적으로, 고도로 방향성인 이들 중합체는 193 nm 노출에 사용되는 비방향족 포토레지스트의 새로운 유형에 대해 너무 낮은 건조 에칭률을 가지므로, 이미징 및 에칭에 비효율적이다. 반사방지성 코팅의 건조 에칭률이 반사방지성 코팅의 상부에 코팅된 포토레지스트의 에칭률보다 작거나 유사한 경우, 포토레지스트 패턴은 손상을 받거나 정확히 기판으로 전이되지 않을 수도 있다.
따라서, 230 nm 보다 낮은 노출에서 잘 작동하는 하부 반사방지성 코팅이 요구된다. 이러한 반사방지성 코팅은 높은 에칭률을 가지고, 충분히 흡광되어 반사방지성 코팅으로 작용할 필요가 있다. US 5,935,760에서는 매우 특이적인 가교결합가능한 폴리에스터 중합체에 기초한 하부 반사방지성 코팅에 대해 서술하고 있다.
유일한 화학 구조에 기초한 신규한 폴리에스터 중합체를 포함하는 본 발명의 신규한 반사방지성 코팅은 뛰어난 건조 에칭 성질을 가지며(이는 포토레지스트로부터 기판으로 뛰어난 이미지 전이를 가능하게 함), 또한 반사성 노칭, 선 폭 변화 또는 정상파를 방지하는(특히 193 nm에서) 뛰어난 흡광 특징을 가짐이 밝혀졌다. 반사방지성 코팅이 포토레지스트층 두께의 소실을 최소화 하면서 제거될 수 있도록, 본 발명의 반사방지성 코팅은 상대적으로 높은 에칭률을 가진다. 또한, 반사방지성 코팅과 포토레지스트 필름간에 실질적인 혼합이 존재하지 않는다. 또한, 반사방지성 코팅은 뛰어난 용액 안정성을 가지며, 특히 뛰어난 코팅 품질을 가진 박막을 형성하며, 후자는 특히 리소그래피에 유리하다. 반사방지성 코팅이 이미징 공정시 포토레지스트에 사용되면, 뛰어난 리소그래피 성질을 가지는 깨끗한 이미지가 얻어진다.
본 발명의 개요
본 발명의 목적은 뛰어난 리소그래피 수행능을 가지는 반사방지성 코팅을 제공하는 것으로, 포토레지스트층을 위한 이러한 반사방지성 코팅 조성물은 중합체, 가교결합제, 및 산 또는/및 산 발생제를 포함하고, 여기서 중합체는 하기 구조식 1, 2 및 3에서 선택된 1 이상의 유닛을 포함한다:
Figure 112005025464011-pct00001
상기 구조식들에서, Y는 1개 내지 약 10개의 탄소 원자의 히드로카빌 또는 헤테로 원자를 포함하는 히드로카빌 결합기이고, R, R1, R' 및 R"는 독립적으로 수소, 1개 내지 약 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기, 할로겐, -O(CO)Z, -C(CF3)2Z, -C(CF3)2(CO)OZ, -SO2CF3, -(CO)OZ, -SO3Z, -COZ, -OZ, -NZ2, -SZ, -SO2Z, -NHCOZ, -NZCOZ 또는 -SO2NZ2이며, 여기서, Z는 H 또는 1개 내지 약 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, n은 1-4이며, n'는 1-4이고, X는 O, CO, S, COO, CH2O, CH2COO, SO2, NH, NL, OWO, OW 또는 W이며, L 및 W는 독립적으로 1개 내지 약 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, m은 0-3이다.
본 발명은 추가적으로 본 발명의 반사방지성 코팅 조성물의 이미징 방법을 제공한다.
본 발명의 상세한 설명
본 발명은 중합체, 가교결합제 및 산 발생제를 포함하는 신규한 반사방지성 코팅 조성물에 관한 것이다. 또한 본 발명은 특히 193 nm에서 이 신규한 조성물을 사용하는 방법에 관한 것이다. 본 발명의 중합체는 하기 구조식 1, 2 및 3에서 선택된 1 이상의 유닛을 함유한다:
Figure 112005025464011-pct00002
상기 구조식들에서와 본 명세서 전체를 통해, Y는 1개 내지 약 10개의 탄소 원자의 히드로카빌 또는 헤테로 원자를 포함하는 히드로카빌 결합기이고, R, R1, R' 및 R"는 독립적으로 수소, 1개 내지 약 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기, 할로겐, -O(CO)Z, -C(CF3)2Z, -C(CF3)2(CO)OZ, -SO2CF3, -(CO)OZ, -SO3Z, -COZ, -OZ, -NZ2, -SZ, -SO2Z, -NHCOZ, -NZCOZ 또는 -SO2NZ2이며, 여기서, Z는 H 또는 1개 내지 약 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, n은 1-4이며, n'는 1-4이고, X는 O, CO, S, COO, CH2O, CH2COO, SO2, NH, NL, OWO, OW 또는 W이며, L 및 W는 독립적으로 1개 내지 약 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, m은 0-3이다.
본 명세서에 사용한 바와 같은 용어 "히드로카빌 치환기", "히드로카빌기" 또는 "헤테로 원자를 포함하는 히드로카빌기"는 당업자에게 잘 알려진 원래 의미로 사용된다. 상세하게, 이는 분자에 직접 부착된 탄소 원자를 가지며 두드러진 탄화수소 특징을 가지는 기를 나타낸다. 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기의 예에는 하기 것들이 포함된다:
(1) 지방족(예, 알킬, 알킬레닐 또는 알케닐), 지환족(예, 시클로알킬, 시클로알케닐), 방향족, 지방족- 및 지환족-치환된 방향족 치환기, 및 고리가 분자의 다른 부분을 통해 종결된 시클릭 치환기(예, 2개의 치환기가 함께 지환족 라디칼을 형성)인 탄화수소기;
(2) 탄소와 수소가 아닌 다른 원자를 함유하나 천연적으로 주로 탄화수소인 탄화수소기, 다른 원자의 예에는 황, 산소 또는 질소가 있으며, 이는 단독으로(티아 또는 에테르와 같이) 또는 에스터, 카복시, 카보닐 등과 같이 작용적 연결로 존재할 수 있음;
(3) 치환된 탄화수소기, 즉, 본 발명에서 주로 탄화수소 치환기(예, 할로(특히 클로로 및 플루오로), 히드록시, 알콕시, 메캅토, 알킬메캅토, 니트로, 니트로소 및 술폭시)가 변화되지 않는 비-탄화수소기를 함유하는 치환기;
(4) 헤테로 치환기, 즉, 주로 탄화수소 특징을 가지면서, 본 발명에서 탄소 원자로 구성된 고리나 사슬내 탄소가 아닌 다른 원자를 함유하는 치환기. 헤테로원자에는 황, 산소, 질소가 포함되며, 피리딜, 푸릴, 티에닐 및 이미다졸릴과 같은 치환기를 포함한다. 일반적으로, 단지 2개, 바람직하게는 단지 1개의 비-탄화수소 치환기가 히드로카빌기내 10개의 탄소 원자마다 존재할 것이다; 전형적으로 히드로카빌기내 비-탄화수소 치환기가 존재하지 않을 것이다.
히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기의 예에는 치환 또는 비치환된 지방족 (C1-C10)알킬렌기, 치환 또는 비치환된 티아-알킬렌 지방족 (C1-C10)기, 치환 또는 비치환된 시클로알킬렌, 치환 또는 비치환된 벤질, 알콕시 알킬렌, 알콕시아릴, 치환된 아릴, 헤테로 시클로알킬렌, 헤테로아릴, 옥소시클로헥실, 시클릭 락톤, 벤질, 치환된 벤질, 히드록시 알킬, 히드록시알콕실, 알콕시 알킬, 알콕시아릴, 알킬아릴, 알케닐, 치환된 아릴, 헤테로 시클로알킬, 헤테로아릴, 니트로알킬, 할로알킬, 알킬이미드, 알킬 아미드, 또는 이들의 혼합물이 있다.
보다 상세하게, R, R1, R' 및 R"는 독립적으로 Z, -O(CO)OZ, -C(CF3)2Z, -C(CF3)2(CO)Z, -SO2CF3, -(CO)OZ, -SO3Z, -COZ, -OZ, -NZ2, -SZ, -SO2Z, CN, NO2, -NHCOZ, -NZCOZ 또는 -SO2NZ2, 또는 이들의 혼합물이며, 여기서 Z는 독립적으로 H, 또는 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이다. 보다 상세하게, Z는 H, 할로겐 또는 알킬, 시클로알킬, 치환된 시클로알킬, 옥소시클로헥실, 시클릭 락톤, 벤질, 치환된 벤질, 히드록시 알킬, 히드록시알콕실, 알콕시 알킬, 알콕시아릴, 알킬아릴, 알케닐, 치환된 아릴, 헤테로 시클로알킬, 헤테로아릴, 니트로, 할로, 할로알킬, 암모늄, 알킬 암모늄, 또는 이들의 혼합물이다. Z의 예를 본 명세서에 나타내나, 이들로 제한되지는 않는다: -(CH2)2OH, -O(CH2)2O(CH2)OH, -(OCH2CH2)kOH(여기서 k = 0-10).
W의 예는 치환 또는 비치환된 지방족 (C1-C10) 알킬렌, 치환 또는 비치환된 지방족 (C1-C10) 티아-알킬렌, 시클로알킬렌, 치환된 시클로알킬렌, 벤질, 치환된 벤질, 히드록시 알킬렌, 알콕시 알킬렌, 알콕시아릴, 알킬아릴, 알케닐, 치환된 아릴, 헤테로 시클로알킬렌, 헤테로아릴, 할로 알킬렌, 또는 이들의 혼합물이 있으며, L은 알킬, 시클로알킬, 치환된 시클로알킬, 옥소시클로헥실, 시클릭 락톤, 벤질, 치환된 벤질, 히드록시 알킬, 히드록시알콕실, 알콕시 알킬, 알콕시아릴, 알킬아릴, 알케닐, 치환된 아릴, 헤테로 시클로알킬, 헤테로아릴, 또는 이들의 혼합물이나, 이로 제한되지는 않는다.
상기 정의 및 본 출원을 통해, 지방족은 주로 비방향족인 탄화수소 사슬을 나타낸다. 치환 또는 비치환된 알킬렌 또는 티아알킬렌 (C1-C10)기는 10개 까지의 탄소 원자를 함유하는 선형 또는 분지형일 수 있는 주로 탄화수소 사슬인 알킬렌 또는 티아알킬렌기를 의미하며, 여기서 치환기는 사슬의 탄화수소 성질을 전형적으로 변화시키지 않는 것이며, 당업계에 공지된 모든 유기 화합물, 예컨대, 에테르, 에스터, 히드록실, 알킨올, 시아노, 니트로, 아실, 할로겐(클로로 또는 브로모), 페닐 및 치환된 페닐일 수 있다. 알킬은 10개 까지의 탄소 원자를 함유하는 탄화수소 사슬을 나타내며, 메틸, 에틸, 프로필, 이소프로필, 부틸 등일 수 있다. 티아알킬렌기는 사슬에 1 이상의 황기를 함유한다. 선형 또는 분지형일 수 있는 지방족 치환 또는 비치환된 알킬렌 (C1-C10)기의 예에는 메틸렌, 에틸렌, 프로필렌, 이소프로필렌, 부틸렌, 이소부틸렌, 펜틸렌, 헥실렌, 헵틸렌, 옥틸렌, 메틸헥실렌, 에틸옥틸렌, 페닐알킬렌, 니트로알킬렌, 브로모니트로알킬렌 및 치환된 페닐알킬렌이 있으나, 이로 제한되지는 않는다. 지방족 치환 또는 비치환된 티아-알킬렌 (C1-C10)기의 예에는 3,6-디티아-1,8-옥틸렌이 있으나, 이로 제한되지는 않는다. 시클로알킬기는 모노 또는 폴리 시클릭일 수 있고, 예로는 시클로펜틸, 시클로헥실, 시클로헵틸이 있으며, 추가적으로 전술한 유기기들로 치환될 수도 있다. 아릴은 페닐이나 나프틸과 같은 치환 또는 비치환된 방향족기를 나타낸다. 아릴기는 중합체 백본의 일부이거나, 백본에 연결될 수 있다. 할로겐은 플루오르나 염소가 바람직할 수 있음에도 불구하고, 플루오르, 염소 및 브롬을 나타낸다.
중합체 구조식의 몇가지 구체예는 이하 구조식 4, 5, 6 및 7로 보다 상세히 나타낼 수 있다:
Figure 112005025464011-pct00003
Figure 112005025464011-pct00004
중합체의 한 바람직한 구체예세서, Y는 알킬렌, 티아-알킬렌, 방향족 또는 이들의 혼합물이고; 보다 바람직하게 Y는 메틸렌, 에틸렌, 프로필렌, -CH2OCH2, -CH2CH2OCH2CH2-, -CH2CH2SCH2CH2-, -CH2CH2SCH2CH2SCH2CH2-, 페닐에틸렌, 알킬니트로알킬렌, 브로모니트로알킬렌, 페닐 및 나프틸이다.
다른 바람직한 구체예에서, X는 CO 또는 SO2이고, Y는 알킬렌이며, 보다 바람직하게 Y는 메틸렌, 에틸렌, 프로필렌, -CH2OCH2, -CH2CH2OCH2CH2-, -CH2CH2SCH2CH2-, -CH2CH2SCH2CH2SCH2CH2-, 페닐에틸렌, 알킬니트로알킬렌, 브로모니트로알킬렌, 페닐 또는 나프틸이다.
본 발명의 중합체는 당업계에 알려진 표준 중합 방법 중 임의의 방법에 의해 제조될 수 있으며, 이러한 방법의 예로는 응축, 음이온 또는 양이온성 공중합 기술이 있다. 중합체는 용액, 에멀젼, 벌크, 현탁액 중합 등을 사용하여 합성될 수도 있다. 전형적으로, 이무수물은 디올, 대개 비방향족 디올로, 승온에서, 임의적으로는 산의 존재하에 응축되어, 에스터 결합을 가지는 중합체가 얻어진다. 중합체의 다양한 이성질체들이 얻어질 수 있으며, 여기서 중합체 결합은 메타 또는 파라 위치이다. 중합체는 중합체내의 치환기를 변형시키기 위해 추가적으로 반응시킬 수도 있다. 페닐 고리내 결과적인 카복실산 치환기는 유리산 형태일 수 있으며, 반응하여 에스터를 내거나, 다른 중합체 사슬에 부착되거나, 이들 또는 다른 치환기들의 혼합물로 존재할 수도 있다.
본 발명의 중합체를 합성하는 데 사용될 수 있고 Y 성분을 대표할 수 있는 단량체 중 일부는 디올, 글리콜 및 옥시드인 것이 바람직하며, 이의 예에는 에틸렌 글리콜, 디에틸렌 글리콜, 프로필렌 글리콜, 프로필렌 옥시드, 에틸렌 옥시드, 부틸렌 옥시드, 1-페닐-1,2-에탄디올, 2-브로모-2-니트로-1,3-프로판 디올, 2-메틸-2-니트로-1,3-프로판디올, 디에틸비스(히드록시메틸)말로네이트, 및 3,6-디티아-1,8-옥탄디올이 있다. 방향족 디올의 예에는 2,6-비스(히드록시메틸)-p-크레솔 및 2,2'-(1,2-페닐렌디옥시)-디에탄올, 1,4-벤젠디메탄올이 있다.
디올은 전형적으로 본 발명의 중합체의 페놀릭 성분과 응축되며, 이는 전형적으로 방향족 이무수물과 같은 단량체에서 유래되고, 이의 예에는 피로멜리틱 이무수물, 3,3'4,4'-벤조페논-테트라카복실 이무수물 및 나프탈렌 이무수물이 있다. 구조식 3의 중합체의 합성을 위해, 바람직한 이무수물에는 2,3,6,7-나프탈렌테트라카복실산 이무수물 및 1,4,5,8-나프탈렌테트라카복실산 이무수물이 포함된다. 전형적으로 선형 폴리에스터가 적절한 용매내에서 이무수물과 디올의 반응으로 먼저 제조된다. 폴리에스터는 침전으로 비용매로 분리된다. 폴리에스터는 카복실산기를 알콜이나 알킬렌 옥시드와 반응시켜 추가적으로 변형될 수 있다.
특정 예에서는 반사방지성 코팅의 흡광도와 에치 저항을 조절하는 것이 중요하다. 특히 200 nm 미만에서의 이미징을 위한, 반사방지성 코팅의 소정의 에칭률을 제공하기 위해서, 중합체내의 방향성 정도는 변화될 수 있다. 높은 에칭률을 위해, 중합체 백본내 Y 성분은 비방향족인 것이 바람직하다. 방향족이 에칭률을 감소시킨다는 사실은 당업자에게 일반적으로 공지되어 있다. 낮은 에칭률 및/또는 높은 흡광성을 위해서, 고도 방향족 중합체가 바람직한데, 이 때 Y 성분은 고도 방향족일 수 있다. 그러나, 일부 구체예에서, 특히 200 nm 미만의 파장에서의 이미징을 위한 구체예에서는, Y를 위한 지방족 단량체 또는 지방족과 방향족 단량체의 적절한 혼합물을 사용하여 흡광성과 에칭률을 조절함으로써, 최적의 수행능이 얻어질 수 있다. 방향족 작용기는 중합체내에 다른 작용점에 결합될 수도 있다.
반사방지성 코팅 조성물로부터 뛰어난 반사방지성 코팅을 형성하기 위해, 중합체는 조성물의 용매내에 가용성이여야만 한다. 또한, 코팅은 반사방지성 코팅의 상부에 코팅되는 포토레지스트의 용매내에 가용성이여야만 하는 것은 아니며, 추가적으로 반사방지성 코팅이 포토레지스트를 발색하는 데 사용되는 수용성 발색제 용액에 가용성이여야만 하는 것은 아니다. 모든 요구되는 성질들을 가지는 중합체의 최적 구조는 다양한 전략을 사용하여 개발될 수 있다. 이러한 전략 중 하나는 중합체가 특히 Y, R, R1, R' 및 R"의 경우 단량체 혼합물의 중합화에서 유래된 치환기 혼합물을 함유할 수 있도록 하는 것이며, 이 때 이 치환기들은 수소, 에틸렌 알콜, 메틸, 중합체 사슬과의 가교결합 등으로, 동일한 중합체 내에서 변화될 수 있다. 따라서, 중합체는 상이한 치환기를 함유하는 다양한 단량체, 예컨대, 디올과 이무수물의 혼합물에서 합성된다. 합성된 중합체를 추가적으로 다른 화학 화합물과 반응시켜 적절한 작용기를 얻을 수 있다. 다른 전략은 중합체를 부분적으로 가교결합시켜 분자량을 증가시키는 것이다. 중합체가 합성되면, 추가적으로 중합체를 가교결합시킬 수 있거나, 가교결합제를 사용하여 가교결합되는 기(들)을 포함하는 화합물과 중합체를 반응시킬 수 있다. 중합체의 가교결합은 당업계에 잘 알려진 기술들, 예컨대, 가열 및/또는 촉매화에 의해 용이하게 수행될 수 있다. 가교결합의 정도는 중합체의 소정의 물리적 화학적 성질들에 의해 결정된다. 그 후, 가교결합된 중합체를 본 발명의 반사방지성 코팅 조성물로 제제화한다.
중합체의 중량 평균 분자량은 약 1500 내지 약 180,000, 바람직하게는 약 4,000 내지 약 60,000, 보다 바람직하게는 약 10,000 내지 약 30,000의 범위일 수 있다. 중량 평균 분자량이 1,500 미만인 경우, 반사방지성 코팅에 대해 뛰어난 필름 형성 성질이 얻어지지 않으며, 중량 평균 분자량이 너무 높은 경우, 용해도, 저장 안정성 등과 같은 성질들이 절충될 수 있다.
반사방지성 코팅 조성물은 중합체, 가교결합제, 산 또는/및 산 발생제, 및 용매를 포함한다.
다양한 가교결합제가 본 발명의 조성물에 사용될 수 있다. 산의 존재하에 중합체를 가교결합시킬 수 있는 임의의 적절한 가교결합제가 사용될 수 있다. 이러한 가교결합제의 예에는 멜라민, 메틸올, 글리콜우릴, 벤조구아나민, 우레아, 히드록시 알킬 아미드, 에폭시 및 에폭시 아민 수지, 블록된 이소시아네이트, 및 디비닐 단량체를 함유하는 수지가 있으나, 이로 제한되지는 않는다. 단량체 멜라민(예, 헥사메톡시메틸 멜라민); 글리콜우릴(예, 테트라키스(메톡시메틸)글리콜우릴); 및 방향족 메틸올(예, 2,6 비스히드록시메틸 p-크레솔)이 바람직하다.
본 발명의 산 발생제, 바람직하게는 열적 산 발생제는 90℃보다 높고 250℃ 보다 낮은 온도로 가열시, 산을 생성하는 화합물이다. 산은 가교결합제와 함께 중합체로 가교결합된다. 열처리 이후의 반사방지성 필름은 코팅 포토레지스트에 사용된 용매에 비가용성이 되며, 또한 포토레지스트의 이미징에 사용되는 알칼리 발색제에 비가용성이 된다. 열적 산 발생제는 바람직하게는 90℃, 보다 바람직하게는 120℃ 이상, 보다 더욱 바람직하게는 150℃ 이상에서 활성화된다. 반사방지성 필름은 충분한 시간동안 가열되어 코팅을 가교결합시킨다. 열적 산 발생제의 예에는 니트로벤질 토실레이트, 예컨대, 2-니트로벤질 토실레이트, 2,4-디니트로벤질 토실레이트, 2,6-디니트로벤질 토실레이트, 4-니트로벤질 토실레이트; 벤젠술포네이트, 예컨대, 2-트리플루오로메틸-6-니트로벤질 4-클로로벤젠술포네이트, 2-트리플루오로메틸-6-니트로벤질 4-니트로 벤젠술포네이트; 페놀릭 술포네이트 에스터, 예컨대, 페닐, 4-메톡시벤젠술포네이트; 유기산의 알킬 암모늄 염, 예컨대, 10-캄포르술폰산의 트리에틸암모늄 염이 있다.
열적 산 발생제는 유리 산이 신규한 반사방지성 조성물에서 사용될 수 있음에도 불구하고, 유리 산이 아닌 것이 바람직한데, 이는 중합체가 용액내에서 가교결합되는 경우, 반사방지성 용액의 시간 경과에 따른 저장 안정성이 산의 존재에 영향을 받을 수 있기 때문이다. 열적 산 발생제는 단지 반사방지성 필름이 기판상에서 가열되는 경우에만 활성화된다. 또한, 열적 산과 유리 산의 혼합물이 사용될 수도 있다. 열적 산 발생제가 중합체의 효율적인 가교결합에 바람직함에도 불구하고, 중합체와 가교결합제를 포함하는 반사방지성 코팅 조성물이 또한 중합체를 가열 가교결합하는 데 사용될 수 있다. 유리 산의 예에는 술폰산과 같은 강산이 있으나 이로 제한되지는 않는다. 술폰산, 예컨대, 톨루엔 술폰산, 트리플릭산 또는 이들의 혼합물이 바람직하다.
신규한 조성물은 추가적으로 광산 발생제를 포함할 수 있으며, 이의 예에는 오늄 염, 술포네이트 화합물, 니트로벤질 에스터, 트리아진 등이 있으나, 이로 제한되지는 않는다. 바람직한 광산 발생제는 오늄 염 및 히드록시이미드의 술포네이트 에스터, 특히, 디페닐 요드늄 염, 트리페닐 술포늄 염, 디알킬 요도늄 염, 트리아킬술포늄 염, 및 이들의 혼합물이다.
본 조성물 내 중합체의 양은 조성물의 고형 부분에 대하여, 약 95 중량% 내지 약 50 중량%, 바람직하게는 약 85 중량% 내지 약 70 중량%, 보다 바람직하게는 약 80 중량% 내지 약 70 중량%로 변화될 수 있다. 본 조성물 내 가교결합제의 양은 조성물의 고형 부분에 대하여, 5 중량% 내지 약 50 중량%, 바람직하게는 15 중량% 내지 약 30 중량%으로 변화될 수 있다. 본 조성물 내 산 또는 산 발생제의 양은 조성물의 고형 부분에 대하여, 0.1 중량% 내지 약 5 중량%, 바람직하게는 0.5 중량% 내지 약 3 중량%, 보다 바람직하게는 1 중량% 내지 약 2 중량%으로 변화될 수 있다.
본 조성물에 대해 혼합물 또는 단독으로 사용될 수 있는 전형적인 용매로는 프로필렌 글리콜 모노메틸 에테르 아세테이트(PGMEA), 프로필렌 글리콜 모노메틸 에테르(PGME), 및 에틸 락테이트(EL), 2-헵타논, 시클로펜타논, 시클로헥사논, 및 감마 부티로락톤이 있으나, 이로 제한되지는 않으며, PGME, PGMEA 및 EL 또는 이들의 혼합물이 바람직하다. 낮은 독성 정도, 뛰어난 코팅 및 용해도 성질을 가지는 용매가 일반적으로 바람직하다.
반사방지성 코팅 조성물은 본 발명의 공중합체, 가교결합제 및 산 발생제, 및 적절한 용매 또는 용매의 혼합물을 포함한다. 코팅의 수행능을 증강시키기 위해 다른 성분들이 포함될 수 있으며, 예컨대, 단량체 염료, 저급 알콜, 표면 레벨링제, 유착 촉진제, 소포제 등이 있다. 노볼락, 폴리히드록시스티렌, 폴리메틸메타크릴레이트 및 폴리아릴레이트와 같은 다른 중합체들도 수행능에 부정적인 영향을 주지 않으면서 조성물에 첨가될 수 있다. 이 중합체의 양은 바람직하게는 조성물의 전체 고형물의 50 중량% 미만, 보다 바람직하게는 20 중량% 미만, 보다 더욱 바람직하게는 10 중량% 미만으로 유지된다.
반사방지성 코팅의 광학적 특징은 노출 파장과 기타 소정의 리소그래피 특징에 대해 최적화된다. 193 nm 노출의 경우 신규한 조성물의 흡광 변수(k)는 엘립소메트리를 사용하여 측정시 약 0.1 내지 약 1.0, 바람직하게는 약 0.2 내지 약 0.75, 보다 바람직하게는 약 0.25 내지 약 0.65 범위이다. 굴절률(n) 값은 약 1.25 내지 약 2.0, 바람직하게는 약 1.3 내지 약 1.9, 보다 바람직하게는 약 1.55 내지 약 1.85 범위이다. 193 nm에서 이 조성물의 뛰어난 흡광 특징으로 인해, 약 40 nm 정도의 매우 얇은 반사방지성 필름이 사용될 수 있다. 이는 특히 193 nm에 민감한 비방향족 포토레지스트를 사용하는 경우 이점이 되며, 이 때 포토레지스트 필름은 얇으며, 반사방지성 필름용 에치 마스크로 작용해야만 한다.
반사방지성 필름이 기판의 상부에 코팅되고 추가적으로 건조 에칭되기 때문에, 반도체 장치의 성질이 반대 영향을 받지 않도록, 필름이 충분히 낮은 금속 이온값을 가지고 충분한 순도를 가지도록 계획한다. 중합체 용액을 이온 교환 컬럼, 여과, 및 추출 공정을 통해 통과시키는 처리법은 금속 이온의 농도를 감소시키고 입자를 감소시키기 위해 사용될 수 있다.
반사방지성 코팅 조성물을 딥핑, 스핀 코팅 또는 스프레이와 같이 당업계에 잘 알려진 기술들을 사용하여 기판에 코팅한다. 반사방지성 코팅의 필름 두께는 약 20 nm 내지 약 200 nm의 범위이다. 최적 필름 두께는 정상파가 포토레지스트에서 관찰되지 않도록 당업계에 공지된 방법을 사용하여 결정한다. 이 신규한 조성물의 경우, 뛰어난 흡광도와 굴절률로 인해 매우 얇은 코팅이 사용될 수 있다는 것을 예기치 못하게 발견하였다. 코팅은 핫 플레이트나 대류 오븐에서 충분한 시간 동안 추가적으로 가열하여, 임의의 잔여 용매를 제거하고 가교결합을 유도함으로써, 반사방지성 코팅을 불용화시켜, 반사방지성 코팅과 포토레지스트층 간의 혼합을 방지한다.
포토레지스트내 광활성 화합물과 반사방지성 코팅이 이미징 공정에 사용되는 노출 파장에서 흡광이 가능하다면, 반도체 산업에 사용되는 임의 유형의 포토레지스트도 사용가능하다.
두가지 유형의 즉, 음성-작용(negative-working) 및 양성-작용(positive working) 포토레지스트 조성물이 있다. 음성-작용 포토레지스트 조성물이 이미지와이즈 방사선에 노출되는 경우, 방사선에 노출된 레지스트 조성물의 면적은 발색제 용액에 덜 가용적으로 되며(예, 가교결합 반응이 일어남), 반면 포토레지스트 코팅의 비노출된 면적은 이러한 용액에 상대적으로 가용성을 유지한다. 따라서, 노출된 음성-작용 레지스트를 발색제로 처리하면 포토레지스트 코팅의 비노출된 면적이 제거되고, 코팅내 음성 이미지가 생성되어, 포토레지스트 조성물이 침착된 아래놓인 기판 표면의 소정 부분은 커버되지 않는다.
한편, 양성-작용 포토레지스트 조성물이 방사선에 이미지와이즈 노출되는 경우, 방사선에 노출된 포토레지스트 조성물의 면적은 발색제 용액에 대해 더욱 가용적으로 되며(예, 재배열 반응이 일어남), 반면 비노출된 면적은 발색제 용액에 상대적으로 불용성을 유지한다. 따라서, 노출된 양성-작용 포토레지스트를 발색제로 처리하면 코팅의 노출된 면적이 제거되고, 포토레지스트 코팅내 양성 이미지가 생성된다. 아래놓인 표면의 소정 부분은 커버되지 않는다.
양성-작용포토레지스트 조성물은 통상 음성-작용 레지스트보다 바람직한데, 이는 전자가 일반적으로 더 뛰어난 해상력과 패턴 전이 특징을 가지기 때문이다. 포토레지스트 해상도는 레지스트 조성물이 노출 및 발색 후에 높은 정도의 이미지 엣지 어큐이티(image edge acuity)로 포토마스크에서 기판으로 전이될 수 있도록 하는 최소한의 특징으로 정의된다. 오늘날의 여러 제조 응용시, 1 미크론보다 작은 레지스트 해상도가 요구된다. 또한, 발색된 포토레지스트 월(wall) 프로파일이 기판에 대해 거의 수직인 것이 거의 대부분 바람직하다. 레지스트 코팅의 발색된 면적과 비발색된 면적간의 이러한 경계가 마스크 이미지의 기판으로의 정확한 패턴 전이를 이행시킨다. 이는 소형화에 대한 압박이 장치상의 결정적인 치수를 감소시키는 한 보다 중요하게 될 것이다.
약 180 nm와 약 300 nm 사이의 단파장에 민감한 임의의 포토레지스트가 본 발명에 사용될 수 있다. 이들 포토레지스트는 통상 폴리히드록시스티렌 또는 치환된 폴리히드록시스티렌 유도체, 광활성 화합물, 및 임의적으로 용해도 저해제를 포함한다. 이하 참조 문헌은 사용된 포토레지스트 유형을 예시적으로 나타내며, 이 문헌들은 본 명세서에 참조 문헌으로 포함된다: US 4,491,628, US 5,069,997 및 US 5,350,660. 193 nm 및 157 nm 노출의 경우에는, 비방향족 중합체, 광산 발생제, 임의적으로 용해도 저해제, 및 용매를 포함하는 포토레지스트가 특히 바람직하다. 당업계에 공지된 193 nm에 민감한 포토레지스트는 이하 참조 문헌에 서술되어 있으며, 본 명세서에 포함된다: EP 794458, WO 97/33198 및 US 5,585,219. 그러나, 193 nm에 민감한 임의의 포토레지스트가 본 발명의 반사방지성 조성물의 상부에 사용될 수 있다. 플루오르화 중합체가 193 nm 및 157 nm에서 빛을 투과한다는 사실은 공지되어 있다. 이러한 중합체가 포토레지스트에 사용되는 경우에 대해서는 EP 789,278, WO 00/67072 및 WO 00/17712에 공개되어 있다. WO 00/67072는 펜던트 플루오르화기를 가지는 비방향족, 지환족 중합체에 대해 자세히 공개하고 있다.
본 발명의 방법은 기판을 신규한 반사방지성 코팅으로 코팅하고, 핫 플레이트나 대류 오븐에서 충분한 시간 동안 충분히 고온에서 가열하여 코팅 용매를 제거하고, 중합체를 충분한 정도로 가교결합하여, 코팅이 포토레지스트의 코팅 용액이나 알칼리 발색제에 가용적이지 않도록 하는 것을 추가적으로 포함한다. 엣지 비드 제거제(edge bead remover)는 당업계에 잘 알려진 방법을 사용하여 기판의 엣지를 깨끗하게 하디 위해 적용될 수 있다. 바람직한 온도 범위는 약 90℃ 내지 약 250℃이다. 온도가 90℃ 미만인 경우, 용매의 소실이 완전하지 않거나 불충분한 양의 가교결합이 일어나게 되며, 250℃보다 높은 온도인 경우, 조성물이 화학적으로 불안정하게 될 수 있다. 포토레지스트 필름은 그 후 반사방지성 코팅 상부에 코팅되고, 그 후 베이킹시켜 포토레지스트 용매를 실질적으로 제거한다. 포토레지스트는 이미지와이즈 노출되며, 수성 현장제에서 발색시켜 처리된 포토레지스트를 제거시킨다. 발색제는 예컨대, 테트라메틸 암모늄 히드록시드를 포함하는 수성 알칼리 용액인 것이 바람직하다. 임의적인 가열 단계가 발색 단계 이전, 노출 단계 이후에 포함될 수도 있다.
포토레지스트의 이미징 및 코팅 방법은 당업계에 잘 알려져 있으며, 사용되는 레지스트의 특정 유형에 따라 최적화된다. 그 후, 패턴화된 기판을 에칭 기체나 기체의 혼합물로 적절한 에칭 챔버에서 건조 에칭하여, 반사방지성 필름의 노출된 부분을 제거할 수 있으며, 잔여 포토레지스트가 에치 마스크로 작용한다. 유기 반사방지성 코팅을 에칭하기 위한 O2, Cl2, F2 및 CF4와 같은 다양한 기체가 당업계에 공지되어 있다.
중간층은 혼합을 방지하기 위해 반사방지성 코팅과 포토레지스트 사이에 위치할 수 있으며, 본 발명의 범위 이내에서 계획된다. 중간층은 용매 유래의 비활성 중합체캐스트이며, 중합체의 예에는 폴리술폰 및 폴리이미드가 있다.
하기 특정 실시예들은 본 발명의 조성물을 제조하고 사용하는 방법에 대한 세부적인 설명을 제공할 것이다. 그러나, 이들 실시예들은 어떤 방식으로든 본 발명의 범위를 제한하거나 한정하고자 하는 것이 아니며, 본 발명을 수행가기 위해 독점적으로 사용되어야만 하는 조건, 변수 또는 값들을 제공하기 위해 해석되야만 하는 것은 아니다.
이하 실시예들에서 반사방지성 코팅의 굴절률(n) 및 흡광도(k) 값들은 J. A. Woollam VASE32 엘립소미터로 측정하였다.
중합체의 분자량은 겔 침투 크로마토그래프로 측정하였다.
실시예 1
1.0 mole의 피로멜리틱 이무수물을 응축기와 기계적 교반기가 달린 1L-플라스크에서 300 g의 아세토니트릴에 현탁하였다. 동몰의 에틸렌 글리콜을 첨가하였다. 질소하에, 이 혼합물을 온화하게 환류 가열하였다. 약 30분 후, 약간 노란색이나 맑은 용액이 얻어졌다. 이 반응을 이 온도에서 6시간 동안 유지하고, 실온으로 냉각하였다. 반응 동안 형성된 백색 고체를 여과하여 버렸다. 맑은 여과물을 2 L-플라스크로 옮겼다. 여기에 300 g의 탄산에틸렌과 5 g의 벤질트리에틸암모늄 클로라이드를 첨가하였다. 이 혼합물을 120℃로 가열하고 16시간 동안 유지하였다. 반 응이 종결되었을 때, 반응 용액을 실온으로 냉각하고 고속 블렌더에서 과량의 물에 천천히 부었다. 중합체를 회수하고 물로 골고루 세척하였다. 최종적으로 중합체를 진공 오븐에서 건조하였다.
전술한 방법을 사용하여 제조된 50 g의 폴리에스터를 1L-플라스크에서 150 g의 메탄올과 150 g의 에틸 락테이트의 혼합물에 용해하였다. 5 g의 농축 황산을 촉매로 첨가하였다. 이 용액을 온화하게 환류 가열하였다. 이 반응을 22시간 동안 유지하였다. 실온으로 냉각한 후, 용액을 고속 블렌더에서 과량의 물에 부었다. 얻어진 백색 중합체를 여과로 회수하고 물로 골고루 세척하였다. 최종적으로 중합체를 진공 오븐에서 1일 동안 건조하였으며, 약 50%의 전체 수율이 얻어졌다. 얻어진 중합체는 약 8200의 중량 평균 분자량과 2.8의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해하여 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 106 nm 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.51의 (n)값과 0.32의 (k)값을 가짐이 밝혀졌다. AZ® EXP AX1020P 포토레지스트를 사용하여, 330 nm 필름을 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 0.6NA, 0.7 시그마에서, 바이너리 마스크로 종래 조도하에, ISI 193 nm 미니스텝퍼를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 16 mJ/cm2의 노출 도스에서, 0.13 미크론에서의 선 및 공간 패턴을 스캐닝 전자 현미경하에 관찰하였으며, 하부 반사방지성 코팅의 에피커시(efficacy)를 나타내는 정상파는 나타나지 않았다.
실시예 2
1.0 mole의 피로멜리틱 이무수물을 응축기와 기계적 교반기가 달린 1L-플라스크에서 300 g의 아세토니트릴에 현탁하였다. 동몰의 에틸렌 글리콜을 첨가하였다. 질소하에, 이 혼합물을 온화하게 환류 가열하였다. 이 반응을 24시간 동안 유지하였다. 반응 혼합물을 실온으로 냉각한 후, 수시간 동안 교반을 계속하였다. 반응 동안 형성된 백색 침전물을 석션으로 회수하고, 아세토니트릴로 골고루 세척하였다. 고체를 진공 오븐에서 1일 동안 건조하였다.
150 g의 상기에서 얻어진 중합체와 촉매량의 벤질트리에틸암모늄 클로라이드를 2L 플라스크에서 600 g의 탄산에틸렌에 분산시켰다. 질소 및 기계적 교반하에, 혼합물을 120℃로 가열하였다. 반응을 약 16시간 동안 유지하였다. 그 후, 온도를 140℃로 상승시키고, 투명한 용액이 얻어지는 경우 반응을 종료하였다. 반응 용액을 냉각시키고 여과하였다. 여과물을 교반하에 과량의 물에 천천히 부었다. 백색 중합체를 여과로 회수하고, 물로 골고루 세척한 후, 진공 오븐에서 건조하였다.
전술한 방법을 사용하여 제조한 150 g의 폴리에스터 중합체를 2L-플라스크에서 450 g의 메탄올과 450 g의 에틸 락테이트의 혼합물에 용해하였다. 촉매량의 농축 황산을 첨가하였다. 이 용액을 온화하게 환류 가열하였다. 이 반응을 22~24시간 동안 유지하였다. 반응 혼합물을 실온으로 냉각한 후, 용액을 고속 블렌더에서 과량의 물에 천천히 부었다. 얻어진 백색 중합체를 여과로 회수하고, 물로 골고루 세척하였다. 최종적으로, 중합체를 진공 오븐에서 1일 동안 건조하였다. 전체 수율은 약 50%이었다. 얻어진 중합체는 약 7300의 중량 평균 분자량과 2.7의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해시켜 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 106 nm 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.51의 (n)값과 0.32의 (k)값을 가짐이 밝혀졌다. AZ® EXP AX1020P 포토레지스트를 사용하여, 330 nm 필름을 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 0.6NA, 0.7 시그마에서, 바이너리 마스크로 종래 조도하에, ISI 193 nm 미니스텝퍼를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 16 mJ/cm2의 노출 도스에서, 0.13 미크론에서의 선 및 공간 패턴을 스캐닝 전자 현미경하에 관찰하였으며, 하부 반사방지성 코팅의 에피커시를 나타내는 정상파는 나타나지 않았다.
실시예 3
1.0 mole의 피로멜리틱 이무수물을 응축기와 기계적 교반기가 달린 1L-플라스크에서 300 g의 아세토니트릴에 현탁하였다. 동몰의 에틸렌 글리콜을 첨가하였다. 질소하에, 이 혼합물을 온화하게 환류 가열하였다. 이 반응을 24시간 동안 유지하였다. 반응 혼합물을 실온으로 냉각한 후, 수시간 동안 교반을 계속하였다. 반응 동안 형성된 백색 침전물을 석션으로 회수하고, 아세토니트릴로 골고루 세척하였다. 고체를 진공 오븐에서 1일 동안 건조하였다.
300 g의 프로필렌 옥시드와 300 g의 아세토니트릴을 자기 바와 응축기가 달린 2 L-플라스크에 채웠다. 여기에, 52 g의 상기에서 제조된 고체와 2.5 g의 벤질트리에틸암모늄 클로라이드를 첨가하였다. 질소하에, 이 혼합물을 온화하게 환류 가열하였다. 이 반응을 20시간 동안 유지하였다. 실온으로 냉각한 후, 이 반응 용액을 교반하면서 과량의 물에 천천히 부었다. 중합체를 석션으로 회수하고, 물로 골고루 세척하고, 최종적으로 1일 동안 진공 오븐에서 건조하였다. 전체 수율은 약 70%이었다. 얻어진 중합체는 약 7000의 중량 평균 분자량과 2.1의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해하여, 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 106 nm 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.50의 (n)값과 0.28의 (k)값을 가짐이 밝혀졌다. AZ® EXP AX1020P 포토레지스트를 사용하여, 330 nm 필름을 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 0.6NA, 0.7 시그마에서, 바이너리 마스크로 종래 조도하에, ISI 193 nm 미니스텝퍼를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 16 mJ/cm2의 노출 도스에서, 0.13 미크론에서의 선 및 공간 패턴을 스캐닝 전자 현미경하에 관찰하였으며, 하부 반사방지성 코팅의 에피커시를 나타내는 정상파는 나타나지 않았다.
실시예 4
1.0 mole의 피로멜리틱 이무수물을 기계적 교반기가 달린 1L-플라스크에서 600 g의 탄산에틸렌에 용해하였다. 동몰의 에틸렌 글리콜을 첨가하였다. 질소하에, 이 반응 혼합물을 120℃로 가열하였다. 이 반응을 7시간 동안 계속하였다. 그 후, 10 g의 벤질트리에틸암모늄 클로라이드를 첨가하고, 반응을 추가 16시간 동안 동일한 온도에서 계속하였다. 이 반응 용액을 냉각 및 여과하였다. 여과물을 교반하면서 과량의 물에 천천히 부었다. 백색 중합체를 여과로 회수하고, 물로 골고루 세척한 후, 진공 오븐에서 건조하였다.
250 g의 전술한 방법을 사용하여 제조된 폴리에스터 중합체를 플라스크에서 750 g의 메탄올과 750 g의 에틸 락테이트의 혼합물에 용해시켰다. 20 g의 농축 황산을 촉매로 첨가하였다. 이 용액을 온화하게 환류 가열하였다. 이 반응을 22~24시간 동안 유지하였다. 실온으로 냉각한 후, 용액을 고속 블렌더에서 과량의 물에 천천히 부었다. 얻어진 백색 중합체를 여과로 회수하고, 물로 골고루 세척하였다. 최종적으로, 중합체를 진공 오븐에서 1일 동안 건조하였다. 전체 수율은 약 50%이었다. 얻어진 중합체는 약 6700의 중량 평균 분자량과 3.0의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해하여, 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 106 nm 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.51의 (n)값과 0.32의 (k)값을 가짐이 밝혀졌다. AZ® EXP AX1020P 포토레지스트를 사용하여, 330 nm 필름을 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 0.6NA, 0.7 시그마에서, 바이너리 마스크로 종래 조도하에, ISI 193 nm 미니스텝퍼를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 16 mJ/cm2의 노출 도스에서, 0.13 미크론에서의 선 및 공간 패턴을 스캐닝 전자 현미경하에 관찰하였으며, 하부 반사방지성 코팅의 에피커시를 나타내는 정상파는 나타나지 않았다.
실시예 5
300 g의 탄산에틸렌을 기계적 교반기가 달린 1L-플라스크에서 액체로 가온하였다. 여기에, 0.31 mole의 피로멜리틱 이무수물, 0.031 mole의 1-페닐-1,2-에탄디올 및 0.086 mole의 2-브로모-2-니트로-1,3-프로판디올을 첨가하였다. 이 혼합물을 질소하에 80℃로 가열하였다. 이 반응을 이 온도에서 23시간 동안 유지하였다. 그 후, 4.0 g의 벤질트리에틸암모늄 클로라이드를 첨가하고, 온도를 110℃로 상승시켰다. 이 반응을 이 온도에서 7시간 동안 유지하였다. 실온으로 냉각한 후에, 이 반응 용액을 교반하면서 과량의 물에 천천히 부었다. 침전된 중합체를 회수하고, 물 로 골고루 세척하고, 최종적으로 진공 오븐에서 건조하였다.
50 g의 전술한 방법을 사용하여 제조된 폴리에스터를 1L-플라스크에서 150 g의 메탄올과 150 g의 에틸 락테이트의 혼합물에 용해시켰다. 5 g의 농축 황산을 촉매로 첨가하였다. 이 용액을 온화하게 환류 가열하였다. 이 반응을 22~24시간 동안 유지하였다. 실온으로 냉각한 후, 용액을 고속 블렌더에서 과량의 물에 천천히 부었다. 얻어진 백색 중합체를 여과로 회수하고, 물로 골고루 세척하였다. 최종적으로, 중합체를 진공 오븐에서 1일 동안 건조하였다. 전체 수율은 약 50%이었다. 얻어진 중합체는 약 6500의 중량 평균 분자량과 2.7의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해하여, 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.74의 (n)값과 0.33의 (k)값을 가짐이 밝혀졌다. 그 후, 330 nm 두께의 AZ® EXP AX1020P 포토레지스트를 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 193 nm 노출 장비를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 스캐닝 전자 현미경하에 관찰시 선 및 공간 패턴은 하부 반사방지성 코팅의 에피커시를 나타내는 정상파는 나타내지 않았다.
실시예 6
500 g의 탄산에틸렌을 기계적 교반기가 달린 1L-플라스크에서 액체로 가온하였다. 여기에, 0.44 mole의 피로멜리틱 이무수물, 및 0.44 mole의 2-브로모-2-니트로-1,3-프로판디올을 첨가하였다. 이 혼합물을 질소하에 80℃로 가열하였다. 이 반응을 이 온도에서 7시간 동안 유지하였다. 그 후, 5 g의 벤질트리에틸암모늄 클로라이드를 첨가하고, 온도를 110℃로 상승시켰다. 이 반응을 이 온도에서 16시간 동안 유지하고, 120℃에서 5시간 동안 유지하였다. 실온으로 냉각한 후에, 이 반응 용액을 교반하면서 과량의 물에 천천히 부었다. 침전된 중합체를 회수하고, 물로 골고루 세척하고, 최종적으로 진공 오븐에서 건조하였다. 전체 수율은 약 50%이었다. 얻어진 중합체는 약 6500의 중량 평균 분자량과 3.1의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해하여, 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 90 nm 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.69의 (n)값과 0.29의 (k)값을 가짐이 밝혀졌다. AZ® EXP AX1020P 포토레지스트를 사용하여, 330 nm 필름을 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 0.6NA, 0.7 시그마에서, 바이너리 마스크로 종래 조도하에, ISI 193 nm 미니스텝퍼를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 16 mJ/cm2의 노출 도스에서, 0.13 미크론에서의 선 및 공간 패턴을 스캐닝 전자 현미경하에 관찰하였으며, 하부 반사방지성 코팅의 에피커시를 나타내는 정상파는 나타나지 않았다.
실시예 7
400 g의 탄산에틸렌을 기계적 교반기가 달린 1L-플라스크에서 액체로 가온하였다. 여기에, 0.50 mole의 피로멜리틱 이무수물, 및 0.50 mole의 2-메틸-2-니트로-1,3-프로판디올을 첨가하였다. 이 혼합물을 질소하에 80℃로 가열하였다. 이 반응을 이 온도에서 23시간 동안 유지하였다. 그 후, 5 g의 벤질트리에틸암모늄 클로라이드를 첨가하고, 온도를 130℃로 상승시키고 4시간 동안 유지하였다. 실온으로 냉각한 후에, 이 반응 용액을 교반하면서 과량의 물에 천천히 부었다. 침전된 중합체를 회수하고, 물로 골고루 세척하고, 최종적으로 진공 오븐에서 건조하였다.
40.5 g의 상기 중합체를 1L-플라스크에서 122 g의 메탄올과 20 g의 벤질 알 콜의 혼합물에 용해시켰다. 5 g의 농축 황산을 촉매로 첨가하였다. 이 용액을 온화하게 환류 가열하였다. 이 반응을 22시간 동안 유지하였다. 실온으로 냉각한 후, 용액을 고속 블렌더에서 과량의 물에 천천히 부었다. 얻어진 백색 중합체를 여과로 회수하고, 물로 골고루 세척하였다. 최종적으로, 중합체를 진공 오븐에서 1일 동안 건조하였다. 전체 수율은 약 50%이었다. 얻어진 중합체는 약 6300의 중량 평균 분자량과 3.4의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해하여, 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 106 nm 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.52의 (n)값과 0.33의 (k)값을 가짐이 밝혀졌다. AZ® EXP AX1020P 포토레지스트를 사용하여, 330 nm 필름을 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 193 nm 노출 장비를 사용하여 이미지와이즈 노출하였다. 그 후 웨이퍼를 0.6NA, 0.7 시그마에서, 바이너리 마스크로 종래 조도하에, ISI 193 nm 미니스텝퍼를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 16 mJ/cm2의 노출 도스에서, 0.13 미크론에서의 선 및 공간 패턴을 스캐닝 전자 현미경하에 관찰하였으며, 하부 반사방지성 코팅의 에피커시를 나타내는 정상파는 나타나지 않았다.
실시예 8
450 g의 탄산에틸렌을 기계적 교반기가 달린 1L-플라스크에서 액체로 가온하였다. 여기에, 0.72 mole의 피로멜리틱 이무수물, 0.61 mole의 2-메틸-2-니트로-1,3-프로판디올, 0.11 mole의 1-페닐-1,2-에탄디올, 및 8 g의 벤질트리에틸암모늄 클로라이드를 첨가하였다. 이 혼합물을 질소하에 80℃로 가열하였다. 이 반응을 이 온도에서 8시간 동안 유지하였다. 실온으로 냉각한 후에, 이 반응 용액을 교반하면서 과량의 물에 천천히 부었다. 침전된 중합체를 회수하고, 물로 골고루 세척하고, 최종적으로 진공 오븐에서 건조하였다. 중합체를 아세톤/물로부터 한번 더 재침전하였다. 전체 수율은 약 50%이었다. 얻어진 중합체는 약 7000의 중량 평균 분자량과 2.7의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해하여, 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.66의 (n)값과 0.36의 (k)값을 가짐이 밝혀졌다. AZ® EXP AX1020P 포토레지스트를 사용하여, 330 nm 필름을 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 193 nm 노출 장비를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 스캐닝 전자 현미경하에 관찰시 선 및 공간 패턴은 하부 반사방지성 코팅의 에피커시를 나타내는 정상파는 나타내지 않았다.
실시예 9
0.2 mole의 3,3',4,4'-벤조페논-테트라카복실 이무수물과 0.2 mole의 3,6-디티아-1,8-옥탄디올을 응축기와 기계적 교반기가 달린 1L-플라스크에서 250 g의 아세토니트릴에 현탁하였다. 질소하에, 이 혼합물을 온화하게 환류 가열하였다. 이 반응을 24시간 동안 유지하였다. 중합체가 반응 동안 침전되었다. 반응 혼합물을 실온으로 냉각한 후, 중합체를 석션으로 회수하였다. 중합체를 300 ml의 아세톤에 재용해하고, 물에서 재침전시켰다. 이 중합체를 회수하고, 물로 골고루 세척하였다. 최종적으로, 중합체를 진공 오븐에서 건조하였다. 중합체의 전체 수율은 약 65%이었다. 얻어진 중합체는 약 5830의 중량 평균 분자량과 2.2의 다중분산도를 가졌다.
2.4 g의 상기 중합체, 0.72 g의 테트라키스 (메톡시메틸)글리콜우릴, 0.048 g의 10-캄포르술폰산의 트리에틸암모늄 염을 47.6 g의 에틸 락테이트에 용해하여, 반사방지성 코팅 조성물을 제조하였다. 이 용액을 0.2 ㎛ 여과기를 통해 여과하였다.
반사방지성 코팅 제제의 성능은 AZ® EXP AX1020P 포토레지스트(AZ Electronic Materials, Clariant Corporation, Branchburg, NJ에서 구매)를 사용하여 평가하였다. 상기 용액의 반사방지성 필름을 실리콘 웨이퍼로 코팅하고, 200℃에서 60초 동안 베이킹하였다. 반사방지성 필름은 1.65의 (n)값과 0.38의 (k)값을 가짐이 밝혀졌다. AZ® EXP AX1020P 포토레지스트를 사용하여, 330 nm 필름을 코팅하고, 115℃에서 60초 동안 베이킹하였다. 그 후 웨이퍼를 193 nm 노출 장비를 사용하여 이미지와이즈 노출하였다. 노출된 웨이퍼를 110℃에서 60초 동안 베이킹하고, 2.38 중량%의 테트라메틸 암모늄 히드록시드 수용액을 사용하여 60초 동안 발색하였다. 스캐닝 전자 현미경하에 관찰시 선 및 공간 패턴은 하부 반사방지성 코팅의 에피커시를 나타내는 정상파는 나타내지 않았다.

Claims (18)

  1. 중합체, 가교결합제, 및 산 또는/및 산 발생제를 포함하는 포토레지스트층용 반사방지성 코팅 조성물로서, 상기 중합체는 하기 구조식 1, 2 및 3에서 선택된 1 이상의 유닛을 포함하는 것인 조성물:
    Figure 112010062107067-pct00009
    상기 구조식들에서, Y는 1개 내지 10개의 탄소 원자의 히드로카빌 또는 헤테로 원자를 포함하는 히드로카빌 결합기이고, R 및 R1은 독립적으로 수소, 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기, 할로겐, -O(CO)Z, -C(CF3)2Z, -C(CF3)2(CO)OZ, -SO2CF3, -(CO)OZ, -SO3Z, -COZ, -OZ, -NZ2, -SZ, -SO2Z, -NHCOZ, -NZCOZ 또는 -SO2NZ2이며, 여기서, Z는 H 또는 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, R' 및 R"는 독립적으로 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기, -C(CF3)2Z, -C(CF3)2(CO)OZ, 또는 -COZ이며, 여기서, Z는 H 또는 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, 구조식 1에서 n은 1-2이며, 구조식 2에서 n은 1-3이고, n'는 1-3이며, 구조식 3에서 n은 1-4이고, X는 O, CO, S, COO, CH2O, CH2COO, SO2, NH, NL, OWO, OW 또는 W이며, L 및 W는 독립적으로 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, m은 0-3이다.
  2. 제1항에 있어서, 히드로카빌 또는 헤테로 원자를 포함하는 히드로카빌이 치환 또는 비치환된 지방족 (C1-C10)알킬렌기, 치환 또는 비치환된 티아-알킬렌 지방족 (C1-C10) 기, 치환 또는 비치환된 시클로알킬렌, 치환 또는 비치환된 벤질, 알콕시 알킬렌, 알콕시아릴, 치환된 아릴, 헤테로 시클로알킬렌, 헤테로아릴, 옥소시클로헥실, 시클릭 락톤, 벤질, 치환된 벤질, 히드록시 알킬, 히드록시알콕실, 알콕시 알킬, 알콕시아릴, 알킬아릴, 알케닐, 치환된 아릴, 헤테로 시클로알킬, 헤테로아릴, 니트로알킬, 할로알킬, 알킬이미드, 알킬 아미드 및 이들의 혼합물에서 선택되는 것인 조성물.
  3. 제1항에 있어서, Y가 메틸렌, 에틸렌, 프로필렌, 부틸렌, 페닐에틸렌, 알킬니트로알킬렌, 디티아옥틸렌, 브로모니트로알킬렌, 페닐, 나프틸, 페닐의 유도체, 및 나프틸의 유도체에서 선택되는 것인 조성물.
  4. 제3항에 있어서, Y가 1-페닐-1,2-에틸렌, 2-브로모-2-니트로-1,3-프로필렌, 2-메틸-2-니트로-1,3-프로필렌, 3,6-디티아-1,8-옥틸렌, -CH2OCH2, -CH2CH2OCH2CH2-, -CH2CH2SCH2CH2- 및 -CH2CH2SCH2CH2SCH2CH2-에서 선택되는 것인 조성물.
  5. 제1항에 있어서, 중합체가 하기 구조식 4, 5 및 6에서 선택된 1 이상의 유닛을 포함하는 것인 조성물:
    Figure 112010062107067-pct00010
    Figure 112010062107067-pct00011
    상기 구조식들에서, Y는 1개 내지 10개의 탄소 원자의 히드로카빌 또는 헤테로 원자를 포함하는 히드로카빌 결합기이고, R 및 R1은 독립적으로 수소, 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기, 할로겐, -O(CO)Z, -C(CF3)2Z, -C(CF3)2(CO)OZ, -SO2CF3, -(CO)OZ, -SO3Z, -COZ, -OZ, -NZ2, -SZ, -SO2Z, -NHCOZ, -NZCOZ 또는 -SO2NZ2이며, 여기서, Z는 H 또는 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, R' 및 R"는 독립적으로 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기, -C(CF3)2Z, -C(CF3)2(CO)OZ, 또는 -COZ이며, 여기서, Z는 H 또는 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, 구조식 4에서 n은 1-2이며, 구조식 5에서 n은 1-3이고, n'는 1-3이며, 구조식 6에서 n은 1-2이고, 구조식 7에서 n은 1-3이며, n'는 1-3이고, X는 O, CO, S, COO, CH2O, CH2COO, SO2, NH, NL, OWO, OW 또는 W이며, L 및 W는 독립적으로 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, m은 0-3이다.
  6. 제1항에 있어서, 가교결합제가 멜라민, 메틸올, 글리콜우릴, 히드록시 알킬 아미드, 에폭시 및 에폭시 아민 수지, 블록된 이소시아네이트 및 디비닐 단량체에서 선택되는 것인 조성물.
  7. 제1항에 있어서, 산 발생제가 열적 산 발생제인 것인 조성물.
  8. 제6항에 있어서, 열적 산 발생제가 니트로벤질 토실레이트, 니트로벤질 벤젠술포네이트 및 페놀릭 술포네이트에서 선택되는 것인 조성물.
  9. 부분적으로 가교결합된 중합체, 가교결합제, 및 산 또는/및 산 발생제를 포함하는 포토레지스트층용 반사방지성 코팅 조성물로서, 상기 부분적으로 가교결합된 중합체는 하기 구조식 1, 2 및 3에서 선택된 1 이상의 유닛을 포함하는 것인 조성물:
    Figure 112010062107067-pct00012
    상기 구조식들에서, Y는 1개 내지 10개의 탄소 원자의 히드로카빌 또는 헤테로 원자를 포함하는 히드로카빌기 결합기이고, R 및 R1은 독립적으로 수소, 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기,할로겐, -O(CO)Z, -C(CF3)2Z, -C(CF3)2(CO)OZ, -SO2CF3, -(CO)OZ, -SO3Z, -COZ, -OZ, -NZ2, -SZ, -SO2Z, -NHCOZ, -NZCOZ 또는 -SO2NZ2이며, 여기서, Z는 H 또는 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, R' 및 R"는 독립적으로 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기, -C(CF3)2Z, -C(CF3)2(CO)OZ, 또는 -COZ이며, 여기서, Z는 H 또는 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, 구조식 1에서 n은 1-2이며, 구조식 2에서 n은 1-3이고, n'는 1-3이며, 구조식 3에서 n은 1-4이고, X는 O, CO, S, COO, CH2O, CH2COO, SO2, NH, NL, OWO, OW 또는 W이며, L 및 W는 독립적으로 1개 내지 10개의 탄소 원자의 히드로카빌기 또는 헤테로 원자를 포함하는 히드로카빌기이고, m은 0-3이다.
  10. 제1항에 있어서, 중합체가 1 이상의 디올과 1 이상의 이무수물의 반응 산물 인 것인 조성물.
  11. 제1항에 있어서, 조성물이 폴리히드록시스티렌, 노볼락, 폴리아릴레이트 및 폴리메틸메타크릴레이트에서 선택된 중합체를 추가로 포함하는 것인 조성물.
  12. 제1항에 있어서, 산이 술폰산인 것인 조성물.
  13. 제1항의 반사방지성 코팅 조성물 층과, 그 위에 중합체와 광활성 화합물을 포함하는 포토레지스트의 코팅을 가지는 기판을 포함하는 물품.
  14. a) 기판을 제1항의 반사방지성 코팅 조성물로 코팅 및 베이킹하는 단계;
    b) 반사방지성 코팅의 상부에 포토레지스트 필름을 코팅 및 베이킹하는 단계;
    c) 포토레지스트를 이미지와이즈(imagewise) 노출하는 단계; 및
    d) 포토레지스트 내의 이미지를 발색하는 단계
    를 포함하는 이미지 형성 방법.
  15. 제14항에 있어서, e) 노출 단계 이후에 기판을 베이킹하는 단계를 더 포함하는 것인 방법.
  16. 제14항 또는 제15항에 있어서, 포토레지스트가 130 nm 내지 250 nm의 파장에서 이미지와이즈 노출되는 것인 방법.
  17. 제14항 또는 제15항에 있어서, 포토레지스트가 중합체와 광활성 화합물을 포함하는 것인 방법.
  18. 제14항 또는 제15항에 있어서, 반사방지성 코팅이 90℃보다 높은 온도에서 베이킹되는 것인 방법.
KR1020057008759A 2002-11-21 2003-11-06 포토레지스트용 반사방지성 조성물 KR101017339B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/301,462 US7264913B2 (en) 2002-11-21 2002-11-21 Antireflective compositions for photoresists
US10/301,462 2002-11-21

Publications (2)

Publication Number Publication Date
KR20050074624A KR20050074624A (ko) 2005-07-18
KR101017339B1 true KR101017339B1 (ko) 2011-02-28

Family

ID=32324544

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057008759A KR101017339B1 (ko) 2002-11-21 2003-11-06 포토레지스트용 반사방지성 조성물

Country Status (8)

Country Link
US (1) US7264913B2 (ko)
EP (1) EP1563343B1 (ko)
JP (1) JP4465528B2 (ko)
KR (1) KR101017339B1 (ko)
CN (1) CN100565342C (ko)
MY (1) MY162613A (ko)
TW (1) TWI301930B (ko)
WO (1) WO2004046828A1 (ko)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE10224217A1 (de) * 2002-05-31 2003-12-18 Infineon Technologies Ag Photosensitiver Lack zur Beschichtung auf einem Halbleitersubstrat oder einer Maske
KR100636663B1 (ko) * 2002-06-24 2006-10-23 주식회사 하이닉스반도체 유기 반사방지막 조성물 및 이를 이용한 포토레지스트의패턴 형성 방법
US7264913B2 (en) 2002-11-21 2007-09-04 Az Electronic Materials Usa Corp. Antireflective compositions for photoresists
US7081511B2 (en) 2004-04-05 2006-07-25 Az Electronic Materials Usa Corp. Process for making polyesters
US20060177772A1 (en) * 2005-02-10 2006-08-10 Abdallah David J Process of imaging a photoresist with multiple antireflective coatings
US20070231736A1 (en) * 2006-03-28 2007-10-04 Chen Kuang-Jung J Bottom antireflective coating composition and method for use thereof
US7638262B2 (en) * 2006-08-10 2009-12-29 Az Electronic Materials Usa Corp. Antireflective composition for photoresists
US7824844B2 (en) * 2007-01-19 2010-11-02 Az Electronic Materials Usa Corp. Solvent mixtures for antireflective coating compositions for photoresists
US20080187868A1 (en) * 2007-02-07 2008-08-07 Munirathna Padmanaban Photoactive Compounds
US8026040B2 (en) 2007-02-20 2011-09-27 Az Electronic Materials Usa Corp. Silicone coating composition
US8524441B2 (en) 2007-02-27 2013-09-03 Az Electronic Materials Usa Corp. Silicon-based antireflective coating compositions
US7833692B2 (en) * 2007-03-12 2010-11-16 Brewer Science Inc. Amine-arresting additives for materials used in photolithographic processes
US20080286689A1 (en) * 2007-05-14 2008-11-20 Hong Zhuang Antireflective Coating Compositions
US20090035704A1 (en) * 2007-08-03 2009-02-05 Hong Zhuang Underlayer Coating Composition Based on a Crosslinkable Polymer
US8039201B2 (en) * 2007-11-21 2011-10-18 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
EP2251742B1 (en) * 2008-02-21 2012-05-16 Nissan Chemical Industries, Ltd. Composition for forming resist underlayer film and method for forming resist pattern using the same
US8221965B2 (en) * 2008-07-08 2012-07-17 Az Electronic Materials Usa Corp. Antireflective coating compositions
KR100997502B1 (ko) * 2008-08-26 2010-11-30 금호석유화학 주식회사 개환된 프탈릭 언하이드라이드를 포함하는 유기 반사 방지막 조성물과 이의 제조방법
WO2010083350A1 (en) * 2009-01-16 2010-07-22 Fujifilm Electronic Materials U.S.A., Inc. Nonpolymeric binders for semiconductor substrate coatings
US20100291475A1 (en) * 2009-05-12 2010-11-18 Chenghong Li Silicone Coating Compositions
US8551686B2 (en) * 2009-10-30 2013-10-08 Az Electronic Materials Usa Corp. Antireflective composition for photoresists
US8486609B2 (en) * 2009-12-23 2013-07-16 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
US8445181B2 (en) * 2010-06-03 2013-05-21 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
US8465902B2 (en) 2011-02-08 2013-06-18 Az Electronic Materials Usa Corp. Underlayer coating composition and processes thereof
US8623589B2 (en) * 2011-06-06 2014-01-07 Az Electronic Materials Usa Corp. Bottom antireflective coating compositions and processes thereof
US9170494B2 (en) 2012-06-19 2015-10-27 Az Electronic Materials (Luxembourg) S.A.R.L. Antireflective compositions and methods of using same
KR102456279B1 (ko) * 2017-03-16 2022-10-18 메르크 파텐트 게엠베하 리소그래피 조성물 및 이의 사용 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS598770A (ja) 1982-07-07 1984-01-18 Toyobo Co Ltd 塗装鋼板用塗料組成物
US5935760A (en) 1997-10-20 1999-08-10 Brewer Science Inc. Thermosetting polyester anti-reflective coatings for multilayer photoresist processes
US6261743B1 (en) 1997-02-07 2001-07-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2585323A (en) * 1949-05-31 1952-02-12 California Research Corp Linear pyromellitic polyesters and their derivatives
BE585234A (ko) 1958-12-02
US3483169A (en) * 1967-08-21 1969-12-09 Case Leslie C Polyester-polyethers and process of preparing the same
US3497472A (en) * 1967-12-05 1970-02-24 Sun Oil Co Polyesters of adamantanediols and aromatic tetracarboxylic acid dianhydrides
US3919172A (en) * 1972-10-30 1975-11-11 California Inst Of Techn Method of preparing polyesters from polymeric polyols and dianhydrides
US3856752A (en) * 1973-10-01 1974-12-24 Ciba Geigy Corp Soluble polyimides derived from phenylindane diamines and dianhydrides
US4196129A (en) * 1977-01-21 1980-04-01 California Institute Of Technology Prepolymer dianhydrides
GB2036772B (en) * 1978-11-06 1983-05-05 Bostik Ltd Acrylic adhesives
US4491628A (en) * 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
JPS5988770A (ja) 1982-11-13 1984-05-22 Canon Inc 電子写真複写装置
US4912160A (en) * 1987-11-16 1990-03-27 The Sherwin-Williams Company Acid-functional polymers from hydroxy polymers and cyclic anhydrides
DE3817012A1 (de) * 1988-05-19 1989-11-30 Basf Ag Positiv und negativ arbeitende strahlungsempfindliche gemische sowie verfahren zur herstellung von reliefmustern
EP0440374B1 (en) * 1990-01-30 1997-04-16 Wako Pure Chemical Industries Ltd Chemical amplified resist material
JP3000745B2 (ja) * 1991-09-19 2000-01-17 富士通株式会社 レジスト組成物とレジストパターンの形成方法
FR2694012B1 (fr) * 1992-07-23 1994-08-26 Kermel Snc Solutions de polyimide et leur procédé d'obtention.
US5294680A (en) 1992-07-24 1994-03-15 International Business Machines Corporation Polymeric dyes for antireflective coatings
DE4224761A1 (de) * 1992-07-27 1994-02-03 Basf Ag Verwendung von Polykondensaten und neue Polykondensate
AU6711694A (en) * 1993-04-21 1994-11-08 University Of Akron, The Negative birefringent polyimide films
US5395918A (en) * 1994-04-21 1995-03-07 The University Of Akron Organo-soluble polyimides from substituted dianhydrides
JP3804138B2 (ja) 1996-02-09 2006-08-02 Jsr株式会社 ArFエキシマレーザー照射用感放射線性樹脂組成物
JP3962432B2 (ja) 1996-03-07 2007-08-22 住友ベークライト株式会社 酸不安定ペンダント基を持つ多環式ポリマーからなるフォトレジスト組成物
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
KR100265597B1 (ko) 1996-12-30 2000-09-15 김영환 Arf 감광막 수지 및 그 제조방법
WO1999042508A1 (en) * 1998-02-23 1999-08-26 Stepan Company Low viscosity polyester polyols and methods for preparing same
US5962621A (en) * 1998-04-28 1999-10-05 The Dow Chemical Company Process for preparing hydroxy-functionalized polyesters
WO2000017712A1 (en) 1998-09-23 2000-03-30 E.I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6114085A (en) * 1998-11-18 2000-09-05 Clariant Finance (Bvi) Limited Antireflective composition for a deep ultraviolet photoresist
KR20020012206A (ko) 1999-05-04 2002-02-15 메리 이. 보울러 플루오르화 중합체, 포토레지스트 및 마이크로리소그래피방법
US7264913B2 (en) 2002-11-21 2007-09-04 Az Electronic Materials Usa Corp. Antireflective compositions for photoresists
US7081511B2 (en) * 2004-04-05 2006-07-25 Az Electronic Materials Usa Corp. Process for making polyesters

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS598770A (ja) 1982-07-07 1984-01-18 Toyobo Co Ltd 塗装鋼板用塗料組成物
US6261743B1 (en) 1997-02-07 2001-07-17 Shipley Company, L.L.C. Antireflective coating compositions comprising photoacid generators
US5935760A (en) 1997-10-20 1999-08-10 Brewer Science Inc. Thermosetting polyester anti-reflective coatings for multilayer photoresist processes

Also Published As

Publication number Publication date
CN100565342C (zh) 2009-12-02
KR20050074624A (ko) 2005-07-18
US20040101779A1 (en) 2004-05-27
EP1563343B1 (en) 2012-01-11
TWI301930B (en) 2008-10-11
EP1563343A1 (en) 2005-08-17
MY162613A (en) 2017-06-30
TW200413855A (en) 2004-08-01
JP4465528B2 (ja) 2010-05-19
WO2004046828A1 (en) 2004-06-03
CN1714316A (zh) 2005-12-28
JP2006507521A (ja) 2006-03-02
US7264913B2 (en) 2007-09-04

Similar Documents

Publication Publication Date Title
KR101017339B1 (ko) 포토레지스트용 반사방지성 조성물
EP1805561B1 (en) Antireflective compositions for photoresists
KR100613672B1 (ko) 원자외선 포토레지스트용 반사 방지 조성물
EP2300507B1 (en) Antirelective coating compositions
US7416834B2 (en) Antireflective coating compositions
EP1465877B1 (en) Positive-working photoimageable bottom antireflective coating
CN102879999B (zh) 形成正像的方法
TWI417668B (zh) 用於光阻之抗反射組合物
TWI438575B (zh) 以可交聯聚合物為主之底層塗覆組合物
US20060063105A1 (en) Negative-working photoimageable bottom antireflective coating
TWI489218B (zh) 抗反射組合物及其使用方法
CN101296952B (zh) 抗反射涂层
JP2003114533A (ja) 反射防止組成物
JP2010527042A (ja) 反射防止コーティング組成物
KR20020071839A (ko) 포토레지스트 조성물용 반사방지 코팅
JP5418906B2 (ja) 反射防止コーティング組成物
US20060057501A1 (en) Antireflective compositions for photoresists
US6800415B2 (en) Negative-acting aqueous photoresist composition
KR20130114071A (ko) 반사 방지 코팅 조성물 및 마이크로일렉트로닉 디바이스의 제조 방법

Legal Events

Date Code Title Description
N231 Notification of change of applicant
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
N231 Notification of change of applicant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150129

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20151230

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170119

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20180202

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20190130

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20200205

Year of fee payment: 10