KR101006685B1 - 기판을 처리하기 위한 클러스터 툴 아키텍쳐 - Google Patents

기판을 처리하기 위한 클러스터 툴 아키텍쳐 Download PDF

Info

Publication number
KR101006685B1
KR101006685B1 KR1020077016988A KR20077016988A KR101006685B1 KR 101006685 B1 KR101006685 B1 KR 101006685B1 KR 1020077016988 A KR1020077016988 A KR 1020077016988A KR 20077016988 A KR20077016988 A KR 20077016988A KR 101006685 B1 KR101006685 B1 KR 101006685B1
Authority
KR
South Korea
Prior art keywords
substrate
processing
robot
assembly
chambers
Prior art date
Application number
KR1020077016988A
Other languages
English (en)
Other versions
KR20080016782A (ko
Inventor
테츠야 이시카와
릭 제이. 로버츠
헬렌 알. 아머
레온 볼포브스키
제이 디. 핀손
미첼 라이스
데이비드 에이치. 쿠아치
모센 에스. 살렉
로버트 로렌스
존 에이. 백커
윌리엄 테일러 웨버
찰스 칼손
총양 왕
제프리 후드겐스
헤랄드 허첸
브라이언 뤼
Original Assignee
가부시키가이샤 소쿠도
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=36147070&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=KR101006685(B1) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Priority claimed from US11/112,281 external-priority patent/US7357842B2/en
Application filed by 가부시키가이샤 소쿠도, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 가부시키가이샤 소쿠도
Publication of KR20080016782A publication Critical patent/KR20080016782A/ko
Application granted granted Critical
Publication of KR101006685B1 publication Critical patent/KR101006685B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67754Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a batch of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving loading and unloading of wafers
    • H01L21/67781Batch transfer of wafers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

실시예는 증가된 처리량, 증가된 신뢰도를 갖는 다중 챔버 처리 시스템(예를 들어 클러스터 툴)을 이용하여 기판을 처리하기 위한 장치 및 방법을 제공하고, 클러스터 툴에서 처리되는 기판은 반복 가능한 웨이퍼 히스토리를 가지고 또한 더 작은 시스템 풋프린트를 가진다. 클러스터 툴의 일 실시예에서, 소유권의 비용은 시스템 처리량을 향상시키기 위해 기판을 서로 그룹화하고 둘 이상의 그룹으로 기판을 처리하고 이동시킴에 의해 감소되고, 처리 챔버들 사이에서 기판의 배치를 이동시키는 로봇의 이동 숫자를 감소시키며, 따라서 로봇 상의 마멸을 감소시키고 시스템 신뢰도를 증가시킨다. 또한, 실시예는 시스템 중단 시간을 감소시키기 위해 기판 이동 처리의 신뢰도를 높이는데 이용되는 방법 및 기구를 제공한다.

Description

기판을 처리하기 위한 클러스터 툴 아키텍쳐 {CLUSTER TOOL ARCHITECTURE FOR PROCESSING A SUBSTRATE}
본 발명은 일반적으로 병렬식으로 다수의 기판을 처리할 수 있는 로봇 및 다중 처리 스테이션을 포함하는 일체화된 처리 시스템에 관한 것이다.
전자 장치 형성 처리는 보통 통제된 처리 환경 내에서 순서대로 기판을 처리할 수 있는 (예, 반도체 웨이퍼) 멀티 챔버 처리 시스템 (예, 클러스터 툴)에서 이루어진다. 포로레지스트 물질을 증착 (즉, 코우트)하고 현상하기 위해 사용되는 전형적인 클러스터 툴은 보통 트랙 리소그래피라 하며 포드/카세트 장착 장치와 메인프레임에 연결된 여러 처리 챔버 사이에서 기판을 이전하는 기판 이전 로봇이 하나 이상 들어있는 메인프레임을 포함할 것이다. 클러스터 툴은 통제된 처리 환경에서 반복적으로 기판을 처리할 수 있도록 하는 데 종종 사용된다. 통제된 처리 환경은 많은 이점을 가지며 여기에는 이전 중, 여러 기판 처리 단계 중에 오염을 최소화하는 것도 들어간다. 통제된 환경에서의 처리는 따라서 생성 결함의 수를 줄이며 장치 수율을 개선한다.
기판 제조 처리의 효율은 보통 중요한 두 가지 관련 요소인 장치 수율과 소유 비용 (cost of ownership, CoO)으로 측정된다. 이 요소들은 직접적으로 전자 장치 생산 비용에 영향을 미쳐 시장에서의 해당 장치 제조업체 경쟁력에까지 영향을 주기 때문에 중요하다. 소유비용은 다른 많은 요소들의 영향도 받지만 시스템 및 챔버 처리량에 대단히 큰 영향을 받으며 혹은 바람직한 처리 순서를 사용하여 처리된 시간당 기판 수에만 영향을 받을 수 있다. 처리 순서는 일반적으로 클러스터 툴 내 하나 이상의 처리 챔버에서 완성된 장치 제조 단계, 혹은 처리 레시피 단계로 정의된다. 처리 순서는 일반적으로 여러 기판 (혹은 웨이퍼) 전자 장치 제조 처리 단계를 포함할 수 있다. 소유 비용을 줄이려는 노력의 일환으로 전자 장치 제조업체들은 처리 순서 및 챔버 처리 시간을 최적화하는 데 많은 시간을 들여 주어진 클러스터 툴 아키텍처 한계와 챔버 처리 시간 내에서 가능한 한 최대한의 기판 처리량을 달성하려 한다. 트랙 리소그래피 타입 클러스터 툴에서는 챔버 처리 시간이 다소 짧고 (예, 처리를 마치는 데 약 1분) 전형적인 처리 순서를 끝마치는 데 필요한 처리 단계의 수가 많기 때문에 처리 순서를 마치는 데 드는 시간의 상당 부분이 여러 처리 챔버 간 기판 이전에 쓰인다. 전형적인 트랙 리소그래피 처리 순서는 일반적으로 다음과 같은 단계를 포함할 것이다. 기판 표면 위에 하나 이상의 균일한 포토레지스트 (혹은 레지스트)층 증착, 기판을 클러스터 툴에서 분리된 스텝퍼 혹은 스캐너 툴로 이전시킨 후 포토레지스트 층을 포토레지스트 수정 전자기 방사능에 노광시켜 기판 표면에 패턴 형성, 패턴이 생긴 포토레지스트 층 현상. 만일 클러스터 툴에서의 기판 처리량이 로봇 제한되지 않는다면 가장 긴 처리 레시피 단계가 일반적으로 처리 순서의 처리량을 제한할 것이다. 트랙 리소그래피 처리 순서에서는 처리 시간이 짧고 처리 단계 수가 많기 때문에 이와 다르다. 전형 적 처리를 하는 트랙 리소그래피 등 기존 제조 처리의 전형적 시스템 처리량은 일반적으로 시간당 100-120개 사이의 기판일 것이다.
소유 비용 계산에 있어서 기타 중요한 요소는 시스템 신뢰성과 시스템 가동시간이다. 시스템이 기판 처리를 오래 할 수 없으면 없을수록 클러스터 툴에서 기판을 처리할 기회를 잃기 때문에 사용자의 금전적 손실은 커지게 되고 따라서 이 요소들은 클러스터 툴의 수익성 및 유용성에 매우 중요하다. 그러므로 클러스터 툴의 사용자와 제조업체들은 많은 시간을 들여 신뢰성 있는 처리, 신뢰성 있는 하드웨어, 가동시간이 늘어난 신뢰성 있는 시스템 개발을 위해 노력하는 것이다.
업계에서는 장치 처리 속도를 개선하고 장치에 의한 열 발생 감소를 위해 반도체 장치 크기를 줄여야 한다는 압박이 있어왔고 이로 인해 변화도에 대한 오차범위가 줄어들었다. 점점 크기가 작아지는 반도체 장치와 점점 늘어만 가는 장치 성능 요건 때문에 장치 제조 처리 균일성 및 반복성에 있어서 허용가능한 변화도의 범위는 매우 줄어들었다. 처리 변화도를 최소화하기 위해 트랙 리소그래피 처리 순서에 있어 중요한 요소는 클러스터 툴을 통과하는 모든 기판이 동일한 "웨이퍼 히스토리"를 갖도록 하는 것이다. 일반적으로 처리 엔지니어는 기판의 웨이퍼 히스토리를 모니터 및 통제함으로써 후에 장치 성능에 영향을 미칠 수 있는 모든 장비 제조 처리 변수를 통제하여 동일군에 있는 모든 기판이 항상 같은 방식으로 처리되도록 한다. 각각의 기판이 동일한 "웨이퍼 히스토리"를 가지려면 각 기판은 같은 반복적 기판 처리 단계 (예, 일관된 코우팅 처리, 일관된 하드 베이크 처리, 일관된 냉각 처리 등)를 밟아야 하며 여러 처리 단계 간의 타이밍이 기판마다 동일 해야 한다. 리소그래피 형태 장치 제조 처리는 특히 처리 레시피 변수 상의 변화와 레시피 단계 간의 타이밍에 민감하며 이는 처리 변화도 및 궁극적으로 장치 성능에 바로 영향을 미친다. 따라서 처리 변화도 및 처리 단계 간 타이밍 상의 변화도를 최소화하는 처리 순서를 수행할 수 있는 지지 장치 및 클러스터 툴이 필요하다. 또한 원하는 기판 처리량을 달성하면서도 균일하며 반복적인 처리 결과를 보이는 장치 제조 처리를 수행할 수 있는 지지 장치 및 클러스터 툴도 필요하다.
그러므로 바람직한 장치 성능 목표를 충족시키고 시스템 처리량을 증가시켜 처리 순서 소유 비용이 줄어들도록 기판을 처리할 수 있는 시스템 및 방법, 장치가 필요하다.
제시된 발명은 일반적으로 동시에 다수의 기판을 처리할 수 있는 다수의 처리 스테이션 및 로봇을 포함하는 클러스터 툴을 제공한다. 기판 처리를 위한 클러스터 툴은 제 1 기판 처리 챔버, 상기 제 1 기판 처리 챔버로부터 일정한 수직 거리에 위치한 제 2 기판 처리 챔버, 제 3 기판 처리 챔버, 상기 제 3 기판 처리 챔버로부터 일정한 수직 거리에 위치한 제 4 기판 처리 챔버, 상기 제 1 기판 처리 챔버 및 상기 제 2 기판 처리 챔버에 접근하도록 이루어진 제 1 로봇 어셈블리 및 실질적으로 동시에 상기 제 1 기판 처리 챔버로부터 하나 이상의 기판을 받고 상기 제 2 기판 처리 챔버로부터 하나 이상의 기판을 받으며, 이후 실질적으로 동시에 상기 제 3 기판 처리 챔버에 상기 제 1 기판 처리 챔버로부터의 하나 이상의 기판을 위치시키고 상기 제 4 기판 처리 챔버에서 상기 제 2 기판 처리 챔버로부터의 하나 이상의 기판을 위치시키도록 이루어진 제 2 로봇 어셈블리를 제공한다.
본 발명의 실시예는 더 나아가 다수의 수직으로 적층된 기판 처리 챔버들을 갖는 제 1 처리 래크, 다수의 수직으로 적층된 기판 처리 챔버들을 갖는 제 2 처리 래크, 제 1 로봇 블레이드 및 제 1 로봇 블레이드 작동기를 포함하는 제 1 로봇 블레이드 어셈블리, 제 2 로봇 블레이드 및 제 2 로봇 블레이드 작동기를 포함하는 제 2 로봇 블레이드 어셈블리, 상기 제 1 로봇 블레이드 어셈블리 및 상기 제 2 로봇 블레이드 어셈블리에 연결된 6-축 관절 로봇을 포함하고, 상기 제 1 로봇 블레이드 어셈블리 및 제 2 로봇 블레이드 어셈블리는 수직으로 일정한 거리만큼 이격되어 위치하고, 상기 제 1 로봇 블레이드 작동기 및 상기 제 2 로봇 블레이드 작동기를 이용하여 수평으로 분리되어 위치될 수 있으며, 상기 제 1 로봇 블레이드 어셈블리 및 상기 제 2 로봇 블레이드 어셈블리는 일정한 거리만큼 이격되어 있고, 상기 6-축 관절 로봇의 협력적인 움직임과 함께 상기 제 1 처리 래크에서 두 개의 수직으로 적층된 기판 처리 챔버들에 위치한 기판들에 실질적으로 동시에 접근하도록 이루어지거나 또는 상기 제 2 처리 래크에서 두 개의 수직으로 적층된 기판 처리 챔버들에 위치한 기판들에 실질적으로 동시에 접근하도록 이루어지는 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 둘 이상의 기판을 포함하도록 이루어진 카세트, 수직 방향으로 적층된 둘 이상의 기판 처리 챔버들을 포함하는 제 1 처리 래크를 포함하는 제 1 모듈, 수직 방향으로 적층된 둘 이상의 기판 처리 챔버들을 포함하는 제 2 처리 래크를 포함하는 제 2 모듈, 상기 카세트와 상기 제 1 및 제 2 처리 래크의 각각에서 하나 이상의 기판 처리 챔버들에 위치한 기판에 접근하도록 이루어진 제 1 로봇 어셈블리 및 로봇, 상기 로봇에 연결된 제 1 로봇 블레이드 및 상기 로봇에 연결되고 상기 제 1 로봇 블레이드로부터 일정한 거리만큼 이격되어 위치하는 제 2 로봇 블레이드를 포함하고, 상기 제 2 로봇이 상기 제 1 및 제 2 처리 래크의 각각에서 하나 이상의 기판 처리 챔버에 위치한 기판에 접근하도록 이루어지고, 상기 제 1 및 제 2 로봇 블레이드가 상기 제 1 및 제 2 처리 래크에서 둘 이상의 기판 처리 챔버의 기판들을 실질적으로 동시에 이동시키고 집어 올리며(pickup) 및/또는 내려놓도록(drop-off) 이루어진 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 기판 처리 챔버들의 제 1 수직 스택(stack)을 포함하는 제 1 처리 래크, 상기 제 1 처리 래크에서 기판을 기판 처리 챔버로 이동시키도록 이루어진 제 1 로봇, 기판 처리 챔버들의 제 1 수직 스택을 포함하는 제 2 처리 래크, 상기 제 1 처리 래크의 기판 처리 챔버 및 상기 제 2 처리 래크의 기판 처리 챔버 사이에서 기판을 이동시키도록 이루어진 제 2 로봇, 상기 제 1 로봇 및 제 2 로봇을 이용하여 상기 제 1 및 제 2 처리 래크를 통해 상기 기판의 이동을 최적화하도록 이루어진 제어기 및 상기 클러스터 툴의 작동을 지시하기 위해 그 안에 통합된 컴퓨터로 읽을 수 있는 프로그램을 갖는 컴퓨터로 읽을 수 있는 매체를 포함하고 상기 제어기에 결합된 메모리를 포함하고, 상기 컴퓨터로 읽을 수 있는 프로그램이, 상기 메모리에 상기 제 1 로봇 및 제 2 로봇을 위한 하나 이상의 명령 작업(command task)을 저장하는 단계, 상기 메모리에 보유된 제 1 로봇을 위한 명령 작업을 검토하는 단계, 상기 메모리에 보유된 제 2 로봇을 위한 명령 작업을 검토하는 단계 및 각각의 로봇의 활용성(availability)이 균형을 이루도록 상기 제 1 로봇으로부터 상기 제 2 로봇으로 또는 상기 제 2 로봇으로부터 상기 제 1 로봇으로 명령 작업을 이동시키는 단계를 포함하고 상기 제 1 로봇 및 제 2 로봇 이동을 제어하기 위한 컴퓨터 지시를 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 둘 이상의 기판을 포함하도록 이루어진 카세트, 기판 처리 챔버들의 수직 스택을 포함하고 이를 통해 상기 기판 처리 챔버들에 접근하도록 제 1 방향을 따라 연장하는 제 1 측부를 갖는 제 1 처리 래크, 기판 처리 챔버들의 수직 스택을 포함하고 이를 통해 상기 기판 처리 챔버들에 접근하도록 제 2 방향을 따라 연장하는 제 2 측부를 갖는 제 2 처리 래크(상기 제 1 측부 및 상기 제 2 측부는 일정 거리로 이격되어 있음), 상기 제 2 처리 래크의 제 1 측부 및 상기 제 1 처리 래크의 제 1 측부 사이에서 일정한 위치에 있는 베이스를 갖고, 상기 카세트, 상기 제 2 처리 래크 및 상기 제 1 처리 래크의 기판 처리 챔버로 기판을 이동시키도록 이루어진 제 1 로봇, 기판 처리 챔버들의 수직 스택을 포함하고 이를 통해 상기 기판 처리 챔버들에 접근하도록 제 3 방향으로 연장하는 제 3 측부를 갖는 제 3 처리 래크, 기판 처리 챔버들의 수직 스택을 포함하고 이를 통해 상기 기판 처리 챔버들에 접근하도록 제 4 방향으로 연장하는 제 4 측부를 갖는 제 4 처리 래크(상기 제 3 측부 및 상기 제 4 측부는 일정 거리로 이격되어 있음) 및 상기 제 3 처리 래크의 제 1 측부 및 상기 제 4 처리 래크의 제 1 측부 사이에 서 일정한 위치에 있는 베이스를 갖는 로봇, 상기 로봇에 연결된 제 1 로봇 블레이드 및 상기 제 1 로봇 블레이드로부터 일정한 거리로 이격되어 위치하고 상기 로봇에 연결된 제 2 로봇 블레이드를 포함하는, 제 2 로봇 어셈블리를 포함하고, 상기 제 1 및 제 2 로봇 어셈블리는 상기 제 1, 제 2, 제 3, 및 제 4 처리 래크의 두 개의 챔버들로 기판을 실질적으로 동시에 이동시키도록 이루어진, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 둘 이상의 기판들을 포함하도록 이루어진 카세트, 기판상에 제 1 처리를 수행하도록 이루어진 제 1 처리 챔버, 기판 상에 제 2 처리를 수행하도록 이루어진 제 2 처리 챔버 -상기 제 1 처리 챔버 및 상기 제 2 처리 챔버는 실질적으로 서로 인접함- 상기 제 1 처리 챔버에 위치한 제 1 기판 및 상기 제 2 처리 챔버에 위치한 제 2 기판과 유체 소통하도록 이루어진 유체 분배 수단 -이 유체 분배 수단은, 유체 소스; 이 유체 소스와 유체 소통하는 노즐 및 상기 유체 소스로부터 상기 노즐로 유체를 전달하도록 이루어진 유체 전달 수단을 포함함-, 상기 제 2 처리 챔버로부터 상기 제 1 처리 챔버를 분리시키도록 이루어진 이동 가능한 셔터(shutter) 및 상기 카세트, 상기 제 1 처리 챔버 및 상기 제 2 처리 챔버 사이에서 기판을 이동시키도록 이루어진 로봇을 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 제 1 처리 래크를 포함하고, 이 제 1 처리 래크는, 제 1 처리 모듈, 제 2 처리 모듈 및 로봇을 포함하며, 상기 제 1 처리 모듈은, 기판상에 제 1 처리를 수행하도록 이루어진 제 1 처리 챔버, 기판 상에 제 2 처리를 수행하도록 이루어진 제 2 처리 챔버- 상기 제 1 처리 챔버 및 상기 제 2 처리 챔버는 일반적으로 서로 인접함 -, 상기 제 1 처리 챔버 및 상기 제 2 처리 챔버에서 처리되는 기판과 유체 소통하도록 이루어진 유체 분배 수단을 포함하고- 상기 유체 분배 수단은, 유체 소스, 상기 유체 소스와 유체 소통하는 노즐, 상기 유체 소스로부터 상기 노즐로 유체를 전달하도록 이루어진 유체 전달 수단 및 상기 제 2 처리 챔버로부터 상기 제 1 처리 챔버를 분리시키도록 이루어진 이동 가능한 셔터를 포함함 -, 상기 제 2 처리 모듈은, 기판상에 제 1 처리를 수행하도록 이루어진 제 3 처리 챔버, 기판상에 제 2 처리를 수행하도록 이루어진 제 4 처리 챔버- 상기 제 3 처리 챔버 및 상기 제 4 처리 챔버는 실질적으로 서로 인접함 -, 상기 제 3 처리 챔버 및 상기 제 4 처리 챔버에서 처리되는 기판과 유체 소통하도록 이루어진 유체 분배 수단을 포함하며, - 상기 유체 분배 수단은, 유체 소스, 상기 유체 소스와 유체 소통하는 노즐, 상기 유체 소스로부터 상기 노즐로 유체를 전달하도록 이루어진 유체 전달 수단 및 상기 제 2 처리 챔버로부터 상기 제 1 처리 챔버를 분리시키도록 이루어진 이동 가능한 셔터를 포함함 -,- 상기 제 2 처리 모듈이 상기 제 1 처리 모듈과 실질적으로 인접함 - 상기 로봇은, 상기 제 1 처리 챔버, 상기 제 2 처리 챔버, 상기 제 3 처리 챔버 및 상기 제 4 처리 챔버 사이에서 기판을 이동시키도록 이루어진, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 둘 이상의 기판들을 포함하도록 이루어진 카세트, 처리 모듈로서, 처리 영역에서 기판 상에 제 1 처리를 수행하도록 이루어진 제 1 처리 챔버, 처리 영역에서 기판 상에 제 2 처리를 수행하도록 이루어진 제 2 처리 챔버 - 상기 제 1 처리 챔버 및 상기 제 2 처리 챔버는 실질적으로 서로 인접함 - 및 상기 제 1 처리 챔버 및 제 2 처리 챔버에서 기판을 이동시키고 위치시키도록 이루어진 로봇 - 이 로봇은 로봇 블레이드, 상기 제 1 및 제 2 처리 챔버에서 로봇 블레이드를 위치시키도록 이루어진 작동기 및 상기 로봇 블레이드와 열적 소통하고 그 위에 위치한 기판의 온도를 제어하도록 이루어진 열교환 기구를 포함함 - 을 포함하는, 처리 모듈 및 상기 카세트 및 상기 제 1 처리 챔버 사이에서 기판을 이동시키도록 이루어진 시스템 로봇을 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 둘 이상의 기판을 포함하도록 이루어진 카세트, 제 1 처리 챔버 및 이 제 1 처리 챔버에 실질적으로 인접한 제 2 처리 챔버를 포함하는 처리 모듈 및 상기 제 1 처리 챔버 및 상기 제 2 처리 챔버에 위치한 기판에 접근하도록 이루어진 제 1 로봇으로서, 제 1 로봇 블레이드 및 제 1 로봇 블레이드와 일정한 거리로 이격되어 있는 제 2 로봇 블레이드를 포함하는 제 1 로봇 블레이드 어셈블리 및 제 3 로봇 블레이드 및 제 3 로봇 블레이드와 일정한 거리로 이격되어 있는 제 4 로봇 블레이드를 포함하는, 제 2 로봇 블레이드 어셈블리를 포함하는, 제 1 로봇을 포함하고, 상기 제 2 로봇 블레이드 어셈블리 및 상기 제 1 로봇 어셈블리는 일정한 거리로 이격되어 있고, 상기 제 1 로봇이 상기 제 1 처리 챔버 및 상기 제 2 처리 챔버에 실질적으로 동시에 접근하도록 이루어진, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 둘 이상의 수직으로 적층된 기판 처리 챔버들을 포함하고 제 1 측부 및 제 2 측부를 갖는 제 1 처리 래크, 둘 이상의 수직으로 적층된 기판 처리 챔버들을 포함하고 제 1 측부 및 제 2 측부를 갖는 제 2 처리 래크, 상기 제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들에 접근하도록 이루어진 제 1 로봇, 상기 제 1 측부로부터 상기 제 2 처리 래크의 상기 기판 처리 챔버들에 그리고 상기 제 2 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버들에 접근하도록 이루어진 제 2 로봇 및 상기 제 2 측부로부터 상기 제 2 처리 래크의 상기 기판 처리 챔버들에 접근하도록 이루어진 제 3 로봇을 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 둘 이상의 기판을 포함하도록 이루어진 카세트, 제 1 방향을 따라 연장하는 제 1 측부 및 제 2 방향을 따라 연장하는 제 2 측부를 가지고 수직 방향으로 적층된 둘 이상의 기판 처리 챔버들의 제 1 그룹을 포함하는, 제 1 처리 래크, 상기 제 1 측부 및 상기 카세트로부터 상기 제 1 처리 래크의 하나 이상의 기판 처리 챔버에 위치한 기판에 접근하도록 이루어진 제 1 로봇 어셈블리, 이를 통해 기판 처리 챔버들에 접근하도록 제 3 방향을 따라 연장하는 제 1 측부를 가지고 수직 방향으로 적층된 둘 이상의 기판 처리 챔버들의 제 2 그룹을 포함하는, 제 2 처리 래크 및 로봇, 제 1 로봇 블레이드 및 제 1 로봇 블레이드와 이격되어 있는 제 2 로봇 블레이드를 포함하는, 제 2 로봇 어셈블리를 포함하고, 상기 제 2 로봇 어셈블리가 실질적으로 동시에 상기 제 2 측부로부터 상기 제 1 처리 래크의 둘 이상의 기판 처리 챔버들에 위치한 기판에 접근하도록 이루어지고, 실질적으로 동시에 상기 제 3 측부로부터 상기 제 2 처리 래크의 하나 이상의 기판 처리 챔버에 위치한 기판에 접근하도록 이루어진, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 둘 이상의 기판을 포함하도록 이루어진 카세트, 12개 이상의 코우터/현상기 챔버들, 베이크 챔버, HMDS 처리 챔버 또는 PEB 챔버로 이루어진 그룹으로부터 선택된 12개 이상의 처리 챔버들 및 전달 시스템으로서, 상기 코우터/현상기 챔버들 중 하나 이상, 상기 처리 챔버들 중 하나 이상 및 상기 카세트에 위치한 기판에 접근하도록 이루어진 제 1 로봇 및 상기 코우터/현상기 챔버들 중 하나 이상 및 상기 처리 챔버들 중 하나 이상에 위치한 기판에 접근하도록 이루어지고, 로봇, 상기 로봇에 연결된 제 1 로봇 블레이드 및 상기 제 1 로봇 블레이드와 일정 거리 이격되어 위치한 제 2 로봇 블레이드를 포함하는, 제 2 로봇을 포함하는 - 상기 제 2 로봇은 일반적으로 동시에 둘 이상의 코우터/현상기 챔버들에 위치한 하나 이상의 기판 및 실질적으로 동시에 둘 이상의 처리 챔버들에 위치한 하나 이상의 기판에 접근하도록 이루어짐-,전달 시스템을 포함하는, 기판을 처리하기 위한 클러스터 툴을 제공한다.
본 발명의 실시예는 더 나아가 다수의 처리 스테이션 및 동시에 다수 기판 처리 능력을 지닌 로봇을 포함한 클러스터 툴에서의 기판 처리 방법을 제공한다. 크럴스터 툴에서의 기판 처리 방법은 제 1 로봇을 이용하여 제 1 처리 래크에서 둘 이상의 수직으로 적층된 처리 챔버들의 각각으로 하나 이상의 기판을 삽입하는 단계, 상기 제 1 처리 래크의 둘 이상의 처리 챔버들에서 상기 기판을 처리하는 단계, 제 2 로봇을 이용하여 거의 동시에 상기 제 1 처리 래크의 둘 이상의 수직으로 적층된 처리 챔버들로부터 상기 기판을 제거하는 단계, 상기 제 2 로봇을 이용하여 제 2 처리 래크의 둘 이상의 수직으로 적층된 처리 챔버들로 상기 기판을 동시에 이동시키는 단계 및 상기 제 2 로봇을 이용하여 상기 제 2 처리 래크의 둘 이상의 수직으로 적층된 처리 챔버들에 기판을 위치시키는 단계를 포함하는, 클러스터 툴에서 기판을 처리하는 방법을 제공한다.
본 발명의 실시예는 더 나아가 제 1 로봇을 이용하여 제 1 처리 래크의 둘 이상의 수직으로 적층된 처리 챔버들에 하나 이상의 기판을 삽입하는 단계, 상기 제 1 처리 래크의 둘 이상의 처리 챔버들에서 상기 기판을 처리하는 단계, 제 2 로봇을 이용하여 거의 동시에 상기 제 1 처리 래크의 둘 이상의 수직으로 적층된 처리 챔버들로부터 상기 기판을 제거하는 단계로서, 제 1 수직으로 적층된 처리 챔버에 상기 블레이드가 접근하는 것을 막기 위한 제 2 로봇에 부착된 지지대에 연결된 로봇 블레이드를 재위치시키는 단계, 제 2 수직으로 적층된 처리 챔버에서 상기 지지대에 개별적으로 연결된 로봇 블레이드를 위치시키는 단계, 상기 제 2 수직으로 적층된 처리 챔버에 위치한 기판을 상기 로봇 블레이드 상에 위치시키는 단계 및 상기 제 2 수직으로 적층된 처리 챔버로부터 상기 로봇 블레이드를 제거하는 단계를 포함하는, 상기 기판을 제거하는 단계 및 상기 제 2 로봇을 이용하여 둘 이상의 수직으로 적층된 처리 챔버들의 제 2 세트로 상기 기판을 이동시키는 단계를 포함하는, 클러스터 툴에서 기판을 처리하는 방법을 제공한다.
본 발명의 실시예는 더 나아가 제 1 로봇을 이용하여 클러스터 툴 위치한 둘 이상의 수직으로 적층된 처리 챔버들의 제 1 측부를 통해 하나 이상의 기판을 삽입하는 단계, 상기 처리 챔버들에서 상기 기판을 처리하는 단계, 제 2 로봇을 이용하여 거의 동시에 상기 수직으로 적층된 처리 챔버들의 제 2 측부를 통해 둘 이상의 기판을 제거하는 단계 및 상기 제 2 로봇을 이용하여 원하는 위치로 상기 둘 이상의 기판을 동시에 이동시키는 단계를 포함하는, 클러스터 툴에서 기판을 처리하는 방법을 제공한다.
본 발명의 실시예는 더 나아가 로봇을 이용하여 카세트로부터 기판을 제거하는 단계, 제 2 처리 챔버에 인접하게 위치한 제 1 처리 챔버에 제 1 기판을 삽입하는 단계, 상기 제 1 처리 챔버 및 상기 제 2 처리 챔버 사이에 셔터를 위치시킴에 의해 상기 제 2 처리 챔버로부터 상기 제 1 처리 챔버를 격리시키는 단계, 유체 분배 시스템에 연결된 노즐을 이용하여 상기 제 1 처리 챔버에 위치한 상기 기판 표면상에 처리 유체를 분배하는 단계 및 상기 유체 분배 시스템에 연결된 상기 노즐을 이용하여, 상기 제 2 처리 챔버에 위치한 상기 제 2 기판 표면상에 처리 유체를 분배하는 단계를 포함하는, 클러스터 툴에서 기판을 처리하는 방법을 제공한다.
본 발명의 실시예는 더 나아가 제 2 처리 챔버에 인접하게 위치한 제 1 처리 챔버에 기판 교환 기구 상의 기판을 위치시키는 단계, 상기 제 1 처리 챔버의 상기 기판 교환 기구로부터 상기 기판을 냉각된 로봇 블레이드의 기판 수용면으로 이동시키는 단계, - 이 기판 수용면은 그 위에 보유된 기판의 온도를 제어하도록 이루어짐-, 상기 냉각된 로봇 블레이드를 이용하여 상기 제 2 처리 챔버로 상기 기판을 이동시키는 단계 및 상기 냉각된 로봇 블레이드를 이용하여, 상기 제 2 처리 챔버에 인접한 제 3 처리 챔버로 상기 기판을 이동시키는 단계를 포함하는, 클러스터 툴에서 기판을 처리하는 방법을 제공한다.
본 발명의 상기 언급된 특징은 상기에서 간략히 요약된 본 발명의 더욱 자세한 설명, 실시예 및 첨부된 도면을 참고하여 더욱 잘 이해될 수 있다. 첨부된 도면은 본 발명의 전형적인 실시예를 도시하고 이는 그 범위를 제한할 의도는 아니며 본 발명의 다른 동등한 효과적인 실시예를 허용할 수 있다.
도 1A는 본 발명의 실시예에 따른 클러스터 툴을 도시하는 등축도이다.
도 1B는 본 발명이 유리하게 이용될 수 있는 도 1A에서 도시된 처리 시스템의 평면도이다.
도 1C는 도 1A에서 도시된 대향부분의 다른 등축도이다.
도 2A는 전단부 모듈만을 포함하는 클러스터 툴의 다른 예를 도시하는 평면도이고, 이는 스텝퍼(stepper)/스캐너 툴과 소통되도록 이루어진다.
도 2B는 독립형 전단부 모듈만을 포함하는 클러스터 툴의 다른 실시예를 도시하는 평면도이다.
도 2C는 전단부 모듈 및 중앙 모듈을 포함하는 클러스터 툴의 다른 실시예를 도시하는 평면도이고, 여기서 중앙 모듈은 스텝퍼/스캐너 툴과 소통하도록 이루어져 있다.
도 2D는 전단부 모듈, 중앙 모듈 및 후방 모듈을 포함하는 클러스터 툴의 다 른 실시예를 도시하는 평면도이고, 후방 모듈은 제 1 후방 처리 래크(rack) 및 제 2 후방 처리 래크를 포함하고, 후방 로봇은 스텝퍼/스캐너 툴과 소통하도록 이루어진다.
도 2E는 도 1A에서 도시된 처리 시스템의 평면도이고, 쌍으로 된 코우터(coater)/디벨로퍼(developer) 챔버(350) 및 일체화된 베이크(bake)/냉각(chill) 챔버(800)를 포함하여 본 발명이 유리하게 이용될 수 있다.
도 2F는 전단부 모듈 및 중앙 처리 모듈을 포함하는 클러스터 툴의 다른 실시예를 도시하는 평면도이고, 각각은 두 개의 처리 래크를 포함한다.
도 2G는 전단부 모듈, 중앙 모듈 및 후방 처리 모듈을 포함하는 클러스터 툴의 다른 실시예를 도시하는 평면도이고, 각각은 두 개의 처리 래크를 포함한다.
도 2H는 전단부 모듈 및 중앙 처리 모듈을 포함하는 클러스터 툴의 다른 실시예를 도시하는 평면도이고, 각각은 두 개의 처리 래크 및 슬라이드 어셈블리를 포함하며 이에 의해 전단부 및 중앙 로봇을 이동시킨다.
도 2I는 전단부 모듈, 중앙 처리 모듈 및 후방 처리 모듈을 포함하는 클러스터 툴의 다른 실시예를 도시하는 평면도이고, 각각은 두 개의 처리 래크 및 두 개의 슬라이드 어셈블리를 포함하며 이에 의해 전단부의 베이스, 중앙 로봇 및 후방 로봇을 이동시킨다.
도 3A는 다양한 처리 단계를 포함하는 공정 순서의 다른 실시예를 도시하고, 이 단계는 여기서 설명된 클러스터 툴의 다양한 실시예와 함께 이용될 수 있다.
도 3B는 다양한 처리 단계를 포함하는 공정 순서의 다른 실시예를 도시하고, 이 단계는 여기서 설명된 클러스터 툴의 다양한 실시예와 함께 이용될 수 있다.
도 3C는 다양한 처리 단계를 포함하는 공정 순서의 다른 실시예를 도시하고, 이 단계는 여기서 설명된 클러스터 툴의 다양한 실시예와 함께 이용될 수 있다.
도 4A는 본 발명에 따른 전단부 처리 래크(52)의 일 실시예를 도시하는 측면도이다.
도 4B는 본 발명에 따른 제 1 처리 래크(152)의 일 실시예를 도시하는 측면도이다.
도 4C는 본 발명에 따른 제 2 처리 래크(154)의 일 실시예를 도시하는 측면도이다.
도 4D는 본 발명에 따른 후방 처리 래크(202)의 일 실시예를 도시하는 측면도이다.
도 4E는 본 발명에 따른 제 1 전방 처리 래크(302)의 일 실시예를 도시하는 측면도이다.
도 4F는 본 발명에 따른 제 2 후방 처리 래크(304)의 일 실시예를 도시하는 측면도이다.
도 4G는 본 발명에 따른 제 1 처리 래크(308)의 일 실시예를 도시하는 측면도이다.
도 4H는 본 발명에 따른 제 2 처리 래크(309)의 일 실시예를 도시하는 측면도이다.
도 4I는 본 발명에 따른 제 1 후방 처리 래크(318) 및 제 1 중앙 처리 래 크(312)의 일 실시예를 도시하는 측면도이다.
도 4J는 본 발명에 따른 제 2 후방 처리 래크(319) 및 제 2 중앙 처리 래크(314)의 일 실시예를 도시하는 측면도이다.
도 4K는 본 발명에 따른 제 1 처리 래크(322)의 일 실시예를 도시하는 측면도이다.
도 5A는 본 발명이 유리하게 이용될 수 있는 코우터 챔버의 일 실시예를 도시하는 측면도이다.
도 5B는 본 발명이 유리하게 이용될 수 있는 코우터 챔버의 일 실시예를 도시하는 측면도이다.
도 5C는 샤워헤드 어셈블리를 포함하는 코우터/디벨로퍼 챔버의 일 실시예를 도시하는 측면도이고, 이 경우 본 발명은 유리하게 이용될 수 있다.
도 5D는 본 발명이 유리하게 이용될 수 있는 디벨로퍼 챔버의 일 실시예를 도시하는 측면도이다.
도 6A는 유체 소스 어셈블리의 일 실시예를 도시하는 분해 등축도이다.
도 6B는 유체 소스 어셈블리의 일 실시예를 도시하는 분해 등축도이다.
도 7A는 단일의(1) 자유도를 갖는 유체 분배 아암을 포함하는 코우터 챔버의 일 실시예의 평면도를 도시한다.
도 7B는 2 자유도를 갖는 유체 분배 아암을 포함하는 코우터 챔버의 일 실시예의 평면도를 도시한다.
도 8A는 디벨로퍼 종료점 탐지기 어셈블리(1400)를 포함하는 디벨로퍼 챔 버(60B)의 일 실시예의 측면도이다.
도 8B는 도 8A와 함께 설명된 종료점 탐지 공정을 향상시키는데 이용되는 처리 방법 단계이다.
도 8C는 디벨로퍼 종료점 탐지기 어셈블리(1400)를 포함하는 디벨로퍼 챔버(60B)의 일 실시예의 측면도이다.
도 9A는 본 발명에 따른 쌍으로 된 코우터/디벨로퍼 챔버(350)의 평면도이다.
도 9B는 본 발명에 따른 쌍으로 된 코우터/디벨로퍼 챔버(350)의 평면도이다.
도 10A는 본 발명이 유리하게 이용될 수 있는 냉각 챔버의 일 실시예를 도시하는 측면도이다.
도 10B는 본 발명이 유리하게 이용될 수 있는 베이크 챔버의 일 실시예를 도시하는 측면도이다.
도 10C는 본 발명이 유리하게 이용될 수 있는 HMDS 처리 챔버의 일 실시예를 도시하는 측면도이다.
도 10D는 본 발명이 유리하게 이용될 수 있는 노광후 베이크(Post Exposure Bake, PEB) 챔버의 일 실시예를 도시하는 측면도이다.
도 11A는 기판을 빠르게 가열하고 냉각시키는데 이용될 수 있는 플레이트 어셈블리의 일 실시예를 도시하는 측면도이다.
도 12A는 공정 종료점 탐지 시스템의 일 실시예를 포함하는 HMDS 처리 챔버, PEB 챔버, 또는 베이크 챔버의 측면도이다.
도 12B는 공정 종료점 탐지 시스템의 다른 실시예를 포함하는 HMDS 처리 챔버, PEB 챔버, 또는 베이크 챔버의 측면도이다.
도 12C는 도 12A-B와 함께 설명된 종료점 탐지 공정을 향상시키는데 이용되는 공정 방법 단계이다.
도 13A는 기판 표면과의 접촉을 감소시키고 열적 커플링(thermal coupling)을 향상시킨 플레이트 어셈블리의 일 실시예를 도시하는 처리 챔버의 측면도이다.
도 13B는 도 13A에서 도시된 플레이트 어셈블리의 상부의 평면도이다.
도 13C는 도 13A에서 도시된 플레이트 어셈블리의 표면에 매립된 씨드 크리스탈의 단면도이다.
도 13D는 도 13A에서 도시된 플레이트 어셈블리의 표면에 매립된 씨드 크리스탈의 단면도이고, 이는 그 표면 상에 선택적으로 증착된 층을 갖는다.
도 14A는 도 1B에서 도시된 처리 시스템의 평면도이고, 이는 도 3A에서 도시된 공정 순서를 따라 클러스터 툴을 통한 기판의 이동 경로를 도시한다.
도 14B는 도 2F에서 도시된 처리 시스템의 평면도이고, 이는 도 3A에서 도시된 공정 순서를 따라 클러스터 툴을 통한 기판의 이동 경로를 도시한다.
도 15A는 프록 레그(frog-leg) 로봇을 포함하는 본 발명의 클러스터 툴의 일 실시예를 도시하는 등축도이다.
도 15B는 본 발명에 따라, 도 15A에서 도시된 처리 시스템의 평면도이다.
도 15C는 본 발명에 따른 프록 레그 로봇 어셈블리의 일 실시예를 도시하는 등축도이다.
도 15D는 본 발명의 프록 레그 로봇 어셈블리의 평면도이다.
도 16A는 본 발명에 따른 이중 블레이드 6-축 관절(articulated) 로봇 어셈블리의 일 실시예를 도시하는 등축도이다.
도 16B는 도 16A에서 도시된 이중 블레이드 어셈블리의 일 실시예를 도시하는 등축도이다.
도 16C는 도 16A에서 도시된 이중 블레이드 어셈블리의 일 실시예를 도시하는 등축도이다.
도 16D는 도 16A에서 도시된 이중 블레이드 어셈블리의 일 실시예를 도시하는 등축도이고, 이는 로봇 블레이드 사이에서 변하는 피치를 가능하게 한다.
도 16E는 위쪽/아래쪽 형태의 이중 블레이드 어셈블리의 단면도를 도시하고, 이 경우 단일의 블레이드는 포드(pod) 어셈블리의 카세트의 기판에 접근하도록 연장되었다.
도 16F는 본 발명이 유리하게 이용될 수 있는 단일의 블레이드 6-축 관절 로봇 어셈블리의 일 실시예를 도시하는 등축도이다.
도 16G는 도 16F에서 도시된 단일 블레이드 어셈블리의 일 실시예를 도시하는 등축도이다.
도 16H는 본 발명에 따른 이중 블레이드 6-축 관절 로봇 어셈블리 및 슬라이드 어셈블리의 일 실시예를 도시하는 등축도이다.
도 16I는 이중 블레이드 어셈블리의 단면도를 도시하고, 블레이드는 쌍으로 된 카세트로부터 기판을 이동시키도록 위치한다.
도 17A는 베이크 챔버, 냉각 챔버 및 챔버들 사이에서 기판을 이동시키도록 이루어진 로봇의 일 실시예의 등축도이다.
도 17B는 베이크 챔버, 냉각 챔버 및 챔버들 사이에서 기판을 이동시키도록 이루어진 로봇의 일 실시예의 등축도이다.
도 17C는 도 17A에서 도시된 도면의 대향부를 도시하는 등축도이고, 이는 챔버들 사이에서 기판을 이동시키도록 이루어진 로봇을 도시한다.
도 18A는 베이크/냉각 챔버(800)의 일 실시예의 등축도이다.
도 18B는 도 18A에서 도시된 도면의 대향부를 도시하는 등축도이고, 이는 챔버들 사이에서 기판을 이동시키도록 이루어진 로봇을 도시한다.
도 19A는 스텝퍼/스캐너 툴 및 클러스터 툴의 다른 실시예를 도시하는 평면도이고, 이 경우 스텝퍼/스캐너는 클러스터 툴로부터 분리된다. 스텝퍼/스캐너는 스텝퍼/스캐너로 일체화된 적어도 하나의 PEB 챔버를 갖는다.
도 19B는 도 19A에서 도시된 클러스터 툴의 다양한 실시예와 함께 이용될 수 있는 다양한 공정 단계를 포함하는 공정 순서의 일 실시예를 도시한다.
도 20A는 도 16A에서 도시된 로봇의 측면도이고, 이는 로봇의 도달 범위에 따르도록 구성된 처리 래크 구성에서 이용된다.
도 20B는 중앙 장착 지점을 갖는 로봇의 도달 범위에 따르도록 이루어진 처리 래크 구성의 다른 실시예의 등축도이다.
도 21A는 본 발명의 클러스터 툴의 다른 실시예를 도시하는 등축도이다.
도 21B는 본 발명에 따른, 도 21A에서 도시된 처리 시스템의 평면도이다.
도 21C는 본 발명에 따른, 도 21A에서 도시된 처리 시스템의 측면도이다.
도 21D는 도 21A에서 도시된 클러스터 툴의 제 1 처리 래크(460)의 일 실시예를 도시하는 측면도이다.
도 21E는 본 발명에 따른 제 2 처리 래크(480)의 일 실시예를 도시하는 측면도이다.
도 21F는 여기서 설명된 클러스터 툴의 다양한 실시예와 함께 이용될 수 있는 다양한 공정 단계를 포함하는 공정 순서의 일 실시예를 도시한다.
도 21G는 클러스터 툴의 다양한 실시예에서 기판을 이동시키도록 이루어질 수 있는 로봇의 일 실시예를 도시하는 등축도이다.
도 21H는 도 21G에서 도시된 로봇의 일 실시예를 도시하는 등축도이고, 이는 단일 아암 로봇을 이용한다. 이 도에서는 인클로저(enclosure) 구성요소가 제거되었다.
도 21I는 도 21G 및 21H에서 도시된 수평 움직임 어셈블리의 일 실시예를 도시하는 등축도이다.
도 22A는 처리 래크에서 유지된 처리 챔버의 등축도를 도시하고, 이는 각각의 개구의 외부에 장착된 기판 위치 에러 탐지 및 수정 시스템을 갖는다.
본 발명은 일반적으로 다중-챔버 처리 시스템(예를 들어 클러스터 툴)을 이용하여 기판을 처리하기 위한 장치 및 방법을 제공하고, 이 시스템은 증가된 시스템 처리량, 증가된 시스템 신뢰성, 클러스터 툴 내에서 더욱 반복 가능한 웨이퍼 처리 히스토리(또는 웨이퍼 히스토리), 및 클러스터 툴의 감소된 풋프린트(footprint)를 갖는다. 일 실시예에서, 클러스터 툴은 트랙 리소그래피 공정을 수행하도록 이루어지고, 이 경우 기판은 감광성 물질로 코팅되며, 이후 스텝퍼/스캐너로 이동되고, 이는 감광성 물질을 일정한 형태의 복사에 노광시켜(expose) 감광성 물질에서 패턴을 형성하며, 이후 감광성 물질의 일정한 부분이 클러스터 툴에서 완료된 현상 공정에서 제거된다.
도 1A 및 1C는 클러스터 툴(10)의 일 실시예의 등축도이고, 이는 유리하게 이용될 수 있는 본 발명의 다수의 태양을 도시한다. 도 1A 및 1C에서 도시된 것처럼, 클러스터 툴(10)의 일 실시예는 전단부 모듈(50), 중앙 모듈(150), 및 후방 모듈(200)을 포함한다. 전단부 모듈(50)은 일반적으로 하나 이상의 포드 어셈블리(105)(예를 들어 아이템 105A-D), 전단부 로봇(108)(도 1B), 및 전단부 처리 래크(52)를 포함한다. 중앙 모듈(150)은 일반적으로 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154) 및 중앙 로봇(107)을 포함할 것이다(도 1B). 후방 모듈(200)은 일반적으로 후방 처리 래크(202) 및 후방 로봇(109)을 포함할 것이다(도 1B). 일 실시예에서, 클러스터 툴(10)은, 전단부 처리 래크(52)에서 처리 챔버에 접근하도록 이루어진 전단부 로봇(108); 전단부 처리 래크(52), 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154), 및/또는 후방 처리 래크(202)의 처리 챔버에 접근하도록 이루어진 중앙 로봇(107); 및 후방 처리 래크(202)의 처리 챔버에 접근하도록 이루어지고 일정한 경우에 기판을 스텝퍼/스캐너(5)와 교환하도록 이루어진 후방 로봇(109)을 포함한다(도 1B). 일 실시예에서, 셔틀 로봇(110)은 하나 이상의 처리 래크(예를 들어 제 1 단부 처리 래크(52), 제 1 중앙 처리 래크(152), 등)에 보유된 둘 이상의 처리 챔버들 사이에 기판을 이동시키도록 이루어진다. 일 실시예에서, 전단부 인클로저(104)는 포드 어셈블리(105) 및 전단부 처리 래크(52) 사이의 그리고 전단부 로봇(108) 주위의 환경을 제어하도록 이용된다.
도 1B는 도 1A에서 도시된 일 실시예의 평면도를 도시하고, 이는 본 발명의 태양에서 발견된느 가능한 처리 챔버 구성의 더욱 상세한 모습을 포함한다. 도 1B를 참고하면, 전단부 모듈(50)은 일반적으로 하나 이상의 포드 어셈블리(105), 전단부 로봇(108), 및 전단부 처리 래크(52)를 포함한다. 하나 이상의 포드 어셈블리(105) 또는 전단부 개구 통합 포드(front-end opening unified pods, FOUPs)는 일반적으로 하나 이상의 기판들 "W" 또는 웨이퍼를 포함할 수 있는 하나 이상의 카세트(106)를 수용하도록 이루어져 있고, 기판 또는 웨이퍼들은 클러스터 툴(10)에서 처리될 것이다. 전단부 처리 래크(52)는 다수의 처리 챔버(예를 들어 베이크 챔버(90), 냉각 챔버(80) 등)을 포함하고, 이들은 기판 처리 순서에서 알려진 다양한 처리 단계를 수행하도록 이루어진다. 일 실시예에서, 전단부 로봇(108)은 포드 어셈블리(105)에 장착된 카세트 사이 및 전단부 처리 래크(52)에 보유된 하나 이상의 챔버 사이에서 기판들을 이동시키도록 이루어진다.
중앙 모듈(150)은 일반적으로 중앙 로봇(107), 제 1 중앙 처리 래크(152), 및 제 2 중앙 처리 래크(154)를 포함한다. 제 1 중앙 처리 래크(152) 및 제 2 중앙 처리 래크(154)는 다양한 처리 챔버(예를 들어 코우터/현상 챔버(60), 베이크 챔버(90), 냉각 챔버(80) 등)들을 포함하고, 이들은 기판 처리 순서에서 알려진 다양한 처리 단계를 수행하도록 이루어진다. 일 실시예에서, 중앙 로봇(107)은 전단부 처리 래크(52), 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154) 및/또는 후방 처리 래크(202) 사이에서 기판을 이동시키도록 이루어진다. 일 태양에서, 중앙 로봇(107)은, 중앙 모듈(150)의 제 2 중앙 처리 래크(154) 및 제 1 중앙 처리 래크(152) 사이의 중앙에 위치한다.
후방 모듈(200)은 일반적으로 후방 로봇(109) 및 후방 처리 래크(202)를 포함한다. 후방 처리 래크(202)는 일반적으로 처리 챔버들(예를 들어 코우터/현상 챔버(60), 베이크 챔버(90), 냉각 챔버(80) 등)을 포함하고, 이들은 기판 처리 순서에서 알려진 다양한 처리 단계들을 수행하도록 이루어진다. 일 실시예에서, 후방 로봇(109)은 후방 처리 래크(202) 및 스텝퍼/스캐너(5) 사이에서 기판을 이동시키도록 이루어진다. 스텝퍼/스캐너(5)는 애리조나주의 ASML US, Inc. of Tempe, 또는 캘리포니아주 벨몬트의 Nikon Precision Inc., 또는 캘리포니아주 산호세의 Canon USA, Inc.로부터 구입 가능할 수 있고, 이는 직접 회로(ICs)의 제조에서 이용되는 리소그래피 투사 장치이다. 스텝퍼/스캐너 툴(5)은 클러스터 툴에서 기판 상에 증착되는 감광성 물질(포토레지스트)을 일정한 형태의 전자기 복사에 노광시키고, 이에 의해 기판 표면 상에 형성될 직접 회로(IC) 기구의 개별적인 층에 대응하는 회로 패턴을 생성한다.
일 실시예에서, 시스템 제어기(101)는 클러스터 툴(10)에서 수행되는 모든 구성요소 및 공정들을 제어하는데 이용된다. 시스템 제어기(101)는 일반적으로 스텝퍼/스캐너(5)와 소통하고 클러스터 툴(10)에서 수행되는 공정들의 태양을 모니터하고 제어하도록 이루어지며, 완전한 기판 처리 순서의 모든 태양을 제어하도록 이루어진다. 일반적으로 마이크로프로세서계 제어기인 시스템 제어기(101)는 처리 챔버들의 하나에서 다양한 센서들 및/또는 사용자로부터 입력을 받도록 구성되고, 제어기 메모리에 보유된 다양한 입력 및 소프트웨어 명령에 따라 처리 챔버 구성요소를 적절하게 제어하도록 구성된다. 시스템 제어기(101)는 일반적으로 메모리 및 CPU(미도시)를 포함하고, 이는 다양한 프로그램을 보유하고, 프로그램을 처리하며, 필요할 때 프로그램을 실행하도록 제어기에 의해 이용된다. 메모리(미도시)는 CPU에 연결되고, 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크, 하드 디스크 또는 디지탈 스토리지, 로칼(local) 또는 원격의 다른 형태와 같은 쉽게 이용가능한 메모리의 하나 이상일 수 있다. 소프트웨어 명령 및 데이터는 CPU를 명령하기 위한 메모리 내에 저장되고 코드화될 수 있다. 또한, 지지 회로(미도시)는 종래의 방법으로 프로세서를 지지하기 위해 CPU에 연결된다. 지지 회로는 캐쉬, 전원 공급장치, 시계 회로, 입력/출력 회로, 하위 시스템, 및 이 기술분야에서 알려진 이와 유사한 것을 포함할 수 있다. 시스템 제어기(101)에 의해 읽을 수 있는 프로그램(또는 컴퓨터 명령)은 처리 챔버에서 수행 가능한 작업을 결정한다. 바람직하게, 프로그램은 시스템 제어기(101)에 의해 읽을 수 있는 소프트웨어이고, 형성된 규칙 및 입력 데이터에 기초하여 공정을 제어하고 모니터하는 명령을 포함 한다.
도 2A는 스텝퍼/스캐너(5)에 부착되는 전단부 모듈(50)을 포함하는 클러스터 툴(10)의 다른 실시예를 도시하는 평면도이다. 이 구성에서 전단부 모듈(50)은 전단부 로봇(108), 전단부 처리 래크(52), 및 스텝퍼/스캐너(5)와 소통하는 후방 로봇(109A)을 포함할 수 있다. 이러한 구성에서, 전단부 처리 래크(52)는 다수의 처리 챔버(예를 들어 코우터/현상 챔버(60), 베이크 챔버(90), 냉각 챔버(80) 등)를 포함하고, 이는 기판 처리 순서에서 알려진 다양한 처리 단계를 수행하도록 이루어진다. 이러한 구성에서, 전단부 로봇(108)은 전단부 처리 래크(52)에 보유된 하나 이상의 처리 챔버 및 포드 어셈블리(105)에 장착된 카세트(106) 사이에서 기판을 이동시키도록 이루어진다. 또한, 이러한 구성에서, 후방 로봇(109A)은 스텝퍼/스캐너(5) 및 전단부 처리 래크(52) 사이에서 기판을 이동시키도록 이루어진다. 일 실시예에서, 셔틀 로봇(110)은 하나 이상의 처리 래크(예를 들어 전단부 처리 래크(52), 제 1 중앙 처리 래크(152)(도 1B) 등)에 보유된 둘 이상의 인접한 처리 챔버 사이에서 기판을 이동시키도록 이루어진다. 일 실시예에서, 클러스터 툴(10)은 전단부 모듈(50)을 포함하지만, 후방 로봇(109A)은 포함하지 아니하며 스텝퍼/스캐너(5)와는 인터페이스로 접속되지 아니한다.
도 2B는 도 2A에 도시된 클러스터(10)의 다른 실시예를 도시하는 평면도이고, 이는 스텝퍼/스캐너(5)와 소통되도록 이루어져 있지 아니하다. 이러한 구성에서, 클러스터 툴(10)은 독립형 툴로서 이용될 수 있고, 이에 의해 전단부 처리 래크(52)에 보유된 처리 챔버들을 이용하면서 원하는 공정 순서를 수행한다.
도 2C는 클러스터 툴(10)의 또 다른 실시예를 도시하는 평면도이고, 이 툴(10)은, 스텝퍼/스캐너(5)에 부착되고 전단부 로봇(108) 및 중앙 로봇(107)에 의해 서비스되는 중앙 모듈(150) 및 전단부 모듈(50)을 포함한다. 일 실시예에서, 중앙 로봇(107)은 전단부 처리 래크(52), 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154) 및/또는 스텝퍼/스캐너(5) 사이에서 기판을 이동시키도록 이루어진다. 일 실시예에서, 셔틀 로봇(110)은 하나 이상의 처리 래크(예를 들어 전단부 처리 래크(52), 제 1 중앙 처리 래크(152) 등)에 보유된 둘 이상의 인접한 처리 챔버들 사이에서 기판을 이동시키도록 이루어진다.
도 2D는 전단부 모듈(50), 중앙 모듈(150), 및 후방 모듈(300)을 포함하는 클러스터 툴(10)의 또 다른 실시예의 평면도이고, 이 경우 후방 처리 래크(302)는 제 1 후방 처리 래크(302) 및 제 2 후방 처리 래크(304)를 포함하도록 구성된다. 이러한 구성에서, 후방 로봇(109)은 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154), 제 1 후방 처리 래크(302), 제 2 후방 처리 래크(304), 중앙 로봇(107), 및/또는 스텝퍼/스캐너(5)로부터 기판을 이동시키도록 이루어질 수 있다. 또한, 이러한 구성에서, 중앙 로봇(107)은 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154), 제 1 후방 처리 래크(302), 제 2 후방 처리 래크(304), 및/또는 후방 로봇(109)으로부터 기판을 이동시키도록 이루어질 수 있다. 일 실시예에서, 셔틀 로봇(110)은 하나 이상의 처리 래크(예를 들어 전단부 처리 래크(52), 제 1 중앙 처리 래크(152) 등)에 보유된 둘 이상의 인접 처리 챔버들 사이에서 기판을 이동시키도록 이루어진다.
도 2E는 제 2 중앙 처리 래크(314)(도 4J)에 장착된 쌍으로 된 코우터/현상 챔버(350)(도 9A-B)를 포함하고 도 1B에서 도시된 일 실시예의 평면도를 도시하고, 이는 두 처리 챔버 모두(370)에서 코우트(coat) 단계(520)(도 3A-C) 또는 현상 단계(550)(도 3A-C)를 수행하도록 이루어질 수 있다. 이러한 구성은, 두 처리 챔버(370)에서 발견되는 공통 구성요소의 일부가 공유되도록 하기 때문에 유리하고, 따라서 시스템 가격, 복잡성, 툴의 풋프린트를 감소시킨다. 이하에서 설명되는 도 9A-B는 쌍으로 된 코우터/현상 챔버(350)의 다양한 태양을 도시한다. 또한, 도 2E는 제 1 중앙 처리 래크(322)(도 4K)에 장착된 베이크/냉각 챔버(800)를 포함하고, 이는 원하는 처리 순서로 다양한 베이크 단계(예를 들어 포스트 BARC 베이크 단계(512), PEB 단계(540) 등(도 3A-C)) 및 냉각 단계(예를 들어 포스트 BARC 냉각 단계(514), 포스트 PEB 냉각 단계(542) 등(도 3A-C))을 수행하도록 이루어질 수 있다. 베이크/냉각 챔버(800)는 이하에서 도 18A-B와 함께 설명된다.
도 2F는 클러스터 툴(10)의 또 다른 실시예의 평면도이고, 이 클러스터 툴은 전단부 모듈(306) 및 중앙 모듈(310)을 포함한다. 이러한 실시예에서, 전단부 모듈(306)은 제 1 처리 래크(308) 및 제 2 처리 래크(309)를 포함할 수 있고, 중앙 모듈(310)은 제 1 중앙 처리 래크(312) 및 제 2 중앙 처리 래크(314)를 포함할 수 있다. 전단부 로봇(108)은 포드 어셈블리(105)에 장착된 카세트(106), 제 1 처리 래크(308), 제 2 처리 래크(309), 제 1 중앙 처리 래크(312), 제 2 중앙 처리 래크(314) 및/또는 중앙 로봇(107) 사이에서 기판을 이동시키도록 이루어진다. 중앙 로봇(107)은 제 1 처리 래크(308), 제 2 처리 래크(309), 제 1 중앙 처리 래 크(312), 제 2 중앙 처리 래크(#14), 전단부 로봇(108) 및/또는 스텝퍼/스캐너(5) 사이에서 기판을 이동시키도록 이루어진다. 일 실시예에서, 전단부 로봇(108) 및 중앙 로봇(107)은 관절 로봇이다(이하에서 설명됨). 일 실시예에서, 셔틀 로봇(110)이 하나 이상의 처리 래크(예를 들어 제 1 처리 래크(308), 제 1 중앙 처리 래크(312) 등)에 보유된 둘 이상의 인접한 처리 챔버들 사이에서 기판을 이동시키도록 이루어진다. 일 태양에서, 전단부 로봇(108)은 전단부 모듈(306)의 제 2 처리 래크(309) 및 제 1 처리 래크(308) 사이의 중앙에 위치한다. 다른 태양에서, 중앙 로봇(107) 중앙 모듈(310)의 제 2 중앙 처리 래크(314) 및 제 1 중앙 처리 래크(312) 사이의 중앙에 위치한다.
도 2G는 클러스터 툴(10)의 또 다른 실시예의 평면도이고, 이는 도 2F에서 도시된 실시예와 유사하나, 스텝퍼/스캐너(5)에 부착될 수 있는 후방 모듈(316)을 추가로 갖는다. 이 실시예에서, 제 1 전단부 모듈(306)는 제 1 처리 래크(308) 및 제 2 처리 래크(309)를 포함할 수 있고, 중앙 모듈(310)은 제 1 중앙 처리 래크(312) 및 제 2 중앙 처리 래크(314)를 포함할 수 있으며, 후방 모듈(316)은 제 1 후방 처리 래크(318) 및 제 2 후방 처리 래크(319)를 포함할 수 있다. 전단부 로봇(108)은 포드 어셈블리(105)에 장착된 카세트(106), 제 1 처리 래크(308), 제 2 처리 래크(309), 제 1 중앙 처리 래크(312), 제 2 중앙 처리 래크(314), 및/또는 중앙 로봇(107) 사이에서 기판을 이동시키도록 이루어진다. 중앙 로봇(107)은 제 1 처리 래크(308), 제 2 처리 래크(309), 제 1 중앙 처리 래크(312), 제 2 중앙 처리 래크(314), 제 1 후방 처리 래크(318), 제 2 후방 처리 래크(319), 전단부 로 봇(108) 및/또는 후방 로봇(109) 사이에서 기판을 이동시키도록 이루어진다. 후방 로봇(109)은 제 1 중앙 처리 래크(312), 제 2 중앙 처리 래크(314), 제 1 후방 처리 래크(318), 제 2 후방 처리 래크(319), 중앙 로봇(107) 및/또는 스텝퍼/스캐너(5) 사이에서 기판을 이동시키도록 이루어진다. 일 실시예에서, 전단부 로봇(108), 중앙 로봇(107), 및 후방 로봇(109)의 하나 이상은 관절 로봇이다(이하에서 설명됨). 일 실시예에서, 셔틀 로봇(110)은 하나 이상의 처리 래크(예를 들어 제 1 처리 래크(308), 제 1 중앙 처리 래크(312) 등)에 보유된 둘 이상의 인접한 처리 챔버들 사이에서 기판을 이동시키도록 이루어진다. 일 태양에서, 후방 로봇(109)은 후방 모듈(316)의 제 2 후방 처리 래크(319) 및 제 1 후방 처리 래크(318) 사이의 중앙에 위치한다.
도 2F 및 2G에서 도시된 실시예는 유리할 수 있는데, 왜냐하면 처리 래크들 사이에 형성된 갭이 비교적 개방된 공간을 형성하고, 이 공간은 보수 인력이 작동하지 않게 된 클러스터 툴 구성요소에 접근하는 것을 가능하게 할 것이기 때문이다. 도 2F 및 2G에서 도시된 것처럼, 본 발명의 일 태양에서, 갭은 처리 래크들 사이의 공간만큼 넓고 처리 래크들의 높이만큼 높다. 시스템 중단시간 및 시스템 활용도는 주어진 툴에서 CoO를 결정하는데 중요한 요소이기 때문에, 클러스터 툴 구성요소에 접근하고 유지할 수 있는 능력은 다른 종래 기술 구성에 비해 장점을 가진다.
도 2H는 도 2F에서 도시된 실시예와 유사한 클러스터 툴(10)의 또 다른 실시예의 평면도이고, 이는 슬라이드 어셈블리(714)를 추가하고 있으며(도 16H), 이는 중앙 로봇(107) 및 전단부 로봇(108)의 베이스가 클러스터 툴의 길이를 따라 이동하는 것을 가능하게 한다(각각 항목 A1 및 A2). 이 구성은 각각의 로봇에 닿도록 연장되고 "로봇 겹침"을 향상시킨다. 로봇 겹침은 다른 모듈의 처리 래크의 처리 챔버들에 접근하는 로봇의 능력이다. 도 2H는 단일 슬라이드 어셈블리(714) 상의 중앙 로봇(107) 및 전단부 로봇(108)을 도시하였지만, 본 발명의 범위를 벗어나지 아니한 채 다른 실시예는 각각의 로봇(항목 107 및 108)이 고유의 슬라이드 어셈블리를 가지는 경우 또는 슬라이드 어셈블리에 로봇 중 오직 하나만이 장착되고 다른 로봇은 플로어 또는 시스템 프레임에 장착되는 경우를 포함할 수 있다.
도 2I는 클러스터 툴(10)의 또 다른 실시예의 평면도이고, 이는 도 2G에서 도시된 실시예와 유사하며, 두 개의 슬라이드 어셈블리(714A-B)(도 16H에서 설명됨)를 추가하고 있고, 이는 전단부 로봇(108)의 베이스 및 중앙 로봇(107) 및 후방 로봇(109)의 베이스가 클러스터 툴(10)의 길이를 따라 이동하는 것을 가능하게 한다(각각 항목 A1, A2 및 A3). 도 2I는 하나의 슬라이드 어셈블리(714A) 상의 전단부 로봇(108)과 단일의 슬라이드 어셈블리(714B) 상의 중앙 로봇(107) 및 후방 로봇(109)을 도시하였지만, 다른 실시예는 본 발명의 범위로부터 벗어나지 않은 채, 고유의 슬라이드 어셈블리(미도시) 상에 또는 공유된 슬라이드 어셈블리 상에 하나 이상의 로봇(항목 107, 108 및 109)을 갖는 경우 또는 단일의 슬라이드 어셈블리(미도시) 상에 3개의 로봇 모두 갖는 경우를 포함할 수 있다.
포토리소그래피 공정 순서
도 3A는 일련의 방법 단계(501)의 실시예를 도시하고, 이는 기판 표면 상에 형성된 포토레지스트 물질층을 증착시키고, 노광시키며 현상시키는데 이용될 수 있다. 리소그래픽 공정은 일반적으로 이하의 단계를 포함할 수 있다: 포드로부터 기판을 제거하는 단계(508A); BARC 코우트 단계(510); 포스트 BARC 베이크 단계(512); 포스트 BARC 냉각 단계(514); 포토레지스트 코우트 단계(520); 포스트 포토레지스트 코우트 베이크 단계(522); 포스트 포토레지스트 냉각 단게(524); 광학 에지 비드 제거(OEBR) 단계(536); 노광 단계(538); 노광후 베이크(PEB) 단계(540); 포스트 PEB 냉각 단계(542); 현상 단계(550); 및 포드에 위치시키는 단계(508B). 다른 실시예에서, 본 발명의 기본적 범위에서 벗어나지 않은 채, 방법 단계들(501)의 순서는 재배열되고, 변경될 수 있거나, 하나 이상의 단계가 제거될 수 있거나 또는 둘 이상의 단계가 단일의 단계로 통합될 수 있다.
포드로부터 기판을 제거하는 단계(508A)는, 전단부 로봇(108)이 포드 어셈블리(105) 중 하나에 있는 카세트(106)로부터 기판을 제거하는 공정으로서 일반적으로 정의된다. 하나 이상의 기판("W")을 포함하는 카세트(106)는 사용자 또는 일정한 외부 기구(미도시)에 의해 포드 어셈블리(105) 상에 위치하고, 이에 의해 기판은 시스템 제어기(101)에 보유된 소프트웨어에 의해 제어된 사용자-정의 기판 처리 순서에 의해 클러스터 툴(10)에서 처리될 수 있다.
BARC 코우트 단계(510) 또는 바닥부 반사방지 코팅 공정(BARC 이후)은 기판의 표면에 걸쳐 유기 물질을 증착하는데 이용되는 단계이다. BARC 층은 일반적으 로 유기 코팅이고 이는 포토레지스트 층 이전에 기판 상에 가해지고, 이에 의해 빛을 흡수하며, 이 빛은 그렇지 아니하면 스텝퍼/스캐너(5)에서 수행되는 노광 단계(538) 동안 기판의 표면으로부터 다시 포토레지스트로 반사될 것이다. 이러한 반사가 금지된다면, 광학 정상파가 포토레지스트 층에서 형성될 것이고, 이는 피쳐 크기(들)를 포토레지스트 층의 국부적 두께에 따라 한 위치에서 다른 위치로 변경시킨다. 또한, BARC 층은 기판 표면 지형의 높이를 맞추는데(또는 평탄화하는데) 이용될 수 있는데, 왜냐하면 표면 지형 변화는 다중 전자 소자 제작 단계를 완료한 후 변경될 수 없게 존재하기 때문이다. BARC 물질은 포토레지스트 적용에 대한 움푹한 표면을 형성하는 피쳐들의 위 그리고 그 주위를 채우고 포토레지스트 두께에서의 국부적 변화를 감소시킨다. BARC 코우트 단계(510)는 종래의 스핀-온 포토레지스트 분배 공정을 이용하여 일반적으로 수행되고, 이 경우 BARC 물질의 양은 기판이 회전하는 동안 기판의 표면 상에 증착되며, 이는 BARC 물질에서 용매를 증발시키고 증착된 BARC 물질의 성질이 변하게 한다. BARC 처리 챔버에서의 에어 유동 및 배출 유동률은 용매 증발 공정 및 기판 표면 상에 형성된 층의 성질을 제어하도록 제어된다.
포스트 BARC 베이크 단계(512)는, 모든 용매가 BARC 코우트 단계(510)에서 증착된 BARC 층으로부터 제거되는 것을 보장하고 일정한 경우에 기판의 표면에 BARC 층의 접착을 촉진시키는데 이용되는 단계이다. 포스트 BARC 베이크 단계(512)이 온도는 기판의 표면 상에 증착되는 BARC 물질의 형태에 의존하지만, 일반적으로 약 250℃ 미만일 것이다. 포스트 BARC 단계(512)를 완료하는데 필요한 시간은 포스트 BARC 베이크 단계 동안 기판의 온도에 의존할 것이나 일반적으로 약 60초 미만일 것이다.
포스트 BARC 냉각 단계(514)는, 기판이 주위 온도 위의 온도에 있는 시간이 제어되어 기판이 동일한 시간 프로파일을 갖지며 이에 의해 공정 변화가 최소화되는 것을 보장하는데 이용된다. 기판의 웨이퍼 히스토리의 요소인, BARC 공정 시간-온도 프로파일의 변화는, 증착된 필름 층의 성질에 영향을 미칠 수 있고 따라서 종종 공정 변화도를 최소화하도록 제어된다. 포스트 BARC 냉각 단계(514)는 포스트 BARC 베이크 단계(512) 이후 주위 온도로 또는 그 근처로 기판을 냉각시키는데 일반적으로 이용된다. 포스트 BARC 냉각 단계(514)를 완료하는데 필요한 시간은 포스트 BARC 베이크 단계를 빠져나가는 기판의 온도에 의존할 것이고, 일반적으로 약 30초 미만일 것이다.
포토레지스트 코우트 단계(520)는 기판의 표면에 걸쳐 포토레지스트 층을 증착하는데 이용되는 단계이다. 포토레지스트 코우트 단계(520) 동안 증착된 포토레지스트 층은, 일반적으로 기판 상에 가해진 감광성 유기 코팅이고, 이는 이후 스텝퍼/스캐너(5)에 노광되어 기판의 표면 상에 패턴을 가진 피쳐를 형성한다. 포토레지스트 코우트 단계(520)는 종래의 스핀-온 포토레지스트 분배 공정을 이용하여 일반적으로 수행되고, 이 경우에 포토레지스트 물질의 양은 기판이 회전하는 동안 기판의 표면 상에 증착되고, 따라서 포토레지스트 물질의 용매가 증발되도록 하며 증착된 포토레지스트 층의 물질 성질이 변화되도록 한다. 포토레지스트 처리 챔버에서의 에어 유동 및 배출 유동 속도는 제어되고, 이에 의해 기판 표면 상에 형성된 층의 성질 및 용매 증발 공정을 제어한다. 일정한 경우에, 기판 표면 상의 용매의 부분 압력을 제어할 필요가 있고, 이로써 배출 유동 속도를 제어함에 의해 및/또는 기판 표면 근처의 용매를 주입함에 의해, 포토레지스트 코우트 단계 동안 포토레지스트로부터 용매의 증발을 제어한다. 도 5A를 참고하면, 포토레지스트 코우트 단계(520)를 완료하기 위해, 기판은 코우터 챔버(60A)의 스핀 처크(1033) 상에 먼저 위치한다. 모터는 스핀 처크(1033) 및 기판을 회전시키고, 포토레지스트는 기판의 중앙부 상에 분배된다. 회전은 포토레지스트 상에 각 토크를 나눠주고, 이는 방사 방향으로 포토레지스트를 밖으로 밀며, 이에 의해 궁극적으로 기판을 덮는다.
포스트 포토레지스트 코우트 베이크 단계(522)는, 전부는 아니지만 용매의 대부분이 포토레지스트 코우트 단계(520)에서 증착된 포토레지스트 층으로부터 제거되는 것을 보장하는데 이용되는 단계이고, 일정한 경우에 BARC 층에 대한 포토레지스트 층을 접착을 촉진한다. 포스트 포토레지스트 코우트 베이크 단계(522)의 온도는 기판의 표면 상에 증착된 포토레지스트 물질의 형태에 의존하고, 일반적으로 약 250℃ 미만일 것이다. 포스트 포토레지스트 코우트 베이크 단계(522)를 완료하는데 필요한 시간은 포스트 포토레지스트 베이크 단계 동안 기판의 온도에 의존할 것이고, 일반적으로 약 60초 미만일 것이다.
포스트 포토레지스트 냉각 단계(524)는 주위 온도 위의 온도에서 기판이 있는 시간을 제어하도록 이용되는 단계이고, 이에 의해 모든 기판이 동일한 시간-온도 프로파일을 나타내고 공정 변화도가 최소화된다. 시간-온도 프로파일에서의 변화는 증착된 필름 층의 성질에 영향을 미칠 수 있고, 때때로 공정 변화도를 최소화 하도록 제어된다. 포스트 포토레지스트 냉각 단계(524)의 온도는 포스트 포토레지스트 코우트 베이크 단계(522) 이후 기판을 주위 온도로 또는 주위 온도 근처로 냉각시키는데 이용된다. 포스트 포토레지스트 냉각 단계(524)를 완료하는데 필요한 시간은 포스트 포토레지스트 베이크 단계를 빠져나가는 기판의 온도에 의존할 것이고, 일반적으로 약 30초 미만일 것이다.
광학 에지 비드 제거(OEBR) 단계(536)는, BARC 코우트 단계(510) 동안 형성된 BARC 층 및 포토레지스트 코우트 단계(520) 동안 형성된 층과 같은 증착된 광 민감성 포토레지스트 층을 복사 소스(미도시)에 노광시키는데 이용되는 공정이고, 이로써 하나 또는 모든 층이 기판이 에지로부터 제거될 수 있고 증착된 층의 에지 제거가 더욱 균일하게 제어될 수 있다. 기판 표면을 노광시키는데 이용되는 복사의 강도 및 파장은 기판 표면 상에 증착되는 BRAC 및 포토레지스트 층의 형태에 의존할 것이다. OECR 툴은 캘리포니아 시프레스의 USHIO 아메리카사로부터 구입 가능하다.
노광 단계(538)는 직접 회로(ICs)를 제조하는데 이용되는 패턴을 형성하기 위해 리소그래픽 투사 장치(예를 들어 스텝퍼/스캐너(5))에 의해 가해진 리소그래픽 투사 단계이다. 노광 단계(538)는, 전자기적 복사의 일정한 형태의 BARC 코우트 단계(510)(포토레지스트) 동안 형성된 BARC 층 및 포토레지스트 코우트 단계(520) 동안 형성된 포토레지스트 층과 같은 감광성 물질을 노광시킴에 의해 기판 표면 상에 직접 회로(IC)의 개별적인 층에 대응하는 회로 패턴을 형성한다. 스텝퍼/스캐너(5)는 ASML, 니콘 또는 캐논사로부터 구입 가능하다.
포스트 노광 베이크(PEB) 단계(540)는, 노광 단계(538) 이후 즉시 기판을 가열하는데 이용되는 단계이고, 이에 의해 광활성 화합물(들)의 확산을 자극하고 포토레지스트 층에서의 정상파의 영향을 감소시킨다. 화학적으로 증대된 포토레지스트에 대하여, PEB 단계도 포토레지스트 층의 용해성을 변화시키는 촉매 작용된 화학 반응을 일으킨다. PEB 동안 온도의 제어는 임계 치수(critical dimension, CD) 제어에 중요하다. PEB 단계(540)의 온도는 기판의 표면 상에 증착되는 포토레지스트 물질의 형태에 의존하고, 일반적으로 약 250℃ 미만일 것이다. PEB 단계(540)를 완료하는데 필요한 시간은 PEB 단계 동안 기판의 온도에 의존할 것이고, 일반적으로 약 60초 미만일 것이다.
노광후 베이크(PEB) 냉각 단계(542)는 기판이 주위 온도 위의 온도에 있는 시간이 제어되어 모든 기판이 동일한 시간-온도 프로파일을 나타내고 따라서 공정 변화도가 최소화되는 것을 보장하는데 이용되는 단계이다. PEB 공정 시간-온도 프로파일에서의 변화는 증착된 필름 층의 성질에 영향을 미칠 수 있고 따라서 공정 변화도를 최소화하도록 제어된다. 포스트 PEB 냉각 단계(542)의 온도는 PEB 단계(540) 이후 기판을 주위 온도로 또는 그 근처의 온도로 냉각시키는데 이용된다. 포스트 PEB 냉각 단계(542)를 완료하는데 필요한 시간은 PEB 단계를 빠져나가는 기판의 온도에 의존할 것이고, 일반적으로 약 30초 미만일 것이다.
현상 단계(550)는 이하의 공정으로, 이 공정에서 용매는 노광된 또는 노광되지 않은 포토레지스트 및 BARC 층에 대한 화학적 또는 물리적 변화를 일으키는데 이용되며, 이는 노광 단계(538) 동안 형성된 패턴을 노광시킴에 의한다. 현상 공 정은 스프레이 또는 담금(immersion) 또는 퍼들(puddle) 형태 공정일 수 있고, 이는 현상기 용매를 분배하는데 이용된다. 현상 단계(550)의 일 실시예에서, 용매가 기판의 표면 상에 분배된 이후, 린스 단계가 기판의 표면으로부터 용매 물질을 린스하기 위해 수행될 수 있다. 기판의 표면 상에 분배된 린스 용액은 탈이온화된 물 및/또는 계면활성제를 포함할 수 있다.
pod 단계(508B)에서 기판 삽입은, 전단부 로봇(108)이 포드 어셈블리(105) 중 하나에 있는 카세트(106)로 기판을 반환시키는 공정으로서 일반적으로 정의된다.
도 3B는 다른 실시예를 도시하고, 이 경우 일련의 방법 단계들(502)은 기판 표면 상의 트랙 리소그래피 공정을 수행하는데 이용될 수 있다. 방법 단계(502)의 리소그래픽 공정은 도 3A에서 공지된 모든 단계를 포함하나, BARC 코우트 단계(510) 및 포스트 BARC 베이크 단계(512)를 헥사메틸디실라잔(hexamethyldisilazane, 이후로는 HMDS) 처리 단계(511) 및 포스트 HMDS 냉각 단계(513)로 대체한다. 다른 실시예에서, 본 발명의 기본적인 범위를 벗어나지 아니한 채, 일련의 방법 단계(502)가 재배열되고 변경되며 하나 이상의 단계가 제거되거나 둘 이상의 단계가 단일 단계로 통합될 수 있다.
HMDS 처리 단계(511)는, 기판을 약 125℃를 넘는 온도로 가열하는 단계 및 기판을 짧은 시간(예를 들어 <120초) 동안 일정량의 HMDS 증기를 함유한 공정 가스에 노광시켜 기판의 표면을 준비하고 건조시킴으로써 처리 순서에서 이후에 증착되는 포토레지스트 층의 접착을 촉진하는 단계를 일반적으로 포함한다. HMDS 증기의 이용은 HMDS 처리 단계(511)와 함께 사용된 화학 물질로서 상기에서 설명되었지만, HMDS 처리 단계(511)는 일반적으로 유사한 종류의 공정들을 설명하는 것으로 이해되고, 이러한 공정은 포토레지스트 층의 접착을 촉진하기 위해 기판의 표면을 준비하고 건조시키는데 이용될 수 있다. 이러한 명세서에서 HMDS란 용어의 이용은 본 발명의 범위를 제한할 의도는 아니다. 일정한 경우에, HMDS 단계는 "증기 프라임" 단계로서 불린다.
포스트 HMDS 냉각 단계(513)는 기판의 온도를 제어하여 포토레지스트 처리 단계로 들어오는 모든 기판이 동일한 최초 처리 온도에 있도록 한다. 포토레지스트 코우트 단계(520)로 들어오는 기판의 온도에서의 변화는, 증착된 필름 층의 성질에 큰 영향을 미칠 수 있고, 따라서 공정 변화를 최소화하도록 제어된다. 포스트 HMDS 냉각 단계(513)의 온도는, HMDS 처리 단계(511) 이후의 기판을 주위 온도 또는 그 근처의 온도로 냉각시키는데 이용된다. 포스트 HMDS 냉각 단계(513)를 완료하는데 필요한 시간은 HMDS 처리 단계(511)를 빠져나가는 기판의 오도에 의존할 것이고, 일반적으로 약 30초 미만일 것이다.
도 3C는 공정 순서, 또는 방법 단계(503)의 다른 실시예를 도시하고, 이는 기판 상에서 트랙 리소그래픽 공정을 수행하는데 이용될 수 있다. 리소그래픽 공정은, 포드로부터 제거 단계(508A), 예비-BARC 냉각 단계(509), BARC 코우트 단계(510), 포스트 BARC 베이크 단계(512), 포스트 BARC 냉각 단계(514), 포토레지스트 코우트 단계(520), 포스트 포토레지스트 코우트 베이크 단계(522), 포스트 포토레지스트 냉각 단계(524), 반사방지 상부 코우트 단계(530), 포스트 상부 코우트 베이크 단계(532), 포스트 상부 코우트 냉각 단계(534), 광학 에지 비드 제거(OEBR) 단계(536), 노광 단계(538), 노광후 베이크(PEB) 단계(540), 포스트 PEB 냉각 단계(542), 현상 단계(550), SAFIERTM(촉진된 해상도를 위한 수축 보조 필름, Shrink Assist Film for Enhanced Resolution) 코우트 단계(551), 포스트 현상 베이크 단계(552), 포스트 현상 냉각 단계(554) 및 포드에 위치시키는 단계(508B)를 일반적으로 포함할 수 있다. 방법 단계(503)의 리소그래픽 공정은, 도 3A에서 도시된 모든 단계를 포함하고, 반사방지 상부 코우트 단계(530), 포스트 상부 코우트 베이크 단계(532), 포스트 상부 코우트 냉각 단계(534), 포스트 현상 베이크 단계(552), 포스트 현상 냉각 단계(554) 및 SAFIERTM 코우트 단계(551)를 추가한다. 다른 실시예에서, 방법 단계(503)의 순서는, 본 발명의 기본 범위로부터 변경되지 않은 채, 재배열되거나 변경되거나 하나 이상의 단계가 제거되거나 둘 이상이 단계가 한 단계로 통합될 수 있다.
예비 BARC 냉각 단계(509)는 기판의 온도를 제어하여 BARC 처리 단계로 들어오는 모든 기판이 동일한 최초 처리 온도에 있도록 한다. BARC 코우트 단계(510)로 들어오는 기판의 온도의 변화는, 증착된 필름 층의 성질에 큰 영향을 미칠 수 있고 공정 변화도를 최소화하도록 제어된다. 예비 BARC 단계(509)의 온도는 POD로부터 이동된 기판을 주위 온도 또는 그 근처의 온도로 가열하거나 또는 냉각시키는데 이용된다. 예비 BARC 냉각 단계(509)를 완료하는데 필요한 시간은, 카세트(106)의 기판의 온도에 의존할 것이고, 일반적으로 약 30초 미만일 것이다.
반사방지 상부 코우트 단계(530) 또는 상부 반사방지 코팅 공정(이후로는 TARC)은, 포토레지스트 코우트 단계(520) 동안 증착된 포토레지스트 층에 걸쳐 유기 물질을 증착하는데 이용되는 단계이다. TARC 층은 일반적으로 광을 흡수하는데 이용되고, 그렇지 아니하면 이 광은 스텝퍼/스캐너(5)에서 수행되는 노광 단계(538) 동안 기판의 표면으로부터 포토레지스트로 다시 반사될 것이다. 이러한 반사가 금지된다면, 광학적 정상파가 포토레지스트 층에서 확립될 것이고, 이는 포토레지스트 층의 국부적 두께에 따라 피쳐 크기가 회로 상에서 한 위치로부터 다른 위치로 변하도록 한다. 또한, TARC 층은 기판 표면 지형의 높이를 맞추는데(또는 평면화하는데) 이용될 수 있고, 이는 기구 기판 상에서 변화 불가능하게 존재한다. 반사방지 상부 코우트 단계(530)는 종래의 스핀 온 포토레지스트 분배 공정을 이용하여 일반적으로 수행되고, 이 경우 TARC 물질의 일정량이 기판의 표면 상에 증착되며, 기판은 회전되고 이에 의해 TARC 물질의 용매가 증발하여 TARC 층을 치밀화한다. 코우터 챔버(60A)에서 에어 유동 및 배출 유동 속도는, 기판 표면 상에 형성된 층의 성질 및 용매 증발 공정을 제어하도록 제어된다.
포스트 상부 코우트 베이크 단계(532)는, 용매 모두가 반사방지 상부 코우트 단계(530)에서 증착된 TARC 층으로부터 제거되는 것을 보장하는데 이용되는 단계이다. 포스트 상부 베이크 단계(532)의 오노는 기판의 표면 상에 증착된 TARC 물질의 형태에 의존하고, 일반적으로 약 250℃미만일 것이다. 포스트 상부 코우트 베이크 단계(532)를 완료하는데 필요한 시간은 포스트 상부 코우트 베이크 단계 동안 작용하는 공정의 온도에 의존할 것이고 일반적으로 약 60초 미만일 것이다.
포스트 상부 코우트 냉각 단계(534)는 기판이 주위 온도 위의 온도에서 있는 시간이 제어되는 것을 제어하는데 이용되는 단계이고, 이에 의해 모든 기판이 동일한 시간-온도 프로파일을 나타내고 따라서 공정 변화도는 최소화된다. TARC 공정 시간-온도 프로파일에서의 변화는 기판 웨이퍼 히스토리의 요소이고, 이는 증착된 필름 층의 성질에 영향을 미칠 수 있고 공정 변화도를 최소화하도록 제어될 수 있다. 포스트 상부 코우트 냉각 단계(534)는, 포스트 상부 코우트 베이크 단계(532) 이후 기판을 주위 온도 또는 그 근처의 온도로 냉각시키는데 일반적으로 이용된다. 포스트 상부 코우트 냉각 단계(534)를 완료하는데 필요한 시간은 포스트 상부 코우트 베이크 단계(532)를 빠져나가는 기판의 온도에 의존할 것이고 일반적으로 약 30초 미만일 것이다.
포스트 현상 베이크 단계(552)는, 모든 현상기 용매가 현상 단계(550) 이후 잔존하는 포토레지스트 층으로부터 제거되는 것을 보장하는데 이용되는 단계이다. 포스트 현상 베이크 단계(552)의 온도는 기판의 표면 상에 증착된 포토레지스트 물질의 형태에 의존하고, 일반적으로 약 250℃ 미만일 것이다. 포스트 현상 베이크 단계(552)를 완료하는데 필요한 시간은, 포스트 포토레지스트 베이크 단계 동안 기판의 온도에 의존할 것이고, 일반적으로 약 60초 미만일 것이다.
포스트 현상 냉각 단계(554)는, 기판이 주위 온도 위의 온도에 있는 시간이 제어되어 모든 기판이 동일한 시간-온도 프로파일을 나타내고 따라서 변화도가 최소화되는 것을 제어하고 보장하는데 이용되는 단계이다. 현상 단계의 시간-온도 프로파일의 변화는 증착된 필름 층의 성질에 영향을 미칠 수 있고, 공정 변화도를 최소화하도록 제어된다. 포스트 현상 냉각 단계(554)의 온도는, 포스트 현상 베이크 단계(552) 이후 기판을 주위 온도 또는 그 근처의 온도로 냉각시키는데 이용된다. 포스트 현상 냉각 단계(554)를 완료하는데 필요한 시간은, 포스트 현상 베이크 단계(552)를 빠져나가는 기판의 온도에 의존할 것이고, 일반적으로 약 30초 미만일 것이다.
SAFIERTM(촉진된 해상도를 위한 수축 보조 필름) 코우트 단계(551)는 이하의 공정으로서, 여기서 물질은 현상 단계(550) 이후 잔존하는 포토레지스트 층 위에 증착되고 이후 포스트 현상 베이크 단계(552)에서 베이크된다. SAFIERTM 공정은, 거의 열등해지지 않은 프로파일을 가진 접촉 홀, 비아스(vias), IC 트렌치 패턴(trench pattern)의 물리적 수축을 일으켜 라인 에지 거칠기(line edge roughness, LER)를 향상시키는데 일반적으로 이용된다. SAFIERTM 코우트 단계(551)는 종래의 스핀 온 포토레지스트 분배 공정을 이용하여 일반적으로 수행되고, 이 경우 기판이 회전하면서 SAFIERTM 물질의 일정량이 기판의 표면 상에 증착된다.
처리 래크
도 4A-J는 전단부 처리 래크(52), 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154), 후방 처리 래크(202), 제 1 후방 처리 래크(302), 제 2 후방 처리 래크(304), 제 1 처리 래크(308), 제 2 처리 래크(309), 제 1 중앙 처리 래크(312), 제 2 중앙 처리 래크(314), 제 1 후방 처리 래크(318) 및 제 2 후방 처리 래크(319)의 일 실시예를 도시하는 측면도를 도시하고, 이들은 다양한 형태의 기판 처리 순서를 수행하는 다중 기판 처리 챔버들을 포함한다. 일반적으로 도 4A-J에서 도시된 처리 래크들은, 하나 이상의 코우터 챔버(60A), 하나 이상의 현상 챔버(60B), 하나 이상의 냉각 챔버(80), 하나 이상의 베이크 챔버(90), 하나 이상의 PEB 챔버(130), 하나 이상의 지지 챔버(65), 하나 이상의 OEBR 챔버(62), 하나 이상의 쌍으로 된 코우터/현상 챔버(350), 하나 이상의 베이크/냉각 챔버(800), 및/또는 하나 이상의 HMDS 챔버(70)와 같은 하나 이상의 처리 챔버들을 포함할 수 있고, 이는 이하에서 추가로 설명된다. 도 4A-J에서 도시된 처리 챔버들의 배향, 형태, 위치 및 숫자는 본 발명의 범위를 제한할 의도가 아니라 본 발명의 다양한 실시예를 나타낼 의도이다. 일 실시예에서, 도 4A-J에서 도시된 것처럼, 처리 챔버들은 수직으로 스택되거나 또는 한 챔버는 거의 다른 챔버 위에 위치하고, 이에 의해 클러스터 툴(10)의 풋프린트를 감소시킨다. 다른 실시예에서, 수직으로 적층된 챔버들, 처리 챔버들은 수평으로 서로 엇갈린 패턴을 위치하며, 하나의 챔버는 다른 챔버 위에 부분적으로 위치하며, 이에 의해 하나 이상의 챔버들이 서로 다른 물리적 크기일 때 처리 래크 공간의 이용을 더욱 효과적으로 만드는 것을 돕는다. 또 다른 실시예에서, 처리 챔버들은 수직으로 서로 엇갈릴 수 있고, 처리 챔버들의 베이스는 공통 플레인을 공유하지 아니하며 및/또는 수평으로 엇갈리고, 이 경우 처리 챔버의 측부는 다른 처리 챔버와 공통 평면을 공유하지 아니한다. 클러스터 툴 풋프린트를 최소화하는 것은 클러스터 툴을 현상하는데 있어서 중요한 요소이고, 클러스터 툴이 설치될 수 있는 경우에 청정실 공간(clean room space)은 제한적이고 설립하고 유지하는데 매우 비쌀 수 있다.
도 4A는, 중앙 로봇(107)을 향할 때, 포드 어셈블리(105)의 앞에서 그리고 클러스터 툴(10)의 외부로부터 도시되는 것과 같은 전단부 처리 래크(52)의 측면도르 도시하고, 도 1A-B 및 도 2A-C에서 도시된 도면과 일치할 것이다. 일 실시예에서, 도 4A에서 도시된 것처럼, 전단부 처리 래크(52)는 4개의 코우터/현상기 챔버(60)(CD1-4로 라벨되어 있음), 12개의 냉각 챔버(80)(C1-12로 라벨되어 있음), 6개의 베이크 챔버(90)(B1-6로 라벨되어 있음) 및/또는 6개의 HMDS 처리 챔버(70)(P1-6로 라벨되어 있음)를 포함한다.
도 4B는 중앙 로봇(107)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 1 중앙 처리 래크(152)의 측면도를 도시하고 이는 도 1A-B 및 도 2A-C에서 도시된 도면과 일치할 것이다. 일 실시예에서 도 4B에서 도시된 것처럼, 제 1 중앙 처리 래크(152)는 12개의 냉각 챔버(80)(C1-12로 라벨되어 있음) 및 24개의 베이크 챔버(90)(B1-24로 라벨되어 있음)를 포함한다.
도 4C는 중앙 로봇(107)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 2 중앙 처리 래크(154)의 측면도를 도시하고 이는 도 1A-B 및 도 2A-C에서 도시된 도면과 일치할 것이다. 일 실시예에서 도 4C에서 도시된 것처럼, 제 2 중앙 처리 래크(154)는 4개의 코우터/현상기 챔버(60)(CD1-4로 라벨되어 있음) 및 4개의 지지 챔버(65)(S1-4로 라벨되어 있음)를 포함한다. 일 실시예에서, 4개의 지지 챔버(65)는 4개의 코우터/현상기 챔버(60)로 대체된다.
도 4D는 중앙 로봇(107)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 후방 처리 래크(202)의 측면도를 도시하고 이는 도 1A-B 및 도 2B에서 도시된 도면과 일치할 것이다. 이 실시예에서 도 4D에서 도시된 것처럼, 후방 처리 래크(202)는 4개의 코우터/현상기 챔버(60)(CD1-4로 라벨되어 있음), 8개의 냉각 챔버(80)(C1-8로 라벨되어 있음), 2개의 베이크 챔버(90)(B1-2로 라벨되어 있음), 4개의 OEBR 챔버(62)(OEBR1-4로 라벨되어 있음) 및 6개의 PEB 챔버(130)(PEB1-6로 라벨되어 있음)를 포함한다.
도 4E는 후방 로봇(109)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 1 후방 처리 래크(302)의 측면도를 도시하고 이는 도 2C에서 도시된 도면과 일치할 것이다. 이 실시예에서 도 4E에서 도시된 것처럼, 제 1 후방 처리 래크(302)는 4개의 코우터/현상기 챔버(60)(CD1-4로 라벨되어 있음), 8개의 냉각 챔버(80)(C1-8로 라벨되어 있음), 2개의 베이크 챔버(90)(B1-2로 라벨되어 있음), 4개의 OEBR 챔버(62)(OEBR1-4로 라벨되어 있음) 및 6개의 PEB 챔버(130)(PEB1-6로 라벨되어 있음)를 포함한다.
도 4F는 후방 로봇(109)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 2 후방 처리 래크(304)의 측면도를 도시하고 이는 도 2C에서 도시된 도면과 일치할 것이다. 이 실시예에서 도 4F에서 도시된 것처럼, 제 2 후방 처리 래크(304)는 4개의 코우터/현상기 챔버(60)(CD1-4로 라벨되어 있음) 및 4개의 지지 챔버(65)(S1-4로 라벨되어 있음)를 포함한다. 일 실시예에서, 4개의 지지 챔버(65)는 4개의 코우터/현상기 챔버(60)로 대체된다.
도 4G는 전단부 로봇(108)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 1 처리 래크(308)의 측면도를 도시하고 이는 도 2F-G에서 도시된 도면과 일치할 것이다. 이 실시예에서 도 4G에서 도시된 것처럼, 제 1 처리 래크(308)는 12개의 베이크/냉각 챔버(800)(BC1-12로 라벨되어 있음)를 포함하고, 이는 도 18과 함께 이하에서 설명된다.
도 4H는 전단부 로봇(108)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 2 처리 래크(309)의 측면도를 도시하고 이는 도 2F-G에서 도시된 도면과 일치할 것이다. 이 실시예에서 도 4H에서 도시된 것처럼, 제 2 처리 래크(309)는 4개의 코우터/현상기 챔버(60)(CD1-4로 라벨되어 있음) 및 4개의 지지 챔버(65)(S1-4로 라벨되어 있음)를 포함한다. 일 실시예에서, 4개의 지지 챔버(65)는 4개의 코우터/현상기 챔버(60)로 대체된다.
도 4I는 중앙 로봇(107) 또는 후방 로봇(109)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 1 중앙 처리 래크(312)의 측면도를 도시하고 이는 도 2F-G에서 도시된 도면과 일치할 것이다. 이 실시예에서 도 4I에서 도시된 것처럼, 제 1 중앙 처리 래크(312) 또는 제 1 후방 처리 래크(318)는 8개의 냉각 챔버(80)(C1-8로 라벨되어 있음), 14개의 베이크 챔버(90)(B1, B2, B3, B5, B6, B7 등으로 라벨되어 있음), 4개의 OEBR 챔버(62)(OEBR1-4로 라벨되어 있음) 및 6개의 PEB 챔버(130)(PEB1-6로 라벨되어 있음)를 포함한다. 다른 실시예에서, 제 1 중앙 처리 래크(312) 또는 제 1 후방 처리 래크(318)은 도 4G에서 도시된 구성과 유사하게 배열될 수 있고, 이는 12개의 냉각 챔버(80) 및 24개의 베이크 챔버(90)를 포함한다.
도 4J는 중앙 로봇(107)(또는 후방 로봇(109))을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 2 중앙 처리 래크(314) 또는 제 2 후방 처리 래크(319)의 측면도를 도시하고 이는 도 2F-G에서 도시된 도면과 일치할 것이다. 이 실시예에서 도 4J에서 도시된 것처럼, 제 2 중앙 처리 래크(314) 또는 제 2 후방 처리 래크(319)는 4개의 쌍으로 된 코우터/현상기 챔버(350)를 포함하고, 이는 4 쌍의 처리 챔버(370)를 포함할 수 있으며, 이는 코우터 챔버(60A)로서, 현상기 챔버(60B)로서, 또는 이의 조합으로서 구성될 수 있다.
도 4K는 전단부 로봇(108)을 향한 채 클러스터 툴(10)의 외부로부터 바라본 제 1 처리 래크(322)의 측면도를 도시하고 이는 도 2E에서 도시된 도면과 일치할 것이다. 이 실시예에서 도 4K에서 도시된 것처럼, 제 1 처리 래크(322)는 12개의 베이크/냉각 챔버(800)(BC1-12로 라벨되어 있음)를 포함하고, 이는 도 18A-B과 함께 이하에서 설명된다.
코우터/현상기 챔버
코우터/현상기 챔버(60)는 처리 챔버이고, 이는 도 3A-C에서 도시된, 예를 들어 BARC 코우트 단계(510), 포토레지스트 코우트 단계(520), 반사방지 상부 코우트 단계(530), 현상 단계(550), 및/또는 SAFIERTM 코우트 단계(551)를 수행하도록 이루어질 수 있다. 코우터/현상기 챔버(60)는 일반적으로 두 주요 형태의 챔버로 구성될 수 있는데, 이는 도 5A에서 도시된 코우터 챔버(60A) 및 도 5D에서 도시된 현상기 챔버(60B)이다(이하에서 설명됨).
도 5A는 코우터 챔버(60A)의 일 실시예의 단면도이고, 이는 BARC 코우트 단계(510), 포토레지스트 코우트 단계 및 반사방지 상부 코우트 단계(530)를 수행하도록 이루어질 수 있다. 코우터 챔버(60A)는 인클로저(1001), 가스 유동 분배 시스템(1040), 코우터 컵 어셈블리(1003), 및 유체 분배 시스템(1025)를 포함할 수 있다. 인클로저(1001)는 측벽(1001A), 베이스 벽(1001B), 및 상부 벽(1001C)을 일반적으로 포함한다. 또한, 기판 "W"이 처리되는 처리 영역(1004)을 포함하는 코우터 컵 어셈블리(1003)는 컵(1005), 회전 가능한 스핀 처크(1034) 및 리프트 어셈블리(1030)를 포함한다. 회전 가능한 스핀 처크(1034)는 일반적으로 스핀 처크(1033), 샤프트(1032) 및 회전 모터(1031), 및 진공 소스(1015)를 포함한다. 샤프트(1032)를 통한 회전 모터(1031)에 부착된 스핀 처크(1033)는, 기판이 회전하는 동안, 기판을 지지하도록 이루어진 밀봉 표면(1033A)을 포함한다. 기판은 진공 소스(1015)에 의해 생성된 진공을 이용하여 밀봉 표면(1033A)에 지지될 수 있다. 플라스틱 물질(예를 들어 PTFE, PFA, 폴리프로필렌, PVDF 등), 세라믹 물질, 플라스틱 물질로 코팅된 금속(예를 들어 PVDF, Halar 등으로 코팅된 알루미늄 또는 SST), 또는 다른 물질과 같은 물질로 제작된 컵(1005)은 유체 분배 시스템(1025)으로부터 전달되는 처리 유체와 호환 가능하다. 일 실시예에서, 회전 모터(1031)는 약 1 (분당 회전)(RPM) 내지 4000RPM으로 회전하도록 이루어진다.
리프트 어셈블리(1030)는 일반적으로 에어 실린더 또는 보조 전동기와 같은 작동기(미도시) 및 회전 가능한 스핀 처크(1034)를 원하는 위치로 높이고 낮추도록 이루어진 선형 볼 베어링 슬라이드와 같은 안내부(미도시)를 포함한다. 리프트 어셈블리(1030)는 처리 동안 회전 가능한 스핀 처크(1034) 상에 장착된 기판을 컵(1005)에 위치시키도록 이루어지고, 또한 컵(1005A)의 상부 위로 기판을 들어올려 인클로저(1001)의 외부에 위치한 외부 로봇(예를 들어 전단부 로봇(108), 중앙 로봇(107), 후방 로봇(109) 등(미도시))과 기판을 교환한다. 외부 로봇에 부착된 로봇 블레이드(611)는 측벽(1001A)에 형성된 접근 포트(1002)를 통해 인클로저(1001)로 들어간다.
가스 유동 분배 시스템(1040)은 인클로저(1001) 및 코우터 컵 어셈블리(1003)를 통해 배출 시스템(1012)으로 가스의 균일한 유동을 전달하도록 이루어진다. 일 실시예에서, 가스 유동 분배 시스템(1040)은 HEPA 필터 어셈블리이고 이는 일반적으로 HEPA 필터(1041) 및 필터 인클로저(1044)를 포함한다. HEPA 필터(1041) 및 필터 인클로저(1044)는 플레넘(1042)을 형성하고, 이는 가스가 가스 소스(1043)로부터 HEPA 필터(1041), 인클로저(1001) 및 코우터 컵 어셈블리(1003)를 통해 균일하게 유동하는 것을 가능하게 한다. 일 실시예에서, 가스 소스(1043)는 처리 영역(1004)에서 원하는 온도 및 습도로 가스(예를 들어 에어)를 전달하도록 이루어진다.
유체 분배 시스템(1025)은 일반적으로 하나 이상의 유체 소스 어셈블리(1023)를 포함하고, 이는 하나 이상의 용액을 스핀 처크(1033) 상에 장착된 기판의 표면에 전달한다. 도 5A는 단일의 유체 소스 어셈블리(1023)를 도시하고, 이는 방출 노즐(1024), 공급 튜브(1026), 펌프(1022), 필터(1021), 흡입 백 밸브(suck back valve, 1020), 유체 소스(1019)를 포함하는 단일의 유체 소스 어셈블리(1023)를 도시한다. 지지 아암 작동기(1028)는 방출 노즐(1024) 및 분배 아암(1027)을 원하는 위치로 이동시키도록 이루어지고 이에 의해 처리 유체가 방출 노즐(1024)로부터 기판의 표면 상의 원하는 위치로 분배될 수 있다. 처리 유체는 펌프(1022)를 이용하여 방출 노즐(1024)로 전달될 수 있다. 펌프(1022)는 유체 소스(1019)로부터 처리 유체를 제거하고 필터(1021), 흡입 백 밸브(1020) 및 방출 노즐(1024)를 통해 기판의 표면 상으로 처리 유체를 방출한다. 방출 노즐(1024)로부터 방출되는 처리 용액은 기판이 스핀 처크(1033)에 의해 회전하는 동안 기판 "W" 상에 분배될 수 있다. 흡입 백 밸브(1020)는, 기판의 표면 상에 원하지 않는 물질의 떨어짐을 막기 위해 처리 유체의 원하는 양이 기판 상에 분배된 후, 방출 노즐(1024)로부터 일정량의 용액이 다시 되돌아가도록 이루어진다. 분배 처리 용액은 기판의 에지 밖으로 회전되며 컵(1005)의 내벽에 의해 수집되고 배수관(1011)으로 돌려지며 궁극적으로 폐기물 수집 시스템(1010)으로 돌려진다.
포토레지스트 두께 제어 챔버
도 5B는, 예를 들어 BARC 코우트 단계(510), 포토레지스트 코우트 단계 및 반사방지 상부 코우트 단계(530)를 수행하도록 이루어질 수 있는 코우터 챔버(60A)의 다른 실시예의 측면도이다. 도 5B에서 도시된 실시예는 하나 이상의 증착 단계 동안 기판 주위로 인클로저를 형성하도록 이루어지고, 이에 의해 기판 표면 상에 증착된 물질의 표면으로부터 용매의 증발을 제어하며, 이로써 두께 균일성 공정 결과를 향상시킨다. 전통적으로, 일반적인 스핀 온 형태의 코팅 공정에서의 두께 균일성 제어는 기판의 회전 속도 및 배출 유동 속도의 제어에 의존하고, 이에 의해 최종 증착된 층의 균일성의 증발을 제어한다. 두께 균일성의 제어는 처리 단계 동안 기판 표면을 가로지르는 에어 유동에 의존한다. 처리 동안 회전 속도는, 코우터 챔버(60A)에서 처리되는 기판의 지름이 기판의 표면에 걸친 공기 역학상의 변화의 증가된 가능성에 의해 증가됨에 따라(예를 들어 층류로부터 난류로의 전이), 일반적으로 낮아진다. 공기 역학상의 변화는, 기판 표면과의 상호 작용으로부터의 에어로 전해지는 모멘텀에 의한 "펌핑 효과"에 의한 기판 반경의 함수로서 에어 속도의 변화에 의해 일어난다. 발생하는 한가지 문제는, 코우트 단계를 완료하는데 걸리는 시간이 얇은 포토레지스트 층으로부터 용매의 필요한 양을 제거하고 흩뜨리는 능력에 의존한다는 점이고, 이는 기판의 회전 속도의 함수이다. 회전 속도가 높아질수록 처리 속도는 짧아진다. 따라서, 일 실시예에서, 인클로저는 기판 주위에 위치하여 기판 표면 주위의 환경을 제어하고, 이에 의해 큰 기판 크기에 대한 두께 균일성 제어를 향상시킨다. 향상된 균일성 제어는 용매의 증발의 제어에 의한 것으로 믿어지고, 이는 기판 주위에 형성된 인클로저가 기판 표면에 걸쳐 가스 유동을 금지시키는 경향이 있기 때문이며, 상당한 양의 용매가 포토레지스트로부터 증발하기 전에 포토레지스트가 흩어지게 한다.
이러한 실시예에서 코우터 챔버(60A)는, 인클로저(1001), 가스 유동 분배 시스템(1040), 코우터 컵 어셈블리(1003), 처리 인클로저 어셈블리(1050), 및 유체 분배 시스템(1025)을 일반적으로 포함한다. 도 5B에서 도시된 실시예는, 도 5A에서 설명된 코우터 챔버(60A)와 관련하여 상기에서 설명된 다수의 구성요소를 포함하고, 도면 부호는 명확성을 위해 도 5B에서 동일하거나 유사한 구성요소에 대해 동일한 번호를 다시 이용하였다. 이 실시예에서, 도 5A에서 도시된 스핀 처크(1056)가 인클로저 코우터 처크(1056)에 의해 대체되었고, 이는 그 위에 기판이 놓이는 인클로저 코우터 처크 밀봉면(1056A) 및 처크 베이스 영역(1056)을 가진다.
도 5B는 처리 영역의 처리 인클로저 어셈블리(1050)를 도시한다. "교환 위치"(미도시)에서, 인클로저 뚜껑(1052)은 처크 베이스 영역(1056B)으로부터 분리되고 이에 의해 기판은 외부 로봇(예를 들어 전단부 로봇(108), 중앙 로봇(107) 등)에 부착된 로봇 블레이드(611)를 이용하여 인클로저 코우터 처크(1056)로 이동될 수 있다. 처리 인클로저 어셈블리(1050)는 인클로저 뚜껑(1052) 및 처크 베이스 영역(1056B)을 포함하고, 이에 의해 환경은 코팅 공정의 서로 다른 단계 동안 제어될 수 있다. 처리 인클로저 어셈블리(1050)는 일반적으로 인클로저 뚜껑(1052), 스핀 처크(1033), 회전 어셈블리(1055), 및 리프트 어셈블리(1054)를 포함한다. 리프트 어셈블리(1054)는 일반적으로 리프트 작동기(1054A) 및 리프트 장착 브래킷(1053)을 포함하고 이는 인클로저(1001)의 표면 및 회전 어셈블리(1055)에 부착될 수 있다. 리프트 작동기(1054A)는, 에어 실린더 또는 DC 보조모터와 같은 작동기(미도시), 및 선형 볼 베어링 슬라이드와 같은 안내부(미도시)를 일반적으로 포함하고, 이들은 스핀 처크(1033)를 제외하고 처리 인클로저 어셈블리(1050)에 포함 된 모든 구성요소를 높이고 낮추도록 이루어진다.
회전 어셈블리(1055)는 일반적으로 하나 이상의 회전 베어링(미도시) 및 하우징(1055A)을 포함하고, 이는 인클로저 코우터 처크(1056)가 회전함에 따라 인클로저 뚜껑(1052)이 회전되도록 이루어진다. 일 실시예에서, 하우징(1055A)은 스핀 처크(1033)가 회전 모터에 의해 회전함에 따라 회전되고, 이는 인클로저 뚜껑(1052) 및 처크 베이스 영역(1056B) 사이의 접촉에 의해 만들어진 마찰에 의한 것이다. 인클로저 뚜껑(1052)은 뚜껑 샤프트(1052A)를 통해 회전 베어링에 부착된다. 일 실시예에서, 인클로저 뚜껑(1052) 및 처크 베이스 영역(1056B) 사이의 접촉은, 리프트 어셈블리(1030), 리프트 어셈블리(1054)의 이동에 의해 또는 두 리프트 어셈블리 모두가 함께 이동함에 의해 시작된다.
일 실시예에서, 인클로저 뚜껑(1052) 및 처크 베이스 영역(1056B)이 접촉할 때, 시일이 형성되고, 이에 의해 기판 주위에 둘러싸인 처리 환경을 만든다. 일 실시예에서, 처리 영역(1051)의 부피는 기판의 표면 상의 포토레지스트로부터 용매의 증발을 제어하도록 다소 작게 되는 경향이 있는데, 예를 들면 기판에 대한 처크 베이스 영역(1056B) 및/또는 인클로저 뚜껑(1052) 사이의 갭은 약 3mm일 수 있다.
일 실시예에서, 포토레지스트 물질은 뚜껑 샤프트(1052A)의 틈새 홀(미도시)에서 튜브(미도시)를 통해 처리 영역(1051)으로 전달되고, 인클로저 뚜껑(1052) 및 처크 베이스 영역(1056B)은 접촉하고 있으며 기판은 제 1 회전 속도로 회전된다. 이 단계에서, 포토레지스트는 회전에 기인한 원심력 효과에 의해 퍼져나가는 경향 이 있을 것이나, 성질을 변화시키는 포토레지스트의 능력은 기판의 표면에 걸친 용매가 풍부한 증기의 형성에 의해 제한된다. 포토레지스트를 분배한 후, 인클로저 뚜껑(1052) 및 인클로저 코우터 처크(1056)은, 포토레지스트가 원하는 두께로 얇아질 때까지 제 2 회전 속도로 회전될 수 있고, 원하는 두께가 되었을 때 인클로저 뚜껑(1052)은 인클로저 코우터 처크(1056)의 표면으로부터 위로 올려지고 이에 의해 포토레지스트에 잔존하는 용매가 빠져나가서 최종 용매 증발 공정이 완료되게 한다.
다른 실시예에서, 포토레지스트는 종래 사출(extrusion) 분배 공정(예를 들어 정지한 기판에 걸쳐 포토레지스트 분배 아암(미도시)으로 지나감)을 이용하여 분배되고, 이후 기판은 공정 인클로저 어셈블리(1050)에서 둘러싸이며 원하는 속도로 회전하여 원하는 두께의 균일한 층을 얻는다. 원하는 두께가 얻어진 후, 인클로저 뚜껑(1052)은 인클로저 코우터 처크(1056)로부터 분리되고 이에 의해 포토레지스트로부터 용매의 완전한 증발이 가능하게 된다.
인클로저 뚜껑(1052)의 일 실시예에서, 다수의 홀(1052B)이 인클로저 뚜껑(1052)의 외부벽에 형성되고 이에 의해 과도한 포토레지스트가 처리 동안 처리 영역(1051)을 빠져나가게 한다. 이러한 구성에서, 기판 표면에 걸친 에어 유동은 여전히 유동 에어를 위한 입구 및/또는 출구 지점의 결핍으로 금지되거나 최소화된다. 이러한 구성에서, 에어 및 포토레지스트를 홀(1052B) 밖으로 유동하게 하는, 에어 및 포토레지스트 상에 작용하는 원심력에 의해, 처리 영역(1051)의 압력은 주위 압력 아래로 떨어질 것이다. 일 실시예에서 처리 영역의 압력은 공정의 서로 다른 단게 동안 변할 수 있고, 이로써 기판, 인클로저 뚜껑(1052) 및 인클로저 코우터 처크의 회전 속도를 변화시킴에 의해 포토레지스트의 증발을 제어한다.
일 실시에에서, 용매가 풍부한 증기는 공정 동안 뚜껑 샤프트(1052A)이 홀을 통해 처리 영역(1051)으로 주입되고, 이에 의해 포토레지스트 층의 균일성 및 최종 두께를 제어한다.
용매/현상기 분배를 위한 샤워헤드 유체 분배 시스템
기판 표면 상에 균일하고 반복 가능한 포토레지스트 층을 얻기 위한 노력에서, 종래 기술 설계는 코우터 챔버 컵 결합 구조의 설계, 기판을 회전시키는 방법, 기판의 처리 영역을 통한 에어 유동의 변경, 및 포토레지스트 층을 분배하는 공정을 향상시키는 포토레지스트 분배 하드웨어의 설계를 강조하였다. 이 설계는 다양한 수준의 복잡성 및 비용으로 일정한 수준의 균일성을 얻는다. CoO를 감소할 필요 및 증가하는 공정 균일성 요구에 의해, 추가적인 개선이 요구된다.
도 5C는 코우터/현상기 챔버(60)의 일 실시예를 도시하고, 이는 유체를 코팅 공정 동안 기판 표면으로 전달하여 공정 균일성 결과를 향상시키도록 이루어진 유체 분배 기구(1070)를 포함한다. 본 발명의 일 태양에서, 유체는 포토레지스트 층에서 발견되는 용매이고, 이에 의해 증발 공정이 제어될 수 있다. 이러한 구성에서, 유체 분배 기구(1070)는 리프트 어셈블리(1074)를 이용하여 기판 표면에 대해 올려지고 내려질 수 있으며, 이에 의해 유체 분배 기구(1070) 및 기판 표면 사이의 최적 갭이 얻어질 수 있고, 이로써 증착된 층의 표면이 분배된 유체로 균일하게 포 화될 수 있다. 일 실시예에서, 갭은 약 0.5mm 내지 15mm 이다. 리프트 어셈블리(1074)는 일반적으로 리프트 작동기(1074A) 및 리프트 장착 브래킷(1073)을 포함하고, 이는 샤워헤드 어셈블리(1075) 및 인클로저(1001)의 표면에 부착될 수 있다. 리프트 작동기(1074A)는 일반적으로 에어 실린더 또는 DC 보조 모터와 같은 작동기(미도시)와 선형 볼 베어링 슬라이드와 같은 안내부(미도시)를 포함하고, 이는 유체 분배 기구(1070)에 포함된 모든 구성요소를 올리고 내리도록 이루어진다.
도 5C는 처리 위치에서의 유체 분배 기구(1070)를 도시한다. 유체 분배 기구(1070)는 샤워헤드 어셈블리(1075)를 포함하고, 이는 기판 및 유체 분배 기구(1070) 사이에 처리 영역(1071)을 형성하고, 이에 의해 처리 환경은 서로 다른 단계의 코팅 공정 동안 제어될 수 있다. 유체 분배 기구(1070)는 샤워헤드 어셈블리(1075), 유체 소스(1077) 및 리프트 어셈블리(1074)를 일반적으로 포함한다.
샤워헤드 어셈블리(1075)는 일반적으로 샤워헤드 베이스(1072), 샤프트(1072A) 및 샤워헤드 플레이트(1072D)를 포함한다. 샤프트(1072A)는 샤워헤드 베이스(1072)에 부착되고 샤프트에 형성된 중앙 홀(1072B)을 가지며, 이는 유체 소스(1077)로부터 전달된 유체가 샤워헤드 베이스(1072) 내에 형성된 플레넘(1072C)으로 유동하도록 한다. 샤워헤드 베이스(1072)에 부착된 샤워헤드 플레이트(1027D)는 그 안에 형성된 다수 개의 홀(1072F)을 포함하며 이는 플레넘(1072C) 및 유체 소스(0177)를 샤워헤드 플레이트(1072D)의 하부면(1072E)에 연결시킨다. 공정 동안, 처리 유체는 유체 소스(1077)로부터 중앙 홀(1072B)로 분배되고, 이는 플레넘(1072C)으로 들어가며 이후 다수의 홀(1072F)을 통해 기판 및 하부면(1072E) 사이에 형성된 처리 영역(1071)으로 유동한다. 일 실시예에서, 샤워헤드 플레이트(1072D)에 걸친 다수 개의 홀(1072F)의 분배, 홀의 숫자 및 홀 크기가 처리 영역(1071)으로 처리 유체를 균일하게 전달하도록 설계된다. 다른 실시예에서, 샤워헤드 플레이트(1072D)에 걸친 다수 개의 홀(1072F)의 분배, 홀의 숫자 및 홀 크기는 샤워헤드 플레이트(1072D)에 걸쳐 불균일한 간격으로 있고, 이에 의해 처리 영역(1071)으로의 원하는 불균일한 처리 유체의 분배를 전달한다. 불균일한 패턴은 공기 역학적 또는 다른 효과에 의한 두께 변화를 수정하는데 유용할 수 있고, 이는 증착된 포토레지스트 층에서 두께 변화를 일으킬 수 있다.
일 실시예에서, 샤워헤드 어셈블리(1075)는 모터(1072G) 및 회전 시일(1072H)을 포함하고, 이는 공정 동안 샤워헤드 어셈블리(1075)로 처리 유체를 전달시키고 회전시키도록 이루어진다. 회전 시일(1072H)은 동적 립(lip) 시일이거나 이 기술분야에서 알려진 다른 유사한 기구일 수 있다.
포토레지스트 노즐 린스 시스템
도 6A-B는 상기에서 설명된 유체 소스 어셈블리(1023)의 일 실시예를 도시하는 등축도이고, 이는 캡슐화 용기(encapsulating vessel, 1096)를 포함한다. 방출 노즐(1024)의 오염의 가능성을 줄이기 위해, 한가한 시간 동안 또는 공정 단계들 사이에 유체 소스 어셈블리(1023)의 다양한 구성요소들(예를 들어 방출 노즐(1024), 공급 튜브 출구(1026A), 등)을 정화하는 것, 및/또는 공급 튜브(1026)의 처리 유체가 마르는 것을 막으려는 것이, 주위 영역(1099)에서 제어된 영역을 형성 하도록 용기 개구(1095A)(도 6A를 보라)에 걸쳐 위치한다. 이 구성은, 포토레지스트와 같은 처리 유체가 이용되는 경우에 유리할 수 있는데, 이는 방출 노즐(1024)이 이후의 처리 단계에서 기판 표면에 걸쳐 있게 되면서 처리 유체는 쉽게 건조되고 박편이 되어 입자 문제를 일으킬 수 있기 때문이다. 일 실시예에서, 도 6A-B에서 도시된 것처럼, 방출 노즐(1024)은 노즐 바디(1024A)를 포함하고, 이는 공급 튜브(1026)를 붙잡고 지지하도록 구성되며, 처리 유체는 공급 튜브 출구(1026A)를 통해 깨끗하게 그리고 반복적으로 분배될 수 있다.
도 6A는 방출 노즐(1024)이 캡슐화 용기 어셈블리(1096)로부터 분리된 구성을 도시하고, 이에 의해 기판 표면 상에 처리 유체를 분배하도록 회전할 수 있다. 캡슐화 용기 어셈블리(1096)는 일반적으로 하나 이상의 린스 노즐(1090), 용기(1095), 배수관(1094), 및 용기 개구(1095A)를 포함한다. 튜빙(1090A)에 연결된 린스 노즐(1090)은 하나 이상의 전달 소스(1093)(두 개가 도 6A-B에서 항목1093A-B로 나타남)와 소통한다. 배수관(1094)은 폐기물 수집 시스템(1094A)에 일반적으로 연결된다.
도 6B를 참고하면, 공정 동안 기판의 오염을 줄이기 위한 노력으로, 방출 노즐(1024) 및 공급 튜브 출구(1026A)가 하나 이상의 린스 노즐(1090)을 이용하여 정화되고, 이 린스 노즐은 노즐로 하나 이상의 정화 용액을 전달할 수 있는 유체 전달 소스(1093)에 부착된다. 일 실시예에서, 정화 용액은 용매이고 이는 분배 공정 완료 후 포토레지스트 잔존물을 제거할 수 있다. 노즐의 숫자와 방향은, 방출 노즐(1024) 및 공급 튜브 출구(1026A)가 정화되도록 배열될 수 있다. 정화 후 용 기(1095)의 주위 영역(1099)에 보유된 잔존 증기는 공급 튜브(1026)에 보유된 처리 유체(들)이 마르는 것을 막는데 유용할 수도 있다.
포토레지스트 온도 제어 이용의 요지
균일하고 반복 가능한 코팅 공정을 보장하기 위해, 분배된 포토레지스트 온도는, 물성 및 공정 결과가 분배된 포토레지스트의 온도에 의해 크게 영향받을 수 있기 때문에, 때때로 엄격하게 제어된다. 최적의 분배 온도는 포토레지스트에 다라 변할 수 있다. 따라서, 코우터 챔버(60A)가 서로 다른 포토레지스트 물질을 함유한 서로 다른 공정 방법을 수행하는 다중 유체 소스 어셈블리(1023)를 포함할 수 있기 때문에, 유체 소스 어셈블리(1023)의 온도는 각각 독립적으로 제어될 필요가 있으며 이에 의해 바라는 공정 결과가 일관적으로 얻어지는 것을 보장한다. 본 발명의 실시예는 포토레지스트가 코우트 또는 현상 공정 동안 기판 표면 상에 분배되기 이전에 포토레지스트의 온도를 제어하기 위한 다양한 하드웨어 및 방법을 제공한다.
일 실시예에서, 도 6A 및 6B에서 도시된 것처럼, 방출 노즐(1024)은 열교환 기구(1097)를 포함하고, 이는 노즐 바디(1024A), 공급 튜브(1026), 및 공급 튜브(1026)에 포함된 처리 유체를 가열하고 및/또는 냉각시키도록 이루어진다. 일 실시예에서, 열 교환 기구는 저항성 히터이고, 이는 처리 유체의 온도를 제어하도록 이루어진다. 다른 실시예에서, 열 교환 기구(1097)는 유체 열 교환기이고, 이는 유체 온도 제어 기구(미도시)를 이용하여 처리 유체의 온도를 제어하도록 이루 어지고, 이는 작업 유체가 유체 열교환기를 통해 유동하도록 하며, 이로써 처리 유체의 온도르 제어한다. 다른 실시예에서, 열 교환 기구는 열전기적 기구이고 이는 처리 유체를 가열하거나 또는 냉각하도록 이루어진다. 도 6A 및 6B가 노즐 바디(1024A)와 소통하는 열 교환 기구(1097)를 도시하지만, 본 발명의 다른 실시예는 열 교환 기구(1097)가 공급 튜브(1026) 및/또는 노즐 바디(1024A)와 접촉하는 구성을 포함할 수 있으며, 이에 의해 효과적으로 처리 유체의 온도를 제어한다. 일 실시예에서, 공급 튜브(1026)의 길이는, 공급 튜브 내부 부피(1026B)에 보유된 분배된 처리 유체의 모든 부피가 다음 공정 단계 동안 기판의 표면 상에 분배될 것을 보장하는 제 2 열 교환기(1097A)를 이용함에 의해 제어된 온도는 바라는 온도에 있다. 제 2 열교환기(1097A)는 상기에서 설명된 것처럼, 전기적 히터, 열전기적 기구 및/또는 유체 열교환 기구일 수 있다.
일 실시예에서, 캡슐화 용기 어셈블리(1096)는 공급 튜브(1026)의 처리 유체 및 노즐 바디(1024A)의 온도가 방출 노즐이 용기 개구(1095A)에 걸쳐 위치할 때(도 6B를 보라) 일정한 온도에서 유지되는 것을 보장하도록 온도 제어된다. 도 6A-B를 참고하면, 용기(1095)는 용기 열 교환 기구(1098)를 이용함에 의해 가열되거나 또는 냉각될 수 있고, 이러한 기구는 용기(1095)의 벽에 부착된다. 용기 열 교환 기구(1098)는 상기에서 설명된 것처럼, 전기적 히터, 열전기적 기구 및/또는 유체 열 교호나 기구일 수 있고, 이는 시스템 제어기(101)와 함께 용기(1095)의 온도를 제어하는데 이용된다.
일 실시예에서, 린스 노즐(1090)의 온도 및 튜빙(1090A)에 연결은 방출 노 즐(1024) 및 공급 튜브 출구(1026A) 상에 스프레이된 정화 용액이 원하는 온도에 있어 공급 튜브(1026)의 처리 유체가 정화 공정 동안 가열되거나 냉각되지 않는 것을 보장하도록 온도 제어된다.
코우터 노즐 배치 시스템
균일하고 반복 가능한 공정 결과를 보장하기 위해, 포토레지스트 물질이 기판 표면 상에 분배되는 위치는 엄격하게 제어되는 것이 바람직하다. 증착된 포토레지스트 층의 균일성은 포토레지스트가 분배되는 기판 표면 상의 위치에 영향을 받을 수 있다. 따라서, 분배 아암(1027) 위치가 때때로 값비싼 지지 아암 작동기(1028)를 이용하여 정확하게 제어되는 것이 일반적이고, 이 작동기는 정밀하게 방출 노즐(1024)을 위치시킬 수 있다. 야기되는 문제점은, 코우터 챔버(60A)가 다수의 서로 다른 포토레지스트 물질을 분배하기 위해 다수의 방출 노즐(1024)을 갖는 것이 일반적이라는 것이고, 이는 다수의 분배 아암(1027)을 정확하게 똔ㄴ 정밀하게 제어할 필요에 의해 코우터 챔버(60A)의 비용 및 복잡성을 크게 증가시킨다. 따라서, 본 발명의 다양한 실시예는 단일의 분배 아암(1027)을 이용하는 장치 및 방법을 제공하고, 이는, 조정하고 정확하게 제어하기 위한 오직 하나의 아암이 있기 때문에, 쉽게 조정될 수 있다. 이러한 구성에서 다양한 유체 소스 어셈블리(1023)에서 발견되는 다수의 방출 노즐(1024)은 셔틀 어셈블리(1180)를 이용하여 단일의 분배 아암(1192)과 교환된다(도 7A). 일 실시예에서, 분배 아암(1192)은 1의 자유도(예를 들어 단일의 선형 방향 (z-방향))만이 제어될 필요가 있도록 이루 어진다. 이러한 구성은 방출 노즐(1024) 위치의 더욱 정확하고 반복 가능한 제어를 가능하게 하고, 아암 복잡성, 시스템 비용, 가능한 기판 스크랩(scrap), 및 조정의 필요를 감소시킨다.
도 7A는 코우터 챔버(60A)에서 알려진 분배 아암 시스템(1170)의 일 실시예의 평면도이고, 이는 단일의 자유도를 갖는 분배 아암(1192)을 이용한다. 이러한 구성에서, 분배 아암 시스템(1170)은 일반적으로 분배 아암 어셈블리(1190), 셔틀 어셈블리(1180), 및 캐리어 어셈블리(1160)를 포함할 것이다. 분배 아암 어셈블리(1190)는 분배 아암(1192), 분배 아암(1192) 상에 또는 그 안에 형성된 노즐 장착 위치(1193), 및 작동기(1191)를 일반적으로 포함한다. 일 실시예에서, 노즐 보유 피쳐(1194)는, 셔틀 어셈블리(1180)에 의해 노즐 장착 위치(1193) 상에 증착될 때 방출 노즐(1024)을 붙잡도록 이루어진다. 노즐 보유 피쳐(1194)는 스프링 하중을 받는 또는 공압적으로 작동되는 기구일 수 있고, 이는 방출 노즐 상의 피쳐를 붙잡거나 또는 맞물린다. 작동기(1191)는, 예를 들면 분배 아암(1192)를 높이고 낮출 수 있는 에어 실린더 또는 다른 기구이다. 일 실시예에서, 작동기(1191)는 선형 안내부(미도시)를 포함하고, 이는 위치가 이동됨에 따라 분배 아암(1192)의 위치 또는 이동을 제어하는 것을 돕는다.
캐리어 어셈블리(1160)는, 노즐 지지대(1161), 방출 노즐(1024) 및 공급 튜브(1026)를 포함하는 둘 이상의 유체 소스 어셈블리(1023)(6개의 방출 노즐(1024) 및 유체 소스 어셈블리(1023)이 도시됨) 및 회전 작동기(미도시)를 일반적으로 포함한다. 회전 작동기는 노즐 지지대(1161) 및 방출 노즐(1024)과 이와 연관된 공 급 튜브(1026)의 모두를, 시스템 제어기(101)로부터의 명령을 이용하여, 원하는 위치로 회전시키도록 이루어진다.
셔틀 어셈블리(1180)는 캐리어 어셈블리(1160)로부터 방출 노즐(1024)을 잡고 이후 회전시켜 방출 노즐(1024)을 분배 아암(1192) 상의 노즐 장착 위치(1193)로 이동시킨다. 셔틀 어셈블리(1180)는 작동기 어셈블리(1181), 셔틀 아암(1182) 및 노즐 전달 피쳐(1183)를 일반적으로 포함한다. 노즐 전달 피쳐(1183)는 방출 노즐(1024)을 붙잡거나 맞물리도록 이루어지고, 이에 의해 이는 캐리어 가스로부터 제거되어 노즐 장착 위치(1193)로 그리고 이후 공정이 완료된 후 노즐 장착 위치(1193)로부터 캐리어 어셈블리(1160)로 되돌아오도록 이루어진다. 작동기 어셈블리(1181)는 셔틀 어셈블리(1180)를 높이고 낮추며 셔틀 아암(1182)을 원하는 위치로 회전시키도록 이루어진 하나 이상의 작동기를 일반적으로 포함한다. 작동기 어셈블리(1181)는 예를 들면 리프팅 작업을 완료하기 위해 하나 이상의 이하의 기구들을 포함할 수 있다: 에어 실린더, 리드 스크류(lead screw)에 부착된 DC 보조 모터, DC 보조 선형 모터. 작동기 어셈블리(1181)는 회전 작업을 완료하기 위해 이하의 기구 중 하나 이상을 포함할 수도 있다: 에어 실린더, 스텝퍼 모터 또는 DC 보조 모터.
작동시 셔틀 아암(1182)은 원 위치(도 7A에서 "A"항목을 보라)로부터 캐리어 어셈블리(1160) 위의 위치로 회전하고 이후 노즐 픽업 위치(미도시)에 이를때까지 수직으로 이동한다. 이후 캐리어 어셈블리(1160)는 회전하고("B"항목을 보라), 방출 노즐(1024)은 노즐 전달 피쳐(1183)와 맞물린다. 이후 셔틀 아암(1182)은 수직 으로 이동하여 캐리어 어셈블리(1160)로부터 방출 노즐(1024)을 분리시키고 이후 방출 노즐(1024)이 분배 아암(1192)의 노즐 장착 위치(1193) 위에 위치할 때까지 회전한다. 셔틀 아암(1182)은 방출 노즐(1024)을 노즐 장착 위치(1193) 상에 증착시킬 때까지 수직으로 이동한다. 셔틀 아암(1182)은 이후 수직으로 이동하고 이후 원 위치("A"항목을 보라)로 되돌아오도록 회전한다. 분배 아암 어셈블리(1190)의 작동기(1191)는 방출 노즐을 기판("W"항목을 보라)의 표면 위의 원하는 위치로 이동시키고, 이에 의해 기판 처리 단계가 시작될 수 있다. 방출 노즐(1024)을 제거하기 위해, 단계들은 역으로 진행된다.
도 7B는 분배 아암 시스템(1170)의 다른 실시예를 도시하고, 이 경우 분배 아암 어셈블리(1190)는 회전 자유도 또는 단일의 선형 자유도(x-방향), 및 수직 자유도(z-방향)와 같은 2의 자유도를 갖는다. 도 7A에서 도시된 실시예의 일부였던 분배 아암 어셈블리(1190)는 도 7B에서 도시된 분배 아암 시스템(1170)의 일부가 아니고, 따라서 코우터 챔버(60A)의 복잡성이 감소된다. 일 실시예에서, 노즐 보유 피쳐(1184)는 방출 노즐이 노즐 전달 피쳐(1183)에 위치할 때 방출 노즐(1024)을 붙잡거나 보유하도록 이루어진다. 또한, 도 7B는 방출 노즐(1024)을 지지하고 전달하는데 이용될 수 있는 노즐 보유 피쳐(1184)의 다른 가능한 구성을 도시한다. 작동시, 셔틀 아암(1182)은 원 위치(도 7B에서 "A"항목을 보라)로부터 캐리어 어셈블리(1160) 위의 위치로 회전하고, 이후 노즐 픽업 위치(미도시)에 이를때까지 수직으로 이동한다. 이후 캐리어 어셈블리(1160)는 회전하고("B"항목을 보라), 방출 노즐(1024)은 노즐 전달 피쳐(1183)와 맞물린다. 이후 셔틀 아암(1182)은 수직으 로 이동하여 캐리어 어셈블리(1160)로부터 방출 노즐(1024)을 분리시키고 이후 방출 노즐(1024)이 분배 아암(1192)의 노즐 장착 위치(1193) 위에 위치할 때까지 회전한다. 셔틀 아암(1182)은 방출 노즐(1024)이 기판 표면 상의 원하는 위치 위에 위치할 때까지 수직으로 이동한다. 셔틀 아암(1182)은 방출 노즐이 기판("W"항목을 보라)의 표면 위의 원하는 위치에 이를때까지 수직으로 이동하고, 이에 의해 기판 처리 단계가 시작될 수 있다. 방출 노즐(1024)을 제거하기 위해, 단계들은 역으로 진행된다.
일 실시예에서, 캐리어 어셈블리(1160)는 다수의 캡슐화 용기 어셈블리(1096)를 포함할 수 있고(도 7A-B에서는 미도시(도 6A-B를 보라)), 이는 공급 튜브(1026)의 처리 유체 및 노즐 바디(1024A)의 온도가, 이들이 셔틀 어셈블리(1180)로 이동되어 기판 표면 상에 위치할 때까지 기다리는 동안, 일정한 온도에서 유지되는 것을 보장하도록 온도 제어된다.
현상기 챔버
현상기 챔버(60B)의 일 실시예의 측면도인, 도 5D를 참고하면, 이는 예를 들어 현상 단계(550) 및 SAFIERTM 코우트 단계(551)를 수행하도록 이루어질 수 있다. 일 실시예에서, 현상기 챔버(60B)는 코우터 챔버(60A)에 포함된 모든 구성요소와 현상기 챔버(60B)와 관련하여 설명된 것과 동일하거나 유사한 현상기 챔버(60B)의 구성요소(동일한 참조번호를 가짐)를 일반적으로 포함한다. 따라서, 적절하게 유 사한 참조 번호가 이용되었다.
일 실시예에서, 현상기 챔버(60B)는 상기에서 설명된 유체 분배 기구(1070)를 포함하고, 이는 현상 공정 동안 기판의 표면으로 현상기 처리 유체의 균일한 유동을 전달하도록 이루어진다. 일 실시예에서, 홀 크기, 홀의 숫자 및 다수의 홀(1072F)의 분배는, 유체 분배 기구(1070)의 바닥면 및 기판 사이에 형성된 처리 영역(1071)으로 현상기 처리 유체를 균일하게 전달하도록 설계된다. 다른 실시예에서, 홀 크기, 홀의 숫자 및 다수의 홀(1072F)의 분배는, 유체 분배 기구(1070)의 바닥면 및 기판 사이에 형성된 처리 영역(1071)으로 현상기 처리 유체의 불균일한 분배를 전달하도록 설계된다.
현상기 종료점 검출 메커니즘
도 8A는 현상기 챔버(60B)의 일 실시예의 측면도이고, 이는 현상기 종료점 검출 어셈블리(1400)를 포함한다. 현상기 종료점 검출 어셈블리(1400)는 레이저 및 하나 이상의 검출기를 이용하여 스캐터로메트리(scatterometry) 형태의 기술을 수행하고, 이에 의해 현상 단계(550)의 종료점을 결정한다. 일 실시예에서, 레이저(1401)로부터의 비임 또는 방출된 복사("A"를 보라)의 단일 파장은, 그 위에 노광된 포토레지스트 층을 갖는 기판 표면 상에 기판의 표면에 수직한 각보다 작은 각도로 충돌한다. 비임("A")은 기판 표면으로부터 반사되고 반사된 복사("B")의 강도는 검출기(1410)에 의해 검출된다. 일 실시예에서, 검출기(1410)는 표면으로부터 주요 반사를 수용하도록 배향되고 따라서 입사 비임과 정렬된다(예를 들어 표 면에 대해 동일한 각도 및 동일한 방향). 노광 단계(538) 동안 포토레지스트에 형성된 패턴 및 충돌 비임 사이의 간섭에 의해, 검출된 복사의 강도는 현상 단계(550)가 진행됨에 따라 변할 것이다. 반사된 복사의 강도에서의 변화는 현상기가 현상 단계(550) 동안 포토레지스트의 용해 가능한 부분을 용해시킬 때 만들어지고, 이는 "격자(grating)" 형태 패턴을 일으켜 충돌 비임과 더욱 간섭을 증가시킨다. 따라서, 포토레지스트 패턴과의 간섭은 충돌 비임의 분산(scattering)을 일으키고, 이는 검출되는 주요 반사의 감소를 일으킨다. 일 실시예에서, 종료점은 검출기(1410)에 의해 측정된 반사된 강도에서의 변화가 징후 없이 0에 가까이 다가갈 때 검출된다.
그 위에 레이저(1401)로부터 방출된 비임이 투사되는 기판 표면 상의 구역은 검출 구역으로 정의된다. 일 실시예에서, 검출 구역의 크기는 변하거나 제어되고, 이에 의해 검출된 신호에 함유된 노이즈의 양은 최소화된다. 검출된 신호에서의 노이즈는 공정 동안 검출 구역에 의해 보이는 패턴 지형에서의 변화에 의해 생성될 수 있다.
일 실시예에서, 조정 가능한 레이저는 단일 파장 레이저 대신에 이용되고, 이로써 현상 공정이 진행됨에 따라 포토레지스트 패턴의 날카로움의 변화를 더욱 쉽게 검출한다. 간섭의 양은 입사 복사의 파장 및 형성된 "격자"의 크기에 의존할 것이다. 다른 실시예에서, 분산된 복사의 양 및 주요 반사를 검출할 수 있는 다수의 검출기(1410-1412를 보라)는 현상 종료점을 결정하는 것을 돕는다. 다른 실시예에서, CCD(전하 결합 기구) 배열은 반사된 복사의 강도에서의 변화 및 분산을 모 니터하는데 이용된다. 일 실시예에서, 공정 동안 기판 표면 상에 보유된 처리 유체로부터 방출된 복사의 반사로부터 생성되는 노이즈를 막기 위해, 슬릿은 반사가 검출기에 도달하는 것을 막는데 이용될 수 있다.
일반적으로 기판의 표면 상에 패턴이 이미 존재하는 경우에, 제품 기판을 위해, 도 8B에서 도시된 단계가 이용될 수 있다. 공정 단계는, 현상 단계(550)를 수행하기 이전에 분산된 복사의 최초 강도를 측정하는 단계를 포함한다(1480). 이후 강도는 현상 공정 동안 측정되고 최초 데이터와 비교되며, 이에 의해 기판 표면 상에 존재하는 패턴으로부터의 기여도가 나타난다(1482). 이 방법은 포토레지스트 프로파일이 바람직한 경우에만 필요할 수 있다. 현상 공정 기간에 걸친 강도 변화가 모두 원하는 대로라면, 단일 파장의 이용이 필요한 모든 것이고 밑에 있는 분산에 관한 정보는 일반적으로 필요하지 않다.
패턴의 자세한 지식이 필요하다면, 현상기 표면에서 가능하게 변화 가능한 굴절에 대한 적극적 수정(도 8C에서 1484)이 필요하다. 적극적 수정(active coreection)은 외부 진동에 의해 현상기 유체 표면에서의 변화를 조정하고, 각에서의 변화를 보상할 위치를 조정하는 다수의 작은 거울(1425-27)로 작동한다. 도 8C는 하나의 이러한 거울을 도시하고, 입사 비임("A")의 굴절의 변화의 정보가 수직 비임("C")으로부터의 입력을 통해 얻어지고 이들도 또한 도시되어 있다. 특히, 현상기 유체의 표면이 평평함 및 수준으로부터 순간적으로 벗어남에 따라, 레이저(1451)로부터의 레이저 비임("C")의 수직 반사가 빔 스플리터(splitter, 1452)를 이용하여 검출기(1453)에서 검출된다. 이러한 구성에서, 검출기(1453)는 CCD 배열 일 수 있고, 이는 각의 변화에 의해 반사된 비임의 각각의 변화를 감지할 수 있고, 이 각으로 비임("C")은 현상기 유체의 표면을 충돌한다. CCD 배열과 함께 시스템 제어기(101)는 CCD 배열 상의 피크 강도의 위치의 변화를 검출할 수 있고 따라서 얼마나 많은 반사각이 변하였는지 알게 되며, 이에 의해 활성 거울(1425-1427)의 각이 조정될 수 있고 따라서 반사 비임("B")의 위치는 하나 이상의 검출기(1410-1412)에 보내질 수 있다. 이러한 반사의 공간적 위치의 순간적 변화는, 현상기 유체 표면의 변화와 상호 관계를 가져야만 한다. 따라서, 적절한 제어 시스템을 이용하여, 활성화된 위치의 거울(1425-1427)의 이용을 통해, 반사 비임의 위치의 변화를 검출하고, 반사된 비임에 대한 공간적 수정이 이루어질 수 있다.
활성화 거울(1425-1427)은, 텍사스 댈러스의 TI로부터 구입 가능한 마이크로거울 칩 상에 사용되는 것과 같이 작고 치밀할 수 있다. 이들은 명확하게 하기 위해 도 8C에서 더욱 넓게 분리되어 도시된다. 활성화 거울은 상기에서 설명된 것처럼 비임 반사를 이끄는 검출기 표면 변화를 보상하도록 설계된다.
쌍으로 된 코우터 및 현상기 챔버
도 9A-B는 쌍으로 된 코우터/현상기 챔버(350)의 일 실시예의 평면도이고, 이는 두 개의 분리된 처리 챔버(370) 및 중앙 영역(395)을 포함한다. 이러한 구성은 일정한 공통 구성요소들이 두 챔버에서 공유하는 것을 가능하게 하고 따라서 시스템 신뢰도를 증가시키며 시스템 비용, 복잡성 및 클러스터 툴의 풋프린트를 감소시키므로, 유리하다. 일 실시예에서, 처리 챔버(370)는, 유체 분배 시스템(1025) 을 공유하도록 이루어진 두 챔버를 제외하고는, 코우터 챔버(60A) 및 현상기 챔버(60B)와 함께 상기에서 설명된 공정 구성요소의 모두를 일반적으로 포함한다. 중앙 영역(395)은 노즐 홀더 어셈블리(390)에 보유된 다수의 노즐(391) 및 셔터(380)를 포함한다. 상기에서 설명된 것처럼, 코우터 또는 현상기 챔버에서 이용되는 유체 분배 시스템(1025)은 하나 이상의 유체 소스 어셈블리(1023)를 포함할 수 있고, 이 어셈블리는 하나 이상의 처리 유체를 스핀 처크(1033) 상에 장착된 기판 표면으로 전달한다. 유체 소스 어셈블리(1023)에 포함된 각각의 노즐(391)은 일반적으로 공급 튜브(1026), 필터(1021), 흡입 백 밸브(1020), 유체 소스(1019)에 연결되어 있으며 단일 형태의 처리 유체를 분배하도록 되어 있다. 그러므로 각각의 유체 소스 어셈블리(1023)가 좌측 혹은 우측 처리 챔버(370) 모두에서 사용될 수 있으며, 이로써 각 처리 챔버에서 요구되는 중복을 감소시킨다. 도 9A-B는 다섯 개의 노즐(391)을 포함하는 노즐 홀더 어셈블리(390) 구성을 보여주는 반면, 다른 실시예에서는 노즐 홀더 어셈블리(390)가 발명의 기본 범위를 변화시키지 않은 채로 이보다 적거나 많은 수의 노즐을 포함할 수 있다.
도 9A는 쌍으로 된 코우터/현상기 챔버(350)의 평면도이고, 여기서 노즐 아암 어셈블리(360)가 우측 처리 챔버(370) 위에 위치하여 스핀 처크(1033) 상에 보유된 기판("W") 상에 처리 유체를 분배한다. 노즐 아암 어셈블리(360)는 아암(362) 및 노즐 지지 메커니즘(364)를 포함할 수 있다. 노즐 아암 어셈블리(360)는 안내 메커니즘(361)을 따라 어떠한 위치로 노즐 아암 어셈블리(360)를 위치시키고 이동시키도록 이루어진 작동기(363)에 부착된다. 일 실시예에서, 작동기는 노 즐 아암 어셈블리(360)를 수직으로 이동시켜 공정 동안 기판 위에 노즐(391)을 수정적으로 위치시키도록 이루어지고, 또한 노즐 홀딩 메커니즘(364)이 노즐 홀더 어셈블리(390)로부터 노즐(391)을 붙잡고 떨어뜨리는 가능하게 하도록 이루어진다. 시스템 제어기(101)는 노즐 아암 어셈블리(360)의 위치를 제어하도록 이루어지고, 이에 의해 노즐 지지 메커니즘(364)은 노즐 홀더 어셈블리(390)로부터 노즐(391)을 붙잡고 떨어뜨릴 수 있다. 셔터(380)는 중앙 영역(395)으로부터 한 처리 챔버(370) 및 다른 처리 챔버(370)를 닫고 격리시키도록 수직으로 이동하도록 이루어지고, 그에 따라 공정 동안 기판의 상호 오염을 막는다. 일 태양에서, 셔터(380)는 공정 동안 중앙 영역(395)과 그에 따른 다른 처리 챔버(370)로부터 일 처리 챔버(370)를 밀봉 가능하게 격리시키도록 이루어진다. 종래의 O-링 및/또는 다른 립 시일은, 셔터가 두 처리 챔버들을 밀봉 가능하게 격리시키는 것을 가능하게 하는데 이용될 수 있다. 도 9B는 쌍으로 된 코우터/현상기 챔버(350)의 평면도이고, 이 경우 노즐 아암 어셈블리(360)가 좌측 처리 챔버(370) 위에 위치하고 이에 의해 스핀 처크(1033)에 보유된 기판 상에 처리 유체를 분배한다.
도 9B는 쌍으로 된 코우터/현상기 챔버(350)의 평면도이고, 이 경우 노즐 아암 어셈블리(360)는 좌측 처리 챔버(370) 위에 위치하고 이에 의해 스핀 처크(1033)에 보유된 기판 상에 처리 유체를 분배한다.
일 실시예에서, 도시되진 않았지만, 쌍으로 된 코우터/현상기 챔버(350)는 두 노즐 아암 어셈블리(360)를 포함하고, 이는 중앙 영역(395)의 노즐(391)에 접근하도록 이루어지고 기판 표면 위로 노즐을 위치시키도록 이루어진다. 이러한 구성 에서, 각각의 처리 챔버는 두 서로 다른 노즐(391)로부터 분배되고 펌프를 공유함에 의해 동일한 처리 유체를 이용하여 두 기판을 처리할 수 있거나 또는 두 서로 다른 처리 유체가 각각의 챔버에 분배될 수 있다.
냉각 챔버
도 10A는 BARC 냉각 단계(514), 사후 포토 레지스트 냉각 단계(524), 사후 탑 코트 냉각 단계(534), 사후 PEB 냉각 단계(542), 사후 현상 냉각 단계(554) 수행을 위해 개조될 수 있는 냉각 챔버(80) 실시예의 수직 단면도이다. 냉각 챔버(80)는 일반적으로 인클로저(86), 냉각 플레이트 어셈블리(83), 지지 플레이트(84), 리프트 어셈블리(87)를 포함한다. 인클로저(86)는 다수의 벽 (아이템 86B-D, 아이템 85)으로 이루어지며 이 벽은 냉각 챔버(80)에서 수행되는 처리를 주변 환경으로부터 분리시켜 처리 지역(86A)를 형성한다. 발명의 한 양상에서 인클로저는 열적 분리와 냉각 챔버(80)내의 공기 오염을 최소화하도록 되어있다.
냉각 플레이트 어셈블리(83)는 일반적으로 열 교환 장치(83A)와 냉각 플레이트 블록(83B)을 포함한다. 냉각 플레이트 블록(83B)은 열전도블록이며 열 교환 장치(83A)에 의해 냉각되는 물질로 이루어져 위에서 설명한 여러 냉각 처리 (예, 사전 BARC 냉각 단계(509), 사후 BARC 냉각 단계(514), 사후 포토레지스트 단계(524) 등)를 수행한다. 냉각 플레이트 블록(83B)은 열전도체로 처리 중 온도 균일성을 개선한다. 한 실시예로 냉각 플레이트(83B)는 알루미늄, 흑연, 알루미늄 질화물, 혹은 기타 열전도물질로 만들어질 수 있다. 한 실시예로 기판 "W"와 맞닿은 냉각 플레이트 블록(83B) 표면은 테플론 함침 양극 처리 알루미늄, 실리콘 탄화물, 또는 냉각 플레이트 블록(83B)와 접촉했을 때 기판 뒷면의 입자 생성을 최소화할 수 있는 물질로 코팅된다. 일 실시예에서, 기판 "W"은 냉각 플레이트 블록(83B)의 표면에 매립된 핀들(미도시) 상에 놓이고, 입자 생성을 줄이기 위해 작은 갭이 기판 및 냉각 플레이트 블록(83B) 사이에 유지된다. 다른 실시예에서, 도 10A에서 도시된 것처럼, 열 교환 기구(83A)는 냉각 플레이트 블록(83B)의 표면에 형성된 다수의 채널들(83C)로 이루어지고, 이들은 채널(83C)을 통해 연속적으로 유동하는 열 교환 유체를 이용함에 의해 온도 제어된다. 유체 온도 제어기(미도시)는 열 교환 유체와 그에 따라 냉각 플레이트 블록(83B) 온도를 제어하도록 이루어진다. 열 교환 유체는, 약 5℃ 내지 약 20℃의 온도로 온도 제어되는, 예를 들어 퍼플루오르폴리에스테르(perfluoropolyesther)(예를 들어 Galden®)일 수 있다. 열 교환 유체는 약 5℃ 내지 약 20℃의 원하는 온도로 전달된 냉각수일 수 있다. 열교환 유체는 아르곤 또는 질소와 같은 온도 제어된 가스일 수 있다.
냉각 플레이트의 일 실시예에서, 열교환 기구(83A)는 냉각 플레이트 블록(83B)의 표면 상에 놓인 기판을 가열하고 냉각시키도록 이루어진다. 원하는 공정 설정 포인트 온도를 얻는데 필요한 시간이 기판 및 냉각 플레이트 블록(83B) 사이의 온도 차이에 의존하기 때문에 이러한 구성은 유리할 수 있다. 따라서, 만일 냉각 플레이트 블록(83B)이 고정된 온도로 설정되고 고정된 온도로 기판이 냉각되는 것이 요구된다면, 기판 및 냉각 플레이트 블록(83B) 사이의 작은 온도 차이에 의해 고정된 온도에 달하도록 마지막 몇 도를 냉각시키는 것은 매우 긴 시간이 걸릴 것이다. 냉각 플레이트 블록(83B)의 온도가 적극적으로 제어되어 기판 온도가 원하는 설정 포인트 온도로 또는 그 근처에 있을 때까지 큰 온도차이가 기판 및 냉각 플레이트 블록(83B) 사이에서 유지되고 이후 냉각 플레이트 블록(83B)의 온도는 기판 온도의 언더슈트(undershoot) 또는 오버슈트(overshoot)의 양이 최소화되도록 이루어진다. 냉각 플레이트 블록(83B)의 온도는, 시스템 제어기(101)와 함게 이용되는 종래의 온도 감지 기구(예를 들어 열전쌍(thermocouple)(미도시))를 이용하여 제어되고, 이에 의해 열 교환 기구(83A)에 의해 냉각 플레이트 블록(83B)로 전달되거나 또는 이로부터 제거되는 에너지의 양이 변경된다. 이러한 구성에서, 열 교환 기구(83A)는 냉각 플레이트 블록(83B)을 가열하고 냉각시키는 능력을 모두 가진다. 일 실시예에서, 열 교환 기구(83A)는 냉각 플레이트 블록(83B)을 냉각시키고 및/또는 가열하는데 이용되는 열전기적 기구이다. 일 실시예에서, 열 교환 기구(83A)는 열 파이프 설계이고, 이는 이하에서 PEB 챔버(130)와 함께 설명되며, 이는 기판을 가열하고 냉각시키도록 이루어진다. 일 실시예에서, 기판 온도를 제어하는 능력을 향상시키기 위해 냉각 플레이트 블록(83B)의 열 전도성을 증가시키고 및/또는 질량을 최소화시키는 것이 유리할 수 있다.
지지 플레이트(84)는 냉각 플레이트 어셈블리(83)를 지지하고 이를 베이스(85)로부터 절연시키는 플레이트이다. 일반적으로 지지 플레이트(84)는 외부 열 손실 또는 수득을 줄이기 위해 세라믹 물질(예를 들어 지르코니아, 알루미나 등)과 같은 열적 절연 물질로 만들어질 수 있다.
도 10A를 참고하면, 리프트 어셈블리(87)는 리프트 브래킷(87A), 작동기(87B), 리프트 핀 플레이트(87C)를 일반적으로 포함하고, 이는 연장된 로봇 블레이드(미도시)로부터 떨어뜨려(off) 기판"W"을 높이고 낮추도록 이루어지고, 로봇 블레이드가 수축되었다면 냉각 플레이트 블록(83B)의 표면 상에 기판을 놓도록 이루어진다. 로봇 블레이드(미도시)는 인클로저(86)의 측벽(86D)의 개구(88)를 통해 냉각 챔버(80)로 들어가도록 이루어진다. 챔버에서 기판을 정렬시키지 않음에 의한 기판에 대한 기판 대 기판 공정 변화 및 손해를 막기 위해, 로봇은 전달 위치로부터 기판을 붙잡고 떨어뜨리도록 조정되며, 이로써 리프트 핀 사이의 중앙 지점에 일반적으로 정렬된다. 일 실시예에서, 지지 플레이트(84), 냉각 플레이트 어셈블리(86), 및 베이스(85)의 리프트 핀 홀(89)을 통해 이동하는 세 개의 리프트 핀들은 작동기(87B)를 이용하여 기판을 높이고 낮추도록 이루어진다. 작동기는 에어 실린더 또는 기판을 높이고 낮추는 다른 종래의 구입 가능한 다른 수단일 수 있다.
베이크 챔버
도 10B는 베이크 챔버(90)의 일 실시예를 도시하는 측면도이고, 베이크 챔버는 포스트 BARC 베이크 단계(512), 포스트 포토레지스트 코우트 베이크 단계(522), 포스트 상부 코우트 베이크 단계(532), 및/또는 포스트 현상 베이크 단계(552)를 수행하도록 이루어질 수 있다. 베이크 챔버(90)는 일반적으로 인클로저(96), 베이크 플레이트 어셈블리(93), 지지 플레이트(94), 리프트 어셈블리(97)를 포함한다. 인클로저(96)는 일반적으로 다수의 벽(98B-D 및 95)을 포함하고, 이 벽은 베이크 챔버(90)에서 수행되는 공정이 주위 환경으로부터 절연되도록 하여 처리 영역(96A)을 만든다. 본 발명의 일 태양에서, 인클로저는 주위 환경으로부터 베이크 챔버(90)의 오염을 최소화하고 열적으로 절연하도록 이루어진다.
베이크 플레이트 어셈블리(93)는 열 교환 기구(93A) 및 베이크 플레이트 블록(93B)을 일반적으로 포함한다. 베이크 플레이트 블록(93B)은 열적으로 전도성 물질의 블록이고, 이는 열 교환 기구(93A)에 의해 가열되어 상기에서 설명된 다양한 베이크 공정을 수행한다(예를 들어 포스트 BARC 베이크 단계(512), 포스트 포토레지스트 코우트 베이크 단계(522) 등). 베이크 플레이트 블록(93B)은 공정 동안 온도 균일성을 향상시키도록 열전도성이다. 일 실시예에서, 베이크 플레이트 블록(93B)은 알루미늄, 그라파이트(graphite), 알루미늄 질화물 또는 다른 열적으로 전도성 물질로 만들어질 수 있다. 일 실시예에서, 기판"W"과 접촉하는 베이크 플레이트 블록(93B) 표면은 테플론(Teflon) 스며든 양극처리된 알루미늄, 실리콘 탄화물 또는 다른 물질로 코팅되고, 이는 베이크 플레이트 블록(93B)와 접촉하게 됨에 따라 기판의 뒷면 상의 입자 생성을 최소화시킬 수 있다. 일 실시예에서, 기판"W"은 베이크 플레이트 블록(93B)의 표면에 매립된 핀(미도시) 상에 놓이고, 오직 작은 갭이 입자 생성을 줄이도록 기판 및 베이크 플레이트 블록(93B) 사이에 유지된다. 일 실시예에서, 열교환 기구(93A)는 열전기적 기구이고 이는 베이크 플레이트 블록(93B)을 가열하는데 이용된다. 다른 실시예에서, 도 10B에서 도시된 것처럼, 열교환 기구(93A)는 베이크 플레이트 블록(93B)의 표면에 형성된 다수의 채 널(93C)로 이루어지고, 이는 채널(93C)을 통해 연속적으로 유동하는 열 교환 유체를 이용함에 의해 온도 제어된다. 유체 온도 제어기(미도시)는 열 교환 유체 및 베이크 플레이트 블록(93B)의 온도를 제어하도록 이루어진다. 열교환 유체는 예를 들면 퍼플루오르폴리에스테르(예를 들어 Galden®)일 수 있고, 이는 약 30℃ 내지 약 250℃의 온도로 온도 제어된다. 열교환 유체는 아르곤 또는 질소와 같은 온도 제어된 가스일 수 있다.
지지 플레이트(94)는 일반적으로 베이크 플레이트 어셈블리(93)를 지지하고 이를 베이스(95)로부터 절연시키는 플레이트이다. 일반적으로 지지 플레이트(94)는 외부 열 손실을 줄이기 위해 세라믹 물질(예를 들어 지르코니아, 알루미나 등)과 같은 열적으로 절연시키는 물질로 만들어질 수 있다.
도 10B를 참고하면, 리프트 어셈블리(97)는 일반적으로 리프트 브래킷(97A), 작동기(97B), 리프트 핀 플레이트(97C) 및 셋 이상의 리프트 핀(97D)(오직 두 개만이 도 10B에서 도시됨)을 포함하고, 이들은 연장된 로봇 블레이드(미도시)에서 떨어져서 기판"W"을 올리고 낮추도록 이루어지며 로봇 블레이드가 수축되면 베이크 플레이트 블록(93B)의 표면 상에 기판을 위치시킨다. 일 실시예에서, 베이스(95)에서 리프트 핀 홀(99)을 통해 이동하는 3개의 리프트 핀, 지지 플레이트(94) 및 베이크 플레이트 어셈블리(93)가 작동기(97B)를 이용하여 기판을 높이고 낮추도록 이루어진다. 작동기는 기판을 높이고 낮추는 종래의 다른 구입 가능한 수단 또는 에어 실린더일 수 있다. 로봇 블레이드(미도시)는 인클로저(96)의 측벽(96D)의 개 구(98)를 통해 베이크 챔버(90)로 들어오도록 이루어진다.
HMDS 챔버
도 10C는 HMDS 처리 챔버(70)의 일 실시예를 도시하는 측면도이고, 이 챔버는 HMDS 처리 단계(511)를 수행하도록 이루어질 수 있다. 일 실시예에서, 도 10C에서 도시된 것처럼, HMDS 처리 챔버(70)는 도 10B에서 도시된 베이크 챔버(90)에 포함된 일정한 구성요소를 포함하고, HMDS 처리 챔버(70)의 일정한 구성요소는 상기에서 설명된 베이크 챔버(90)와 관련하여 설명된 것과 동일하거나 유사하다. 따라서, 유사한 도면 부호가 적절하게 이용되었다.
또한, HMDS 처리 챔버(70)는 밀봉된 처리 영역(76)을 형성하는데 이용되는 뚜껑 어셈블리(75)를 포함하고, 이 영역에서 공정 가스는 HMDS 베이크 플레이트 어셈블리(73)에 의해 가열되는 기판"W"으로 전달된다. HMDS 베이크 플레이트 어셈블리(73)는 일반적으로 열 교환 기구(73A) 및 HMDS 베이크 플레이트 블록(73B)을 포함한다. HMDS 베이크 플레이트 블록(73B)은 열적으로 전도성 물질로 된 블록이고, 이는 열 교환 기구(73A)에 의해 가열되어 상기에서 설명된 다양한 HMDS 처리 단계를 수행한다. HMDS 베이크 플레이트 블록(73B)은 열전으로 전도성이어서 공정 동안 온도 균일성을 향상시킨다. 일 실시예에서, HMDS 베이크 플레이트 블록(73B)은 알루미늄, 그라파이트, 알루미늄 질화물 또는 다른 열적으로 전도성 물질로 만들어질 수 있다. 일 실시예에서, 기판"W"과 접촉하는 HMDS 베이크 플레이트 블록(73B) 표면은 테플론 스며든 양극처리된 알루미늄, 실리콘 탄화물 또는 다른 물질로 코팅 될 수 있고, 이들은 기판이 HMDS 베이크 플레이트 블록(73B)과 접촉함에 따라 기판의 뒷면 상에 입자 생성을 최소화할 수 있다. 일 실시예에서 기판"W"은 HMDS 베이크 플레이트 블록(73B)의 표면에 매립된 핀(미도시) 상에 놓이고, 오직 작은 갭이 입자 생성을 줄이도록 기판 및 HMDS 베이크 플레이트 블록(73B) 사이에 유지된다. 다른 실시예에서, 도 10C에서 도시된 것처럼, 열교환 기구(73A)는 HMDS 베이크 플레이트 블록(73B)의 표면에 형성된 다수의 채널(73C)로 이루어지고, 이는 채널(73C)을 통해 연속적으로 유동하는 열 교환 유체를 이용함에 의해 온도 제어된다. 유체 온도 제어기(미도시)는 열 교환 유체 및 HMDS 베이크 플레이트 블록(73B)의 온도를 제어하도록 이루어진다. 열교환 유체는 예를 들면 퍼플루오르폴리에스테르(예를 들어 Galden®)일 수 있고, 이는 약 30℃ 내지 약 250℃의 온도로 온도 제어된다. 열교환 유체는 아르곤 또는 질소와 같은 온도 제어된 가스일 수 있다.
뚜껑 어셈블리(75)는 뚜껑(72A), 하나 이상의 O-링 시일(72C) 및 작동기 어셈블리(72)를 일반적으로 포함한다. 작동기 어셈블리(72)는 작동기(72B) 및 O-링 시일(72D)을 일반적으로 포함한다. O-링 시일(72D)은 HMDS 처리 챔버(70)의 외부 환경으로부터 HMDS 처리 영역(77)을 격리시키도록 설계된다. 작동기(72B)는 일반적으로 뚜껑(72A)을 올리고 낮추도록 이루어지고 이에 의해 기판은 리프트 어셈블리(97)에서 리프트 핀(97D)으로부터 그리고 리프트 핀으로 이동될 수 있다. 뚜껑(72A)은 뚜껑(72A)에 보유된(또는 HMDS 베이스(74) 상의) O-링 시일(72D)을 이용 하여 HMDS 베이스(74) 사이에 시일을 형성하도록 이루어지고, 이에 의해 처리 영역(76)을 형성하고 HMDS 처리 단계(511) 동안 이용되는 공정 가스가 HMDS 처리 영역(77)으로 빠져나가는 것을 막는다.
공정 동안, 작동기(72B)는 뚜껑(72A)을 낮추고 이에 의해 뚜껑(72A), O-링 시일(72C) 및 HMDS 베이스(74) 사이에 시일을 형성하며 이에 의해 누수 금지 시일을 형성한다. 공정 가스 전달 시스템(71)은 공정 가스를 처리 영역(76)으로 전달하여 HMDS 처리 단계(511)를 수행한다. 공정 가스를 전달하기 위해 HMDS 증발 시스템(71A)은 HMDS 증기 및 캐리어 가스를 고립 밸브(71B)를 통해 그리고 HMDS 베이스(74)에 형성된 입구(71F)를 통해 처리 영역으로 전달하고, 기판 표면을 가로 질러서 그리고 HMDS 베이스(74)에 형성된 출구(71G)로 빠져나가 스크러버(71E)로 전달된다. 일 실시예에서, 정화 가스는, 어떤 잔여 HMDS 증기를 제거하기 위해, HMDS 증기 함유 공정 가스가 처리 영역으로 전달된 후 정화 가스 소스(71C)로부터 처리 영역(76)으로 전달된다. 정화 가스 소스(71C)는 고립 밸브(71D)에 의해 HMDS 증발 시스템(71A)으로부터 격리될 수 있다. 일 실시예에서, 정화 가스 소스(71C)로부터 전달된 정화 가스는, 주입된 정화 가스의 온도를 제어하기 위해 종래의 가스 열 교환 수단(미도시)을 이용하여 가열되거나 또는 냉각된다.
노광후 베이크 챔버
포지티브(positive) 포토레지스트를 이용하여 노광 공정 동안 용해 불가능한 포토레지스트 물질이 용해 가능한 물질로 변환된다. 노광 공정 동안, 광산 생성 기(또는 PAGs)를 포함하는 포토레지스트의 구성요소는 유기산을 생성하고, 이 유기산은 노광 공정 동안 포토레지스트 층에 형성된 패턴의 날카로움에 영향을 미치고 포토레지스트의 노광되지 않은 구역에 영향을 미칠 수 있다. 노광되지 않은 포토레지스트에 대한 영향을 미침은 생성된 광산의 이동에 의해 영향을 받고, 이는 확산 지배 공정이다. 형성된 패턴의 광산 영향은 확산 지배 공정이기 때문에, 영향 속도는 두 개의 관련된 변수, 시간 및 온도에 의존한다. 이러한 변수의 제어는 임계 치수(CD)의 균일성이 기판 간에 얻어질 수 있고 일관성 있게 유지되는 것을 보장하는데 중요하다.
일 실시예에서, PEB 단계(540)는 도 10B에서 도시된 것처럼 베이크 챔버(90)에서 수행된다. 다른 실시예에서, PEB 단계(540)는 HMDS 처리 챔버(70)에서 수행되고 이 경우 온도 제어된 가스는 정화 가스 소스(71C)로부터 처리 영역(76)으로 전달되고 이에 의해 HMDS 베이크 플레이트 어셈블리(73) 상에 보유된 기판을 가열하거나 냉각시킨다.
다른 실시예에서, PEB 단계(540)는 PEB 챔버(130)에서 수행된다. 도 10D는 PEB 챔버(130)의 측면도를 도시하고, 여기서 처리 영역(138) 및 PEB 플레이트 어셈블리(133)의 질량은 열적 균일성을 향상시키고 온도에서의 빠른 변화를 가능하게 하며 및/또는 공정 반복성을 향상시키는데 최적화된다. 일 실시예에서, PEB 플레이트 어셈블리는 낮은 열질량 PEB 플레이트 어셈블리(133) 및 열교환 소스(143)를 이용하여 빠르게 기판을 가열하고 및/또는 냉각시키며, 기판은 PEB 플레이트 어셈블리(133)의 상부면(133F)과 소통한다. 이러한 구성에서, PEB 플레이트 어셈블 리(133)는 기판 지지 영역(133B)을 일반적으로 포함할 것이고, 이 영역은 그 위에 기판이 놓일 수 있는 상부면(133F), 열교환 영역(133A), 및 베이스 영역(133C)을 포함할 것이다. 기판 지지 영역(133B)의 온도는 온도 감지 기구(미도시)를 이용하여 제어되고 이 기구는 시스템 제어기(101)와 함께 이용되며 이로써 열 교환 영역(133A)에 의해 PEB 플레이트 어셈블리(133)로 전달되는 에너지의 양을 변경시킨다.
열 교환 영역(133A)은 기판 지지 영역(133B), 베이스 영역(133C), 및 측벽(133G) 사이에서 둘러싸인 영역이다. 열교환 영역(133A)은 하나 이상의 입구 포트(!33D) 및 하나 이상의 출구 포트(133E)를 통해 열 교환 소스(143)와 소통한다. 열교환 영역(133A)은 열교환 소스(143)로부터 전달되는 다양한 열교환 유체를 수용하도록 이루어지고, 이에 의해 상부면(133F)과 열적 소통하는 기판을 가열하거나 냉각시킨다. 본 발명의 일 태양에서, 상부면(133F)의 물질 두께(즉, 열교환 영역(133A) 및 상부면(133F) 사이의 거리)와 상부면(133F)의 질량은 최소화되어 기판의 빠른 가열 및 냉각을 가능하게 한다.
일 실시예에서, 열 교환 영역(133A)은 저항성 히터 또는 열전기적 기구를 포함할 수 있고, 이는 기판의 온도를 제어한다. 다른 실시예에서, 열 교환 영역(133A)은, 예를 들어 기판 지지 영역(133B) 아래에 장착된 할로겐 램프와 같은 복사 열전달 방법을 이용하여, PEB 플레이트 어셈블리(133)의 온도를 제어하도록 이루어진다.
PEB 플레이트 어셈블리(133)는 종래의 수단(예를 들어 기계가공, 용접, 납땜 등)에 의해 단일의 물질로부터 형성될 수 있거나 또는 복합물 구조(예를 들어 많은 서로 다른 형태의 물질을 함유한 구조)로부터 형성될 수도 있으며, 이 구조는 최적의 PEB 플레이트 어셈블리(133)를 형성하도록 각각의 물질의 열전도성, 열팽창 및 열 충격 성질을 최대한 이용한다. 일 실시예에서, PEB 플레이트 어셈블리(133)는 알루미늄, 구리, 그라파이트, 알루미늄 질화물, 보론 질화물, 및/또는 다른 물질과 같은 열 전도성 물질로 만들어진다.
열교환 소스(143)는, 열교환 영역(133A)으로 열교환 유체를 전달하도록 이루어진 하나 이상의 열 교환 유체 전달 시스템을 일반적으로 포함한다. 일 실시예에서, 도 10D에서 도시된 것처럼, 열교환 소스(143)는 두 개의 열교환 유체 전달 시스템을 포함하고, 이는 가열 소스(131) 및 냉각 소스(142)이다.
일 실시예에서, 가열 소스(131)는 기판을 가열하는데 이용되는 종래의 가열 파이프이다. 일반적으로 가열 파이프는 빈 용기이고, 그 단면은 일반적으로 원형이며, 작용 유체의 소량으로 다시 채워질 수 있고, 이 유체는 가열 소스(131)로부터 가열 싱크(sink)(예를 들어 기판 지지 영역(133)B 및 기판)로 열을 전달한다. 열의 전달은 열교환 영역(133A)에서 작용 유체의 응축 및 가열 소스(131)에서 작용 유체의 증발에 의해 수행된다. 작동시 열교환 영역(133A)은 진공 펌프(미도시)에 의해 비워지고 이후 에너지가 가열 소스(131)에 보유된 작용 유체로 부가되며 이는 가열 소스(131) 및 열교환 영역(133A) 사이에 압력 기울기를 만든다. 이 압력 기울기는 증기는 증기가 냉각 섹션으로 유동하도록 하고, 이 경우 증기가 응축되어 증발의 잠재열에 의한 에너지를 포기한다. 이후 작용 유체는, 출구 포트(133E) 및 출구 라인(131B)을 통해 모세관 작용 또는 중력에 의해 가열 소스(131)로 되돌아간다. 기판 지지 영역(133B)의 온도는 온도 감지 기구(미도시)를 이용하여 제어되고, 이 기구는 열교환 영역(133A)으로 전달되는 일정량의 에너지(예를 들어 작용 유체의 유동)를 변경시킴에 의해 시스템 제어기(101)와 함께 이용된다.
다른 실시예에서, 열 소스(131)는 액체 소스(미도시)로부터의 가열된 액체, 증기 또는 가스를 열교환 영역(133A)으로 전달하고 이로써 대류성 열 전달 형태 공정에 의해 기판으로 열을 전달한다. 이 구성에서, 가열된 가스, 증기 또는 액체는 입구 라인(131A)으로부터 입구 포트(133D)를 통해 열교환 영역(133A)으로 전달되고 출구 포트(!33E)를 통해 열교환 영역(133A)을 빠져나가며, 이 경우 이는 폐기물 수집 소스(142A)로 전달된다. 폐기물 수집 소스(142A)는 스크러버 또는 일반적인 배출 시스템일 수 있다.
일 실시예에서, 도 10D에서 도시된 것처럼, 열교환 소스(143)는 원하는 온도로 기판을 냉각시키도록 이루어진 냉각 소스(142)를 포함한다. 냉각 소스(142)의 일 실시예에서, 냉각 소스는 액체 질소를 열교환 영역(133A)으로 전달하고 이에 의해 기판 지지 영역(133B) 및 기판으로부터 열을 제거한다. 다른 실시예에서, 냉각 소스는 냉각된 가스, 액체 또는 증기를 열교환 영역(133A)으로 전달하여 기판을 냉각시킨다. 본 발명의 일 태양에서, 냉각 소스는 주위 온도 근처의 온도로 기판을 냉각시키는데 이용된다.
PEB 플레이트 어셈블리(133)의 다른 실시예에서, 열교환 기구(134)는 베이스 영역(133C) 상에 위치하고 이에 의해 PEB 플레이트 어셈블리(133)를 가열하거나 냉 각시킨다. 본 발명의 일 태양에서, 열교환 기구(134)는 베이스 영역(133C)을 냉각시키는데 이용되고, 이는 다수의 열 전도성 기둥(pillar, 133H)(오직 두 개만 도시됨)을 통해 기판 지지 영역(!33B)과 열적 접촉한다. 이러한 구성에서, 기판은 가열 소스(!31)로부터 뜨거운 유체의 주입에 의해 가열될 수 있고, 열교환 기구(134)를 이용하여 냉각될 수 있다. 이러한 구성은 기판을 냉각시키는 냉각 소스(142)에 대한 필요를 피할 수 있다. 다수의 열 전도성 기둥(133H)은 열이 기판 지지 영역(133B)으로부터 베이스 영역(133C)으로 또는 그 반대로 열이 전달될 수 있는 영역이다. 전도성 기둥(133H)은 어떤 패턴, 크기 또는 밀도(예를 들어 단위 면적당 기둥(133H)의 숫자)로 배열될 수 있고, 이는 열이 열 교환 기구(134)로 또는 열 교환 기구로부터 균일하게 유동하는 것을 가능하게 하고, 가열 소스로부터 전달된 유체가 기판 지지 영역(133B)과 균일하게 소통하는 것을 가능하게 한다.
도 10D를 참고하면, 본 발명의 일 태양에서, 뚜껑 어셈블리(137)는 기판"W" 위에 놓이고 PEB 플레이트 어셈블리(133)의 상부면(133F)와 접촉하여 기판 주위로 제어된 환경을 형성한다. 뚜껑 어셈블리는 뚜껑(137A) 및 뚜껑 작동기(139)를 일반적으로 포함한다. 뚜껑 작동기(139)는 뚜껑(137A)을 높이고 낮추도록 이루어질 수 있는 기구이고, 이에 의해 리프트 어셈블리(140)는 상부면(133F) 및 클러스터 툴 로봇(미도시)로부터 그리고 이들로 기판을 이동시킬 수 있다. 일 실시예에서, 뚜껑 작동기(139)는 에어 실린더이다. 뚜껑이 처리 위치에 있을 때, 도 10D에서 도시된 것처럼, 뚜껑은 상부면(133F)과 접촉하고 따라서 제어된 열적 환경을 만들도록 기판을 둘러싸는 처리 영역(138)을 형성한다.
일 실시예에서, 뚜껑 어셈블리(137)는 열교환 기구(137B)를 포함할 수 있고 이에 의해 뚜껑(137A)의 온도를 제어하고 공정 동안 기판에 걸쳐 열적 균일성을 향상시키기 위해 기판 주위로 등온 환경을 형성한다. 이러한 구성에서, 열교환 기구(137B)는 상기에서 설명된 것과 유사한 방법으로 가열 파이프로서 작용하고 뚜껑 어셈블리(137)을 빠르게 가열하고 냉각시키도록 이루어진다. 일 실시예에서, 열교환 기구(137B) 및 열교환 영역(133A)은, 모두 온도를 균일하고 빠르게 제어하도록 가열 파이프로서 작용한다. 다른 실시예에서, 열교환 기구(137B)는 복사적(예를 들어 가열 램프), 또는 전도성 열 전달 수단(상기에서 설명됨)을 이용하여 뚜껑 어셈블리(137)의 온도를 제어하도록 이루어진다.
뚜껑 어셈블리(137)의 다른 구성에서, 가열된 유체 소스(141)는 뚜껑 입구 포트(137C)를 통해 처리 영역으로 연결되고 이에 의해 기판 표면에 걸쳐 온도 제어된 처리 유체를 전달하고 이후 뚜껑 출구 포트(137D) 밖으로 폐기물 수집 기구(141B)로 이동된다. 가열된 유체 소스(141)는 일반적으로 유체 소스(141A), 유체 히터(141C) 및 폐기물 수집 기구(141B)(예를 들어 일반적으로 배출 시스템 또는 스크러버)를 포함한다. 유체 소스(141A)는 공정 동안 가스 또는 액체를 전달할 수 있고 이에 의해 기판의 온도를 제어한다. 본 발명의 일 태양에서, 유체 소스(141A)는 예를 들어 아르곤, 질소 또는 헬륨과 같은 비활성 가스를 전달할 수 있다.
도 10D를 참고하면, PEB 챔버(130)는 인클로저(136), PEB 플레이트 어셈블리(133) 및 리프트 어셈블리(140)를 일반적으로 포함한다. 인클로저(136)는 다수 의 벽(136B-D 및 135)을 일반적으로 포함하고, 이는 주위 환경으로부터 PEB 챔버(130)에서 수행되는 공정을 격리시킨다. 본 발명의 일 태양에서, 인클로저는 주위 환경으로부터 PEB 챔버(130)의 오염을 최소화하고 열적으로 격리시키도록 이루어진다. 리프트 어셈블리(147)는 일반적으로 리프트 브래킷(140A), 작동기(140B), 리프트 핀 플레이트(140C), 및 셋 이상의 리프트 핀(140D)(도 10D에서는 두 개만 도시됨)을 포함하고, 이는 연장된 로봇 블레이드(미도시)에서 떨어져 기판"W"을 올리고 낮추도록 이루어지며 로봇 블레이드가 수축되면 PEB 플레이트 어셈블리(133)의 표면 상에 기판을 위치시킨다. 리프트 핀 홀(132)은 리프트 핀(140D)이 기판에 접근하여 PEB 플레이트 어셈블리(!33)의 표면으로부터 높여지고 낮춰질 수 있도록 구성된다. 작동기(140B)는 기판을 높이고 낮추는 종래의 구입 가능한 다른 수단 또는 에어 실린더일 수 있다. 로봇 블레이드(미도시)는 인클로저의 측벽(136D)의 개구(136E)를 통해 인클로저(136)로 들어가도록 이루어진다.
변화 가능한 열 전달 밸브
도 11A는 기판을 빠르게 가열하고 냉각시키는데 이용될 수 있는 플레이트 어셈블리의 일 실시예를 도시하는 측면도이다. 이후 사용되는 "플레이트 어셈블리"란 용어는, PEB 플레이트 어셈블리(133), 냉각 플레이트 어셈블리(83), 베이크 플레이트 어셈블리(93) 또는 HMDS 베이크 플레이트 어셈블리(73)의 실시예를 일반적으로 설명할 의도이고, 이들은 이러한 구성으로부터 혜택을 받도록 이루어질 수 있다. 도 11A를 참고하면, 일 실시예에서, 플레이트 어셈블리(250)는 공정 동안 기 판"W"과 열적 소통하는 블록 표면(254A)을 갖는 전도성 블록(254), 냉각 영역(253), 전도성 블록(254) 및 냉각 영역(253) 사이에 형성된 갭(259), 입구 영역(257), 출구 영역(258) 및 유체 전달 시스템(275)을 포함한다.
전도성 블록(254)은 기판을 지지하는데 이용되고 이는 블록 표면(254A)과 열적 소통하는 기판을 가열하도록 이루어진 가열 기구(255)를 포함한다. 전도성 블록(254)은 알루미늄, 구리, 그라파이트, 알루미늄 질화물, 보론 질화물, 및/또는 다른 물질과 같은 열 전도성 물질로 만들어질 수 있다. 가열 기구(255)는 저항성 가열기 또는 열전기적 기구일 수 있고, 이는 전도성 블록(@54)을 가열하는데 이용된다. 다른 실시예에서, 가열 기구(255)는 전도성 블록(254, 미도시)의 표면에 형성된 다수의 채널로 이루어지고, 이들은 채널을 통해 연속적으로 유동하는 열교환 유체를 이용하여 온도 제어된다. 유체 온도 제어기(미도시)는 열교환 유체 및 전도성 블록(254) 온도를 제어하도록 이루어진다. 열교환 유체는 예를 들면 퍼플루오르폴리에스테르(예를 들어 Galden®)일 수 있고, 이는 약 30℃ 내지 약 250℃의 온도로 온도 제어된다. 열교환 유체는 또한 아르곤 또는 질소와 같은 온도 제어된 가스일 수 있다.
냉각 영역(253)은, 전도성 작용 유체가 유체 전달 시스템(275)에 의해 갭(259)으로 전달될 때 전도성 블록(254)을 냉각시키도록 저온에서 유지되고 갭(259)에 의해 전도성 블록(254)으로부터 격리되는 플레이트 어셈블리(250)의 구역이다. 냉각 영역(253)은 플레이트 어셈블리(250)의 이러한 구역을 냉각시키는데 이용되는 냉각 기구(265)를 포함한다. 냉각 영역(253)은 알루미늄, 구리, 그라파 이트, 알루미늄 질화물, 보론 질화물 및/또는 다른 물질과 같은 열 전도성 물질로부터 만들어질 수 있다. 냉각 기구(265)는 냉각 영역(253)을 냉각시키는데 이용되는 열전기적 기구일 수 있다. 다른 실시예에서, 냉각 기구(265)는 냉각 영역(253)의 표면에 형성된 다수의 채널(미도시)로 이루어지고, 이는 채널을 통해 연속적으로 유동하는 열교환 유체를 이용하여 온도 제어된다. 유체 온도 제어기(미도시)는 열교환 유체 및 냉각 영역(253) 온도를 제어하도록 이루어진다. 열교환 유체는 예를 들면 퍼플루오르폴리에스테르(예를 들어 Galden®)일 수 있고, 이는 약 5℃ 내지 약 20℃의 온도로 온도 제어된다. 열교환 유체는 또한 아르곤 또는 질소와 같은 온도 제어된 가스일 수 있다.
유체 전달 시스템(275)은 전도성 블록(254) 및 냉각 영역(253) 사이에 형성된 갭(259)으로 전도성 작용 유체를 전달하도록 이루어진 유체 전달 소스(270)를 일반적으로 포함한다. 유체 전달 시스템(275)은 전도성 작용 유체가 유체 전달 시스템(275)으로부터 입구 영역(257)을 통해 갭(259)으로 유동하게 하고, 이후 출구 영역(258) 밖으로 유동하게 하며 이 경우 이는 유체 전달 시스템(275)으로 되돌아온다. 전도성 작용 유체는, 기판을 가열하고 냉각시키기 위해, 공정의 서로 다른 단계 동안 전도성 블록(254) 및 냉각 영역(253) 사이에 열적 결합을 증가시키는데 이용된다. 전도성 작용 유체는 액체, 증기 또는 가스일 수 있고, 이는 전도성 블록(254) 및 냉각 영역(253) 사이에서 열적 결합을 증가시킬 수 있다. 일 실시예에서, 전도성 작용 유체는 갈륨, 인듐, 및 납(예를 들어 갈린스탄(galinstan))의 액체 합금; 수은(Hg); 갈덴(Galden); 또는 폴리에틸렌 글리콜과 같은 액체이다. 다 른 실시예에서, 전도성 작용 유체는 헬륨, 아르곤, 이산화탄소(CO2)와 같은 가스이다.
일 실시예에서, 플레이트 어셈블리(250)는 예를 들어 PEB 단계(540)를 수행하기 위한 PEB 챔버의 기판을 베이크하는데 이용된다. 이러한 구성에서, 기판은 먼저 블록면(254A)으로 전달되고 전도성 작용 유체는 갭(259)을 통해 유동하며 냉각 영역(253)은 전도성 블록과 소통하고 블록면은 저온에 있다. 기판이 블록면(254A)과 접촉하면, 전도성 작용 유체의 유동은 정지되고 갭(259)으로부터 제거되어 전도성 블록(254)으로부터 냉각 영역(253)을 분리시킨다. 일 실시예에서, 가스 소스(272)는 잔존하는 전도성 작용 유체를 유체 전달 시스템(275)으로 되돌리도록 이용된다. 전도성 블록(254)은 이후 원하는 공정 온도가 전도성 블록(254)에서 얻어질 때까지 가열 기구(255)로부터 전달되는 에너지에 의해 가열된다. 일정 기간 동안 원하는 공정 온도에서 유지된 후, 가열 기구(255)는 꺼지고 전도성 작용 유체는 갭(259)으로 전달되고 이에 의해 전도성 블록(254) 및 냉각 영역(253) 사이에 열적 결합을 증가시킴에 의해 전도성 블록(254)을 냉각시킨다. 기판이 원하는 온도에 다다르면, 이는 처리 챔버로부터 제거된다.
도 11A에서 도시된 것처럼, 플레이트 어셈블리(250)의 일 실시예에서, 블록면(256)은 비드 블라스팅(blasting), 널링(knurling), 또는 다른 기계가공 공정과 같은 기계적 제작 공정을 이용하여 의도적으로 거칠게 되고, 이에 의해 전도성 블록(254) 물질에 대한 열적 쇼크 손상의 가능성을 감소시키고 전도성 블록(254)에 대한 냉각 영역(253)을 결합시키는 표면적을 증가시킨다.
PEB 공정 종료점 검출 시스템
베이크 챔버, PEB 챔버 및/또는 HMDS 처리 챔버에서 공정 온도를 감소시키고 공정 결과의 반복 가능성을 향상시키기 위한 노력에서, 종료점 검출기는 시스템 제어기(101)를 통보하도록 챔버 안으로 일체화될 수 있고, 공정은 다음 냉각 챔버(80)로 전달될 수 있도록 완료되거나 또는 거의 완료된다. 이러한 설계는 필요한 것보다 더 오래 공정을 작동시키는 필요, 또는 "오버 베이크"를 최소화하면서, 챔버 공정이 완료되는 것을 여전히 보장한다. 이러한 공정은 PEB 챔버에서 특히 중요한데, 이는 노광 동안 생성된 유기산이 포토레지스트의 노광되지 않은 구역에 영향을 미치는 것을 금지하기 때문이다.
이러한 문제를 해결하기 위해, 일 실시예에서, 공정 종료점은, 이미 증착되거나 또는 또는 노광된 포토레지스트 층의 표면 위로 가스 또는 증기에 포함된 이미 확인된 PEB, HMDS, 또는 베이크 챔버 반응 부산물의 농도를 측정함에 의해 결정된다. 도 12A는 기판"W"의 표면 상의 포토레지스트 층(미도시)의 표면으로부터 확산하는 부산물의 농도의 변화를 검출하도록 이루어진 종료점 검출 시스템(190)의 일 실시예를 도시한다. 이 구성에서, 레이저(191)는, 처리 단계 동안 포토레지스트의 표면 위로 가스 또는 증기로 확산하는 부산물과 상호작용에 의해 검출기(192)에 의해 수용되는 신호의 강도가 감소되도록 조정된 파장에서 비임("A")을 방출한다. 레이저의 강도 및 파장은 조정되고 이에 의해 레이저는 포토레지스트의 추가 적인 노광을 잠재적으로 일으키지 않을 것이다. 일반적으로 전형적인 포토레지스트 공정 부산물은 예를 들어 탄화수소 함유 물질 및 이산화탄소(CO2)일 것이다. 포토레지스트로부터 나오는 CO2 또는 다른 유기 붕괴 부산물의 농도에서의 변하에 의한 강도의 변화로부터, 종료점이 추측될 수 있다. 파장, 또는 레이저에 의해 방출되는 파장은 약 500nm 내지 약 4000nm일 수 있다. 일 실시예에서, 이산화탄소의 농가 검출되는 경우에, 레이저의 파장은 약 1960nm이고, 이는 종래의 레이저 다이오드가 쉽게 얻을 수 있다. 다른 실시예에서, 레이저에 의해 방출된 비임의 파장은 4230nm이다.
도 12A는 기판의 표면 상에 포함된 포토레지스트의 표면 바로 위로 지나가는 비임을 방출하는 레이저(191)를 포함하는 HMDS 처리 챔버(요소 199) 또는 PEB 챔버, 베이크 챔버의 측면도이다. 이러한 구성에서, 레이저(191) 및 검출기(192)는 방출된 비임이 플레이트 어셈블리(193) 상에 보유된 기판"W"의 표면 상의 포토레지스트 층에 근접하여 평행하게 되도록 장착된다. 플레이트 어셈블리(193)는 예를 들면 PEB 플레이트 어셈블리(133) 또는 베이크 플레이트 어셈블리(93)일 수 있고, 이는 상기에서 설명된 베이크, PEB 또는 HMDS 공정 동안 기판을 처리하는데 이용되는 PEB 플레이트 어셈블리(133) 또는 베이크 플레이트 어셈블리(93)일 수 있다. 방출되는 부산물의 농도가 포토레지스트의 표면 위로 가장 높기 때문에, 종료점 검출 시스템(190)은 이러한 구성에서 가스 또는 증기에서의 부산물의 농도에서의 변화에 일반적으로 가장 민감할 것이다. 이러한 구성의 장점은 비임을 포토레지스트 의 표면 위로 발사함에 의해 강도에서의 검출된 변화가 비임의 전체 길이에 걸쳐 비임을 통과하는 부산물의 일정량의 합이라는 것이다. 이러한 방법은 낮은 신호 대 노이즈 비를 제공하고, 또한 공정의 서로 다른 단계 동안 공정에서의 변화를 수정한다.
종료점 검출기의 다른 실시예에서, 레이저는 공정의 종료점을 결정하기 위해, 포토레지스트의 굴절률에서의 변화를 감지하고 및/또는 포토레지스트 층 두께를 결정하는데 이용된다. 도 12B는 종료점 탐지 시스템(198)이 일 실시예를 도시하고, 이는 포토레지스트 층의 굴절률에서의 변화를 감지하고 및/또는 포토레지스트 층 두께를 측정하는데 이용될 수 있다. 종료점 탐지 시스템(198)은 일반적으로 레이저(194), 비임 스플리터(195) 및 검출기(196)를 포함한다. 일 실시예에서, 도 12B에서 도시된 것처럼, 종료점 탐지 시스템(198)은, 기판 표면 위의 처리 영역(199A)으로부터 원하는 거리에 레이저(194), 비임 스플리터(195) 및 검출기(196)를 위치시킬 수 있는 파이버 광학 케이블(197)을 포함한다.
종료점 검출 공정의 일 실시예에서, 레이저는 다중 파장을 방출하도록 설계되고 이에 의해 포토레지스트 두께 및/또는 굴절률 변화는 공정 동안 모니터될 수 있다. 포토레지스트의 두께는 다중-파장 간섭 패턴에서의 변화를 검출함에 의해 측정되고, 이는 공정 동안 포토레지스트 두께 및 굴절률 변화에 따라 변화할 것이다. 종료점 검출 공정의 일 실시예에서, 레이저(194)는 비임 스플리터(195)로 복사를 방출하고, 여기서 레이저(194)로부터 방출된 복사의 퍼센트가 파이버 광학 케이블(194)로 비임 스플리터(195)를 직접 통해 통과한다. 이후 파이버 광학 케이 블(197)은 방출된 에너지가 기판 표면을 향햐게 한다. 이후, 방출된 복사는 포토레지스트 층("P") 표면 및/또는 기판 표면에서 흡수되거나, 반사되거나 또는 흩어진다. 이후 반사된 복사의 퍼센트가 파이버 광학 케이블(197)로 되돌아가고, 이는 비임 스플리터(195)로 복사가 향하게 한다. 이후 비임 스플리터(195)는 검출기(196)로 반사된 복사의 퍼센트를 반사하고 이 경우 입사 복사가 검출된다.
공정의 종료점이 일어날 때를 검출하기 위해, 상기에서 설명된 실시예 중 하나를 이용하여, 검출된 신호는 이미 처리된 기판으로부터 수집된 신호 또는 데이터와 비교될 수 있다. 일 실시예에서, 종료점이 확실하게 검출될 수 있기 전에 포스트 공정 측정을 얻는 것이 필요할 수 있다. 도 12C는 이미 처리된 웨이퍼로부터 수집된 데이터를 이용함에 의해 종료점 검출 공정을 최적화하는 방법을 도시한다. 이 방법은, 두 개의 기판으로부터의 종료점 신호가 시스템 제어기(101)의 메모리에 저장되거나 또는 차조로서 기록되는 것을 필요로 한다(A). 이후, 둘 이상의 기판이 처리되고 조사되어 종료점이 이상적인 공정과 어떻게 비교되는지 결정한다(B). 이후 조사 데이터는 이상적인 공정 시간 및 실제 종료점 신호를 결정하는데 이용되고 이는 이후 챔버에서 처리되는 이후의 기판에 의해 이용되어 공정의 실제 종료를 결정한다(C).
최소 접촉을 갖는 향상된 열 전달 설계
시스템 처리량을 증가시키기 위해, 냉각 챔버, 베이크 챔버, PEB 챔버 및/또는 HMDS 처리 챔버의 처리 시간을 감소시킴에 의해, 다양한 방법이 채택되었고 이 에 의해 열교환 기구로의 기판의 열적 결합을 증가시켰다. 플레이트 어셈블리(예를 들어 PEB 플레이트 어셈블리(133), 냉각 플레이트 어셈블리(83) 등)의 표면 및 기판 표면 사이의 접촉을 증가시키는 것은 열적 결합을 증가시키고 기판이 원하는 공정 온도에 이르는 시간을 감소시키고, 접촉의 증가는 기판의 뒷면 상에서 생성되는 입자의 숫자를 증가시킬 것이고 이는 노광 공정 결과 및 기구 수율에 영향을 미칠 수 있으므로 바람직하지 않다.
기판의 뒷면 상의 입자 생성을 줄이기 위해, 플레이트 어셈블리의 표면에 대한 기판의 접촉은 플레이트 어셈블리의 표면으로부터 떨어진 채 기판을 두는 돌출부의 배열을 이용하여 최소화될 수 있다. 돌출부는 생성되는 입자의 수를 감소시키고, 돌출부는 기판 및 플레이트 어셈블리 사이의 열적 결합을 감소시킬 수 있다. 따라서, 기판이 플레이트 어셈블리의 표면을 접촉하지 않은 채, 열적 결합을 향상시키도록 플레이트 어셈블리의 표면으로부터 돌출부의 높이를 최소화하는 것이 바람직하다. 종래 기술 응용은, 돌출부로서 작용하기 위해 플레이트 어셈블리 표면의 기계가공된 홀 안으로 프레스되거나 위치하는 사파이어 구(球)를 일반적으로 이용하였다. 플레이트 어셈블리의 표면 및 구 사이에서 충분히 좋은 높이 제어를 기계적으로 얻는 것은 어려운데, 그 이유는 기판이 플레이트 어셈블리 표면과 접촉하지 않는 것을 보장하도록 이러한 기술을 위해 매우 평탄해질 필요가 있기 때문이다. 이러한 문제는, 구 또는 핀을 지지하는 표면 피쳐를 형성하는데 필요한 기계가공 작동이 일정한 참고 데이터와 모두 관련되어 있고 플레이트 어셈블리의 표면 지형에서의 변화를 고려하지 않기 때문에 일어난다. 이러한 문제는, 플레이트 어 셈블리의 표면으로부터의 돌출부의 높이가 약 30마이크로미터인 경우에 특히 중요하게 된다.
도 13A를 참고하면, 이러한 필수적인 문제를 해결하기 위해, 일 실시예에서, 정확하게 제어된 작은 접촉 구역 돌출부(171)의 배열이 플레이트 어셈블리(170)의 표면 상에 형성되고 기판은 기판 및 플레이트 어셈블리 사이의 열적 결합을 증가시키도록 플레이트 어셈블리를 향해 편향된다. 기판은, 플레이트 어셈블리로 기판을 향하게 하는 종래의 다른 방법 또는 정전기적 처킹(chucking) 기구, 진공 처킹 기구를 이용하여 플레이트 어셈블리를 향해 편향될 수 있다. 정확하게 제어된 작은 접촉 구역 돌출부(171)의 배열은 CVD 및/또는 PVD 증착 공정을 이용함에 의해 행성될 수 있다. CVD 및/또는 PVD 증착 공정을 이용하여, 제어된 크기를 가진 물질의 박막층이 원하는 높이로 플레이트 어셈블리의 표면 상에 균일하게 증착될 수 있다. 돌출부(171)를 형성하기 위해 플레이트 어셈블리(170) 표면 상에 증착된 물질은 실리콘 다이옥사이드(SiO2), 실리콘(Si), 금속(예를 들어 니켈, 티타늄, 티타늄 질화물, 몰리브데늄, 텅스텐 등), 세라믹 물질, 폴리머 물질(예를 들어 폴리이미드, 테프론, 등) 또는 다른 물질일 수 있고, 이는 상당한 변형 없이 편향 힘을 견디기 충분할 정도로 단단하고 기판의 뒷면(예를 들어 다이아몬드, 다이아몬드 같은 탄소, 또는 보론 질화물)과의 상호 작용에 의해 쉽게 벗겨지지 아니한다. 이러한 접근은, 플레이트 어셈블리 표면의 표면 위로의 돌출부의 높이가 기술 구성의 상태 상에서 보다 약 10배 작을(예를 들어 1/10th) 수 있는 높이로 제어될 수 있기 때문 에, 유리하다. 돌출부 높이의 감소는 열전달 속도를 증가시킬 것이고, 웨이퍼는 더욱 빠르게 가열될 수 있으며, 따라서 웨이퍼가 최종 온도에 도달하는데 걸리는 시간을 감소시키고, 이는 확산 및 화학 반응에서의 변화를 감소시킨다. 이는 웨이퍼 및 가열기 사이의 더욱 밀접한 열적 결합을 보장하고, 이로써 다른 챔버 불균일성의 열적 영향을 감소시킨다. 이러한 접근의 다른 장점은, 다수의 돌출부(171)를 이용함에 의해, 기판 굽어짐의 크기가 감소된다는 점이고, 이는 기판 굽어짐이 외부 압력이 기판에 가해질 때 돌출부들 사이의 간격의 제 4 의 파워에 역으로 비례하기 때문이다. 각각의 돌출부(171)가 플레이트 어셈블리의 표면으로부터 명목상 동일한 높이에 있고 기판이 플레이트 어셈블리의 표면 위로 균일하게 지지되는 경우, 돌출부들 사이의 최소 굽어짐과 함께, 플레이트 어셈블리로부터 기판으로의 열 전달이 균일하게 될 것이다. 따라서, 이러한 설계는 기판의 온도를 빠르고 균일하게 타겟 온도에 이르게 하고, 일반적인 진공 처크에서 고유한 뒷면 입자의 생성을 최소화한다.
일 실시예에서, 돌출부(171)를 형성하기 위해, 마스크(미도시)가 플레이트 어셈블리의 표면 위에 위치하고, 이는 마스크에 형성된 홀 또는 피쳐를 이용하여 CVD 또는 PVD 물질이 기판의 일정하게 형성된 구역에 증착되는 것을 가능하게 한다. 이러한 방법으로, 크기는 마스크에 형성된 피쳐에 의해 제어되고, 돌출부의 높이는, 공지된 PVD 또는 CVD 공정 증착 속도를 이용하여 일정량의 물질이 플레이트 어셈블리의 표면 상에 증착되는 것을 보장함에 의해 제어될 수 있다. 일 실시예에서, PVD 또는 CVD 공정에 의해 증착된 돌출부(171)는 약 100마이크로미터의 두 께이다.
도 13C 및 13D는 마스킹 공정의 일 실시예를 도시하고, 이 경우 선택적 CVD 증착 공정이 원하는 높이의 돌출부를 증착시키는데 이용된다. 이러한 구성에서, 예를 들면, 실리콘 다이옥사이드 또는 다이아몬드 씨드 크리스탈(182A) 층이 테프론 코팅된 알루미늄으로 만들어진 플레이트 어셈블리(170)의 플레이트 어셈블리 표면(170A)에 매립된다. 이러한 구성에서, 종래의 CVD 공정은 씨드 크리스탈(182A) 상에 실리콘 다이옥사이드 또는 다이아몬드 필름의 층을 선택적으로 증착하도록 이루어질 수 있다. 이러한 실시예에서, 씨드 크리스탈(182A)은 플레이트 어셈블리 표면(170A)으로 매립되고 이에 의해 씨드 크리스탈의 상부면은 플레이트 어셈블리 표면(170A)과 거의 동일 높이에 있다. 본 발명의 일 태양에서, 삽입 툴(미도시)은 씨드 크리스탈(182A)이 반복 가능하게 설치될 수 있고 플레이트 어셈블리 표면(170A)과 동일 높이에 있는 것을 보장하도록 이용된다. 삽입 툴은, 상대적으로 압축 불가능하고, 평탄하고 폴리싱된 면을 갖는 물질로 만들어져야만 한다. 삽입 툴은 작동면(미도시)을 가져야 하고, 이는 플레이트 어셈블리로의 삽입 동안 씨드 크리스탈과 접촉하고, 이는 씨드 크리스탈(182A)이 만들어지는 물질만큼 적어도 단단하다.
도 13A는 가열/냉각 어셈블리(180)의 일 실시예를 도시하고, 이는 냉각 챔버(80), 베이크 챔버(90), PEB 챔버(130) 및/또는 HMDS 처리 챔버(70)에서 이용될 수 있다. 일 실시예에서, 가열/냉각 어셈블리(180)는 플레이트 어셈블리(170), 및 처리 챔버(186)에 장착되는 진공 소스(175)를 포함한다. 플레이트 어셈블리(170) 는 플레이트(170B), 플레이트 어셈블리 표면(170A), 돌출부(171) 및 진공 소스 포트 어셈블리(172)를 일반적으로 포함한다. 이러한 구성에서, 진공 소스(175)는 진공 펌프 플레넘(172B)에서 네거티브 압력을 만들도록 이용되고, 이로써 에어가 플레이트 어셈블리(170)의 표면에 형성된 다수의 진공 포트(172A) 안으로 유동되게 하며, 이는 기판이 돌출부(171)의 표면을 향해 편향되도록 한다. 플레이트(170B)는 알루미늄, 구리, 그라파이트, 알루미늄 질화물, 보론 질화물, 및/또는 다른 물질과 같은 열전도성 물질로 만들어질 수 있고 열교환 기구(183A)와 소통한다. 도 13A는 상기에서 설명된 냉각 챔버(80), 베이크 챔버(90), PEB 챔버(130) 및/또는 HMDS 처리 챔버(70)에서 도시된 것과 다른 형태를 가지지만, 이 실시예는 상기에서 설명된 모든 피쳐들을 통합하려는 것이다.
일 실시예에서, 플레이트 어셈블리(170)는 가스 소스 포트 어셈블리(173) 및 가스 소스(174)를 포함하고 이에 의해 공정 동안 기판의 에지를 정화하며, 기판 뒤에서 생성되는 감소된 압력에 의해 기판의 뒷면 또는 플레이트 어셈블리 표면(170A) 상에 증발하는 용매 증기가 증착되는 것을 막는다(예를 들면 진공 처크 구성). 이러한 구성에서, 가스 소스(174)는 가스 포트 플레넘(173B)에서 포지티브 압력을 생성하도록 이용되고, 따라서 가스가 플레이트 어셈블리(170)의 표면에 형성된 다수의 가스 포트(173A)로부터 유동하도록 한다. 일 실시예에서, 가스 소스(174)는 아르곤, 크세논, 헬륨, 질소 및/또는 크립톤과 같은 비활성 가스를 기판의 에지로 전달하도록 이루어진다. 또한, 가스 소스(174)는 기판의 에지로 유체를 전달하도록 이루어질 수 있다.
도 13B는 돌출부(171)의 상부 상에 기판이 없는, 플레이트 어셈블리(170)의 표면의 평면도를 도시하고, 이는 돌출부(171)(33 도시됨), 진공 포트(172A)(~367 도시됨), 및 가스 포트(173A)(~360 도시됨)의 한 가능한 구성을 도시하기 위함이다. 일반적으로, 다수의 돌출부(171)는 플레이트 어셈블리(170)의 표면에 걸쳐 위치하고 이에 의해 접촉 구역은 최소화되며 기판 및 플레이트 어셈블리 표면(170A) 사이의 갭은 거의 균일하다. 다수의 진공 포트(172A)는 플레이트 어셈블리(170)의 표면에 걸쳐 그리고 그 주위로 위치하고 이에 의해 기판은 플레이트 어셈블리(170)를 향해 편항되고 따라서 기판 및 플레이트 어셈블리 표면(170A) 사이의 갭은 거의 균일하다. 일 실시예에서, 도 13B에서 도시된 것처럼 진공 포트(172A)("A")의 내부 배열은 가스 포트(173A)("B")의 외부 배열과 거울 관계이고, 이 경우 내부 배열"A"의 지름은 기판 지름보다 작고, 외부 배열"B"의 지름은 기판 지름과 동일하거나 크다. 일 실시예에서, 돌출부(171)를 형성하는데 이용되는 CVD 또는 PVD 증착된 물질의 작은 리지(ridge)(미도시)는 진공 포트(172A)의 내부 배열 및 가스 포트(173A)의 외부 배열 사이에 위치하고 이에 의해 기판의 에지를 정화시키는데 필요한 가스의 양을 최소화시킨다. 도 13A-B는, 플레이트 어셈블리 표면(170A)에서 기판을 떨어뜨려 올리기 위해 플레이트 어셈블리 표면(170A)을 통해 연장하는 리프트 핀 홀(189) 및 리프트 어셈블리(87)를 갖는 구성을 도시한다.
일 실시예에서, 공정 동안 기판의 에지의 냉각을 막기 위해, 가스 소스(174)로부터 전달된 가스는 가스 포트(173A)를 빠져나가기 전에 가열된다. 다른 실시예에서, 플레이트 어셈블리(170)의 가스 포트 플레넘(173B)의 길이는, 주입된 가스가 가스 포트(173A)를 빠져나가기 전에 플레이트 온도를 거의 얻기에 충분히 긴 가스 포트 플레넘에 가스가 존재하는 것을 보장하도록 설계된다.
지지 챔버
지지 챔버(65)(도 4C, 4F 및 4H)는 클러스터 툴(10)에서 공정 순서를 완료하는데 이용되는 용기, 펌프, 밸브, 필터 및 다른 지지 구성요소를 수용하는데 이용될 수 있다.
일 실시예에서, 지지 챔버(65)는, 입자 측정 툴, OCD 타원 편광 분석기, 분광 반사기(spectroscopic reflectometry), 다양한 스케터로메트리(scatterometry)와 같은 다양한 계측 툴을 포함하고, 이에 의해 처리된 기판에서 결점을 검출하고 통계학적 처리 제어를 수행하며 및/또는 시스템이 이후의 기판 품질의 변화를 보상하도록 한다. 어떤 경우에, 비접촉 볼 수 있고 및/또는 DUV 반사기 기술은 클러스터 툴의 기판 상의 필름의 균일성 및 필름 두께의 측정을 수행하는데 이용될 수 있다. 반사기 툴은 밀피타스 캘리포니아의 나노메트릭스사로부터 구입 가능하다.
통합된 OCD 타원 편광 분석기 툴은, 웨이퍼를 독립된 계측 툴로 이동시키지 아니하고 이전 시간을 아끼며 잠재적인 처리 오염 및 손상을 제거한 채 리소그래픽 공정 내에서 폐루프 제어 및 완전한 필름 특징을 가능하게 하는데 이용될 수 있다. 클러스터 툴로 직접적인 다양한 공정 제어 계측 능력을 통합시키는 것은, CD 제어 및 CoO를 향상시키는 것을 도울 것이다. OCD 타원 편광 분석기 툴은 밀피타스 캘리포니아의 나노메트릭스사로부터 구입 가능하다.
웨이퍼 순서/평행 처리
CoO를 줄이고 시장에서 더욱 경쟁적인 노력에서, 전자 소자 제조자는 많은 시간을 공정 순서 및 챔버 처리 시간을 최적화하려고 시도하고 이에 의해 주어진 챔버 처리 시간 및 클러스터 툴 제작 제한에서 가능한 많은 기판 처리량을 얻으려고 한다. 트랙 리소그래피 형태 클러스터 툴에서, 챔버 처리 시간은 다소 짧은 경향이 있고(예를 들어 처리를 완료하는데 약 1분) 전형적인 트랙 시스템 공정을 완료하는데 필요한 처리 단계의 숫자가 크기 때문에, 기판을 처리하는데 드는 상당한 시간이 다양한 처리 챔버들 사이의 클러스터 툴에서 기판을 이동시키는 공정에서 소비된다. 클러스터 툴(10)의 일 실시예에서, CoO는 기판들을 서로 그룹화하고 둘 이상의 그룹으로 된 기판들을 이동시키고 처리함에 의해 감소된다. 따라서 이러한 평행한 처리 형태는, 시스템 처리량을 증가시키고 처리 챔버들 사이에서 기판의 배치를 이동시키도록 만들어진 로봇의 숫자를 줄이며, 따라서 로봇 상의 마찰을 감소시키고 시스템 신뢰도를 높인다.
본 발명의 일 태양에서, 트랙 아키텍쳐는 기판들이 하나씩 포드 어셈블리(105A-D)에 장착된 카세트(106)를 떠나서 이후 제 1 처리 스테이션에서 처리된 후 둘 이상의 기판을 포함하는 그룹으로 함께 그룹 지어 지도록 설계된다. 예를 들면, 도 3A에서 도시된 공정 순서를 이용할 때, 기판은 BARC 코우트 단계(510)를 완료한 후 그룹으로 될 수 있다. 이러한 구성에서, 카세트(106)를 제공하고 제 1 처리 스테이션에 각각의 기판을 위치시키는 로봇은, 단일 블레이드 로봇을 이용할 수 있고, 제 1 처리 스테이션으로부터 기판을 집고 이후의 처리 스테이션에 기판을 위치시키는 로봇(예를 들어 중앙 로봇(107))은, 기판이 그룹화됨에 따라 가능한 많은 기판 보유 기구(예를 들어 로봇 블레이드)를 포함하는 로봇일 것이다. 예를 들면, 도 16A에서 도시된 것처럼, 두 기판이 서로 그룹화되어 있는 경우에, 이중 블레이드 형태의 중앙 로봇(107)이 이용될 수 있다. 본 발명의 다른 태양에서, 기판들은 이들이 스텝퍼/스캐너(5)로 이동되기 전에 그룹화되지 않고 이후 PEB 단계(540)를 수행한 후 다시 그룹화되며, 이후 전단부 로봇(108)에 의해 픽업되기 전에 마지막 처리 스테이션에서 다시 그룹화되지 않는다.
본 발명의 일 태양에서, 기판은 포드 어셈블리(105)에서 함께 그룹화될 수 있고, 다중 블레이드 형태 전단부 로봇(108), 중앙 로봇(107) 및 후방 로봇(109)을 이용하여 그룹으로 클러스터 툴을 통해 이동된다. 도 16A-D는 다중 블레이드 로봇의 일 실시예를 도시한다. 이 경우에, 전단부 로봇(108)의 각각의 블레이드에 기판이 로드된 후, 클러스터 툴을 통한 모든 이동 공정이 그룹으로 완료된다. 기판들은 그룹화되지 않기도 쉬운데, 이 경에는 스텝퍼/스캐너(5)에서 한번에 하나씩 이동한다.
일 실시예에서, 기판들은 쌍으로 그룹화되고 이동 공정은 제 1 처리 챔버에서 단일 기판 이동, 이후 시스템을 통한 이중 기판 이동, 이후 스텝퍼/스캐너(5)로 또는 이로부터의 단일 기판 이동, 이후 시스템을 통한 이중 기판 이동, 및 마지막 챔버로부터 카세트로의 단일 기판 이동과 같은 그룹화 단계를 포함할 것이다. 일 실시예에서, 도 16A-B에서 이하에서 도시된 것처럼, 중앙 로봇(107)은 두 그룹으로 기판을 이동시키기 위해 제 2 블레이드 어셈블리(715B) 상에 적어도 하나의 로봇 블레이드(711B)를 그리고 제 1 블레이드 어셈블리(715A) 상에 적어도 하나의 로봇 블레이드(711A)를 포함하는 이중 블레이드 어셈블리(705)를 포함한다. 이러한 구성에서, 제 1 블레이드 어셈블리(715A) 및 제 2 블레이드 어셈블리(715B)는 일정한 간격으로 이격되어 있고, 이는 기판이 그룹화되는 두 챔버의 수직 공간에 대응한다. 예를 들면, 도 4A에서 도시된 전단부 처리 래크(52)의 CD1 및 CD2에서 BARC 코우트 단계(510)가 수행된 이후 기판이 쌍으로 그룹화되면, CD1 및 CD2 챔버의 이동 위치들의 간격은, 제 1 중앙 처리 래크(152)의 B5 및 B2 베이크 챔버 또는 C12 및 C9 냉각 챔버로 기판의 이동을 가능하게 한다. 따라서, 포스트 BARC 냉각 단계(514)가 완료된 후, 중앙 로봇(107)은, 챔버들(CD1 및 CD2, CD2 및 CD3, 또는 CD3 및 CD4)과 같은 제 2 중앙 처리 래크(154)에 보유된 코우터/현상기 챔버(60)의 쌍 중 하나로 기판의 쌍을 이동시킬 수 있다.
이중 블레이드 어셈블리(705)의 일 실시예에서, 제 2 블레이드 어셈블리(715B)에 대한 제 1 블레이드 어셈블리(715A)의 수평 공간은 일정한 거리로 이격되고, 이는 기판들이 그룹화되는 두 챔버들의 수평 간격에 대응한다. 이러한 구성에서, 제 1 블레이드 어셈블리(715A) 및 제 2 블레이드 어셈블리(715B)는 수평면에서 정렬되고 이에 의해 이중 블레이드 어셈블리(705)가 수평으로 이격된 챔버들에 접근할 수 있다.
도 16D를 참고하면, 다른 실시예에서, 제 1 블레이드 어셈블리(715A) 및 제 2 블레이드 어셈블리(715B)의 공간은 이중 블레이드 어셈블리(705) 상에 장착된 작 동기(722)를 이용하여 변화 가능한 거리로 이격되도록 만들어진다. 일반적으로, 작동기(722)는 그룹화된 기판들이 이동될 챔버들의 원하는 공간과 일치하도록 그룹화된 기판의 다양한 숫자 사이의 공간을 변화시키도록 이루어진다. 일 태양에서, 작동기(722)는 지지대(720) 상에 장착되고 제 2 표면(720B)에 부착된 제 2 블레이드 어셈블리(715B)를 위치시키도록 이루어진다. 이러한 구성에서, 작동기(722)는 방향"B"으로 제 2 표면(720B)을 위치시킴에 의해 제 1 블레이드 어셈블리(715A)에 대한 제 2 블레이드 어셈블리(715B) 사이의 공간"A"을 변화시킬 수 있다. 일 실시예에서, 작동기(722)는 직접적인 드라이브 선형의 브러쉬없는(brushless) 보조모터이고 이는 펜실베니아, 피츠버그의 에어로테크사 또는 일리노이 우드 데일의 다나헐 모션사로부터 구입 가능할 수 있다.
일 실시예에서, 배치 현상 처리는 기판 상에서 수행될 수 있고, 이 경우에 기판은 그룹으로 이동될 것이고 이후 현상 처리를 수행하도록 비그룹화될 것이며, 이후 기판은 그룹으로서 이동되도록 재그룹화될 것이다.
버퍼 스테이션 없는 순서
발명의 한 태양에서 기판 처리 순서와 클러스터 툴은 처리 순서 동안 수행되는 기판 이동 단계가 처리 순서상 다음 처리 단계를 수행할 챔버로 끝나도록 고안되었다. 예비 기술 클러스터 툴 구성은 보통 중간 스테이션, 즉 버퍼 챔버를 처리 순서에 장치하여 기판을 떨어뜨리는 로봇이 다른 이동 단계를 끝내는 한편 다른 로봇은 대기중인 기판을 집어 올려 시스템 내의 다른 원하는 부분으로 이동할 수 있 게 한다. 이어질 처리 단계를 수행하지 않을 챔버에 기판을 놓는 단계는 시간을 낭비시키고 로봇의 효용을 떨어뜨리며 클러스터 툴 내의 공간을 낭비하는 한편 로봇의 마모를 증가시킨다. 버퍼링 단계 추가는 또한 뒷면 입자 오염량을 증가시킬 처리되지 않은 기판 수를 늘리기 때문에 기구 수율에 나쁜 영향을 미친다. 또한, 버퍼 챔버에서 소비된 시간이 모든 기판에 대해 제어되지 않는다면, 버퍼링 단계를 포함하는 기판 처리 순서는 본질적으로 서로 다른 기판 웨이퍼 히스토리를 가질 것이다. 버퍼링 시간을 제어하는 것은 변화 가능한 부가된 처리에 의해 시스템 복잡성을 증가시킬 것이고, 이는 최대 얻을 수 있는 기판 처리량에 쉽게 손상을 줄 것이다. 시스템 처리량이 로봇 제어되는 경우에, 클러스터 툴의 최대 기판 처리량은, 공정 순서를 완성하는 로봇 이동의 총 숫자 및 로봇이 움직이게 만드는 데 걸리는 시간에 의해 지배된다. 로봇이 원하는 이동을 하는데 걸리는 시간은, 로봇 하드웨어, 처리 챔버들 간의 거리, 기판 청결 염려, 및 시스템 제어 한계에 의해 일반적으로 제한된다. 일반적으로 로봇 이동 시간은 한 형태의 로봇에서 다른 형태의 로봇으로 크게 변하지 않을 것이고 넓은 산업에서 공평하게 일관적이다. 따라서, 처리 순서를 완성하도록 더 적은 로봇 움직임을 본질적으로 갖는 클러스터 툴은, 다수의 버퍼링 단계들을 포함하는 클러스터 툴과 같이 처리 순서를 완성하는데 더 많은 움직임을 요구하는 클러스터 툴보다 더 높은 시스템 처리량을 갖는다.
도 2A-G 및 14A-B 상에서 도시된 클러스터 툴의 다양한 실시예는 종래 기술 구성을 뛰어넘는 특별한 장점을 갖는데, 이는 시스템을 통해 기판을 이동시키는데 더 적은 움직임 및 더 적은 로봇이 필요하기 때문이다. 일례는, 카세트(106)에 접근하고 이후 기판을 제 1 처리 챔버(예를 들어 코우터 챔버(60A))에 직접 위치시키며 이후 제 1 처리 챔버에서 처리 후 기판을 이후의 처리 챔버(예를 들어 베이크 챔버(90))로 기판을 전달하는 전단부 로봇(108)의 능력이다. 종래 기술 구성은 카세트들, 처리 챔버들 및/또는 스텝퍼/스캐너 사이에 다수의 중간 스테이션의 이용을 필요로 하고, 다수의 로봇은 클러스터 툴을 통해 공정 순서를 완성한다. 종래 구성에서, 예를 들면 제 1 로봇이 제 1 위치에 기판을 놓는 것이 일반적이고, 이 경우 기판은 제 2 로봇에 의해 픽업되고 처리 챔버에서 제 2 위치에 놓인다. 처리 챔버에서 처리된 후, 기판은 이후 제 2 로봇에 의해 제 1 위치에 다시 놓이고, 이 경우 기판은 제 1 로봇 또는 제 3 로봇에 의해 픽업되어 시스템의 다른 위치로 이동된다. 이러한 이동 공정 또는 이동 경로는 소모적인데, 왜냐하면 이는 제 1 위치 및 제 2 위치 사이의 이동을 완성할 개별적인 로봇을 필요로 하고 기판을 이동시키기 위해 무가치한 두 개의 추가 움직임을 필요로 하기 때문이다. 부가적인 로봇을 추가하는 것 및/또는 무가치한 부가된 움직임을 증가시키는 것은 감소된 기판 처리량에 의해 소모적일 수 있고 클러스터 툴의 신뢰성을 떨어뜨린다. 이러한 태양의 중요성은, 연속적인 순서의 신뢰성이 순서에서 각각의 구성요소의 신뢰성의 제품에 비례한다는 점에 의해 더 잘 이해될 수 있다. 따라서, 99% 가동시간을 갖는 단일 로봇은 99% 가동시간을 갖는 두 개의 로봇보다 항상 뛰어난데, 왜냐하면 각각 99%의 가동 시간을 갖는 두 개의 연속적인 로봇에 대한 시스템 가동 시간은 오직 98.01%이기 때문이다. 트랙 리소그래피 챔버 처리 시간은 다소 짧은 경향이 있고 일반적인 처리 순서를 완성하는데 필요한 처리 단계의 숫자가 크기 때문에, 시스템 처리량은 시스템의 신뢰도, 처리되지 않은 기판의 숫자 및 로봇의 무가치하게 부가된 움직임에 크게 영향을 받을 수 있다.
여기서 설명된 클러스터 툴 구성의 한 장점은, 서로 다른 메인 모듈(예를 들어 전단부 모듈(306), 중앙 모듈(310) 등)에서 처리 챔버들(예를 들어 냉각 챔버(80), 베이크 챔버(90) 등)에 접근하는 둘 이상의 로봇의 능력이다. 예를 들면, 도 2F에서 도시된 실시예에서, 전단부 로봇(108)이 제 1 처리 래크(308) 및 제 2 처리 래크(309)의 처리 챔버들에 접근할 수 있는 동안, 전단부 로봇(108)은 제 1 중앙 처리 래크(312) 및 제 2 중앙 처리 래크(314)의 처리 챔버들에 접근할 수 있다. 다른 메임 모듈의 챔버들에 접근하는 로봇의 능력, 또는 "로봇 겹침"은 시스템 로봇 이동 병목을 막는데 있어서 중요한 태양일 수 있는데, 왜냐하면 이는 시스템 처리량을 제한하는 로봇을 이용되는 로봇 하에서 돕는 것을 가능하게 하기 때문이다. 따라서, 기판 처리량은 증가될 수 있고, 기판의 웨이퍼 히스토리는 더욱 반복 가능하게 만들어질 수 있으며 시스템 신뢰도는 기판 순서 동안 각각의 로봇의 로드의 균형을 맞추는 작용을 통해 향상될 수 있다. 일 태양에서, 시스템 제어기(101)는 최적화된 처리량에 기초하여 클러스터를 통해 기판 이동 경로를 조정하거나 또는 작동 불가능하게 된 처리 챔버들 주위로 작동하도록 이루어진다. 처리량을 최적화시키는 시스템 제어기(101)의 피쳐는 논리적인 스케쥴러(logical scheduler)로 공지되어 있다. 논리적인 스케쥴러는, 클러스터 툴을 통해 분배된 다양한 센서 및 사용자로부터의 입력에 기초하여 기판 움직임 및 작업의 우선순위 를 정한다. 논리적인 스케쥴러는 다양한 로봇(예를 들어 전단부 로봇(108), 중앙 로봇(107), 후방 로봇(109), 하나 이상의 셔틀 로봇(110) 등)의 각각의 요구되는 미래 작업의 리스트를 검토하도록 이루어질 수 있고, 이는 시스템 제어기의 메모리에 보유되며 다양한 로봇의 각각에 놓인 하중의 균형을 맞추는 것을 돕는다. CoO를 향상시키도록 클러스터 툴의 이용을 최대화하기 위해 함게 작동하는 시스템 제어기(101) 및 클러스터 툴 아키텍쳐의 이용은 웨이퍼 히스토리를 더욱 반복 가능하게 만들고 시스템 신뢰도를 향상시킨다.
일 태양에서, 시스템 제어기(101)는 시스템에서 모든 로봇(예를 들어 이중 블레이드 어셈블리(705)(도 16A-C), 블레이드 어셈블리(706)(도 16F-G) 등)의 단부 작동기의 움직임을 모니터하고 제어하도록 추가로 프로그램화되고, 이에 의해 로봇들 사이의 충돌을 막고 로봇이 동일한 시간에 움직이도록 하여 시스템 처리량을 향상시킨다. 이러한 소위 "충돌 금지 시스템"은 다양한 방법으로 수행될 수 있으나, 일반적으로 시스템 제어기(101)가 충돌을 막기 위한 이동 공정 동안 클러스터 툴에서 또는 로봇 상에 위치한 다양한 센서를 이용하여 로봇의 각각의 위치를 모니터한다. 일 태양에서, 시스템 제어기는, 이동 경로 길이를 최소화하고 충돌을 막도록 이동 공정 동안 로봇의 각각의 궤도 및/또는 움직임을 적극적으로 변경하도록 이루어진다. 일 태양에서, "존 금지" 시스템은 다수의 로봇 사이의 충돌을 막는데 이용된다. 존 금지 시스템의 일 태양에서, 시스템 제어기는, 그 하드웨어 및 소프트웨어 구성요소의 이용을 통해, 그 안에서 움직이도록 안전하거나 또는 "개방된" 각각의 로봇 주위의 영역을 연속적으로 모니터하고, 업데이트 하고 형성할 수 있다. 형성된 "개방" 또는 안전 영역은 거기서 로봇이 다른 로봇과 충돌할 가능성 없이 안으로 또는 이를 통해 움직일 수 있는 구역이다. 충돌 금지 시스템의 다른 실시예에서, 시스템 제어기는, 둘 이상의 로봇의 움직임이 이들을 동일한 공간으로 움직이게 하여 충돌하지 않는 것을 보장하도록 클러스터 툴 내의 각각의 로봇의 실제 위치를 연속적으로 트랙하도록 로봇(들) 상의 그리고 클러스터 툴 메인프레임 주위로 분배된 방출기 및 다수의 센서(예를 들어 다양한 로봇 축 상의 인코더, 위치 센서 등)를 모니터하고 제어하도록 이루어진다. 일 태양에서, 센서는 광학 센서이고 이는 클러스터 툴에서 다양한 수직 및/또는 수평 방향에 위치하며, 이에 의해 각각의 로봇의 위치를 모니터한다. 다른 태양에서, 각각의 로봇 및 이의 구성요소는, 메인프레임에 위치한 다수의 센서에 대해 다양한 로봇 구성요소 상에 위치한 방출기를 이용하여 다양한 로봇 구성요소의 각각의 위치를 3각으로 만들 수 있는 감지 시스템을 이용하여 모니터된다. 일 태양에서, 감지 시스템은 RF 발신기 및 수신기인 센서 및 방출기를 포함한다.
도 14A는 클러스터 툴(10)을 통해 유동하는 기판의 일례를 나타낼 의도로서 기판 이동 경로를 개략적으로 도시하고, 이 경우 버퍼링 단계의 수는 최소화되거나 완전히 제거된다. 이동 경로는 일반적으로 경로의 개략적인 표시이고, 기판은 다양한 처리 방법 단계가 기판 상에서 수행될 수 있도록 한 위치에서 다른 위치로 움직임에 따라 이동한다. 도 14A는 도 3A에서 도시된 처리 순서를 따르는 기판의 이동 경로를 도시한다. 이러한 실시예에서, 기판은 전단부 로봇(108)에 의해 포드 어셈블리(105)(105A)로부터 제거되고, 코우터 챔버(60A)(예를 들어 CD1, CD2, 등 (도 4A))로 전달되며 이는 이동 경로(A1)를 따르고, 이에 의해 BARC 코우트 단계(510)는 기판 상에서 완료될 수 있다. BARC 처리가 완료되면, 기판은 이후 이동 경로(A2)를 따르는 중앙 로봇(107)에 의해 베이크 챔버(90)(예를 들어 B1, B3 등(도 4B))로 이동되고 여기서 포스트 BARC 베이크 단계(512)가 기판 상에서 완료된다. 포스트 BARC 베이크 단계(512)를 완료한 후, 기판은 이후 이동 경로(A3)를 따르는 셔틀 로봇(110)에 의해 포스트 BARC 냉각 단계(514)(예를 들어 C1, C2, 등(도 4B))로 이동된다. 포스트 BARC 냉각 단계(514)를 수행한 후, 기판은 이동 경로(A4)를 따라 중앙 로봇(107)에 의해 코우터 챔버(60A)(예를 들어 CD1, CD2 등(도 4C))로 이동되고 여기서 포토레지스트 코우트 단계(520)가 수행된다. 포토레지스트 코우트 단계(520)를 수행한 후, 기판은 이후 이동 경로(A5)를 따라 중앙 로봇(107)에 의해 베이크 챔버(90)(예를 들어 B2, B4 등(도 4B))로 이동되고 여기서 포스트 포토레지스트 베이크 단계(522)가 수행된다. 포스트 포토레지스트 코우트 베이크 단계(522)를 수행한 후, 기판은 이후 셔틀 로봇(110)에 의해 이동 경로(A6)를 따라 냉각 챔버(80)(예를 들어 C1, C2 등(도 4B))로 이동되고 여기서 포스트 포토레지스트 냉각 단계(524)가 수행된다. 포스트 포토레지스트 냉각 단계(524)를 수행한 후, 이후 기판은 중앙 로봇(107)에 의해 이동 경로(A7)를 따라 OEBR 챔버(62)(예를 들어 OEBR1 등(도 14A에서는 미도시, 도 14D를 보라))로 이동되고 여기서 OEBR 단계(536)가 수행된다. 이후 기판은 스텝퍼/스캐너(5)로 후방 로봇(109)을 이용하여 이동 경로(A8)를 따라 이동된다. 노광 단계(538)가 완료된 후, 후방 로봇(109)은 이동 경로(A9)를 따라 PEB 챔버(130)(도 4D)로 기판을 이동 시킨다. PEB 단계(540)를 수행한 후, 기판은 이후 셔틀 로봇(110)에 의해 이동 경로(A10)를 따라 냉각 챔버(80)로 이동되고 여기서 포스트 PEB 냉각 단계(542)가 수행된다. 포스트 PEB 냉각 단계(542)를 수행한 후, 기판은 이후 이동 경로(A11)를 따라 후방 로봇(109)(또는 중앙 로봇(107))에 의해 현상 챔버(60B)로 이동하고 여기서 현상 단계(550)가 수행된다. 현상 단계(550)의 수행 후, 기판은 이후 이동 경로(A12)를 따라 중앙 로봇(107)에 의해 냉각 챔버(80)로 이동되고 여기서 이는 전단부 로봇(108)에 의해 픽업되어 이동 경로(A13)를 따라 포드 어셈블리(105)로 이동될 것이다.
도 14A에서 도시된 클러스터 툴(10)의 일 태양에서, 기판은 함께 그룹화되고 둘 이상의 그룹으로 이동되며, 이에 의해 그룹화된 기판은 이동 경로(A1-A7 및 A10-A12)를 따라 그룹으로 이동할 수 있다. 상기에서 언급된 것처럼, 이러한 평행한 처리 형태는 시스템 처리량을 증가시킬 것이고 로봇 움직임의 수를 감소시킬 것이며 이로써 처리 챔버들 사이에서 기판의 배치를 이동시키도록 만들어 로봇 상의 마멸을 감소시키고 시스템 신뢰도를 증가시킨다.
도 14A에서 도시된 클러스터(10)의 일 태양에서, 이동 경로(A3, A6 및/또는 A10)는 중앙 로봇(107)에 의해 완료된다. 일 태양에서, 이동 경로(A11)는 셔틀 로봇(110)에 의해 완료되고 이는 냉각 챔버(80) 및 현상기 챔버(60B) 사이에서 기판을 이동시키도록 이루어진다.
도 14B는 클러스터 툴(10)이 도 2F의 구성을 통해 기판 이동 경로의 일례를 개략적으로 도시하고 이 경우 버퍼링 단계의 숫자는 최소화되거나 또는 제거될 수 있다. 도 14B는 도 3A에서 도시된 처리 순서를 따르는 기판의 이동 경로를 도시한다. 이러한 실시예에서, 기판은 전단부 로봇(108)에 의해 포드 어셈블리(105)(105C)로부터 제거되고, 코우터 챔버(60A)로 전달되며 이는 이동 경로(A1)를 따르고, 이에 의해 BARC 코우트 단계(510)는 기판 상에서 완료될 수 있다. BARC 처리가 완료되면, 기판은 이후 이동 경로(A2)를 따르는 중앙 로봇(107)에 의해 베이크 챔버(90)(예를 들어 B1, B3 등(도 4G))로 이동되고 여기서 포스트 BARC 베이크 단계(512)가 기판 상에서 완료된다. 포스트 BARC 베이크 단계(512)를 완료한 후, 기판은 이후 이동 경로(A3)를 따르는 셔틀 로봇(110)에 의해 포스트 BARC 냉각 단계(514)(예를 들어 C1, C2, 등(도 4G))로 이동된다. 포스트 BARC 냉각 단계(514)를 수행한 후, 기판은 이동 경로(A4)를 따라 전단부 로봇(108) 또는 중앙 로봇(107)에 의해 코우터 챔버(60A)(예를 들어 CD1, CD2, CD3 등(도 4J))로 이동되고 여기서 포토레지스트 코우트 단계(520)가 수행된다. 포토레지스트 코우트 단계(520)를 수행한 후, 기판은 이후 이동 경로(A5)를 따라 중앙 로봇(107)에 의해 베이크 챔버(90)(예를 들어 B2, B4 등(도 4I))로 이동되고 여기서 포스트 포토레지스트 코우트 베이크 단계(522)가 수행된다. 포스트 포토레지스트 코우트 베이크 단계(522)를 수행한 후, 기판은 이후 셔틀 로봇(110)에 의해 이동 경로(A6)를 따라 냉각 챔버(80)(예를 들어 C1, C2 등(도 4I))로 이동되고 여기서 포스트 포토레지스트 냉각 단계(524)가 수행된다. 포스트 포토레지스트 냉각 단계(524)를 수행한 후, 이후 기판은 중앙 로봇(107)에 의해 이동 경로(A7)를 따라 OEBR 챔버(62)(예를 들어 OEBR1 등(도 4I))로 이동되고 여기서 OEBR 단계(536)가 수행된다. 이후 기판 은 스텝퍼/스캐너(5)로 중앙 로봇(107)을 이용하여 이동 경로(A8)를 따라 이동된다. 노광 단계(538)가 완료된 후, 중앙 로봇(107)은 이동 경로(A9)를 따라 PEB 챔버(130)로 기판을 이동시킨다. PEB 단계(540)를 수행한 후, 기판은 이후 셔틀 로봇(110)에 의해 이동 경로(A10)를 따라 냉각 챔버(80)로 이동되고 여기서 포스트 PEB 냉각 단계(542)가 수행된다. 포스트 PEB 냉각 단계(542)를 수행한 후, 기판은 이후 이동 경로(A11)를 따라 또는 중앙 로봇(107)에 의해 현상 챔버(60B)(예를 들어 CD1, CD2, CD3 등(도 4J))로서 구성된 처리 챔버(370)로 이동하고 여기서 현상 단계(550)가 수행된다. 현상 단계(550)의 수행 후, 기판은 이후 이동 경로(A12)를 따라 전단부 로봇(108)에 의해 포드 어셈블리(105)로 이동될 것이다. 일 태양에서 이동 경로(A12)는 중앙 로봇(107)을 이용하여 현상기 챔버(60B)로부터 기판을 픽업함에 의해, 기판을 전단부 로봇(108)으로 이동시킴에 의해, 그리고 이후 기판을 포드 어셈블리(105)로 이동시킴에 의해 완료될 수 있다.
일 태양에서, 이동 경로(A12)는 두 단계(미도시)로 분해될 수 있고, 이 경우 기판은 중앙 로봇(107)에 의해 제 1 처리 래크(308)의 냉각 챔버(80)로 이동되고 이후 전단부 로봇(108)을 이용하여 카세트로 이동된다. 이러한 구성에서, 냉각 챔버(80)는 "안전" 위치로서 작용하고 이 경우 기판은 열적 에너지 또는 공정 유체에 노광되지 아니한 채 존재할 수 있으며, 이는 처리된 기판 상의 오염의 양 및 웨이퍼 히스토리에 영향을 미칠 수 있다. "안전" 위치는 상승된 리프트 핀(87D)(도 10A의 하부 위치에서 도시된) 상에 기판을 지지하는 것 또는 냉각 플레이트 블록(83B)(도 10A) 상의 기판을 보유하는 것과 일치할 수 있다.
일 태양에서, 이동 경로(A12)는 중앙 로봇(107)을 이용하여 현상기 챔버(60B)로부터 기판을 픽업하고 이후 기판을 포드 어셈블리(105)로 이동시킴에 의해 완료될 수 있다. 이러한 구성에서, 중앙 로봇(107)은 슬라이드 어셈블리(미도시) 및 이동 작동기(예를 들어 선형 보조 모터 등(미도시))를 이용하여 클러스터 툴(10)의 길이를 따라 거리를 이동시키도록 이루어질 수 있고 이에 의해 카세트에 접근하도록 로봇의 원하는 도달 거리를 제공한다.
도 14B에서 도시된 클러스터(10)의 일 태양에서, 이동 경로(A3, A6, 및/또는 A10)는 중앙 로봇(107) 또는 전단부 로봇(108)에 의해 완료된다. 도 14B에서 도시된 클러스터 툴(10)의 다른 태양에서, 기판은 함께 그룹화되고 둘 이상의 그룹으로 이동되며 이에 의해 그룹화된 기판은 이동 경로(A1-A7 및 A10-A12)를 따라 그룹으로서 이동할 수 있다.
클러스터 로봇 설계
A. 수직 레일 로봇 설계
도 15A는 중앙 로봇(107)의 일 실시예를 도시하는 클러스터 툴(10)의 등축도이다. 중앙 로봇(107)의 이러한 실시예는 프록-레그 로봇(이후 FLR 또는 FL 로봇) 어셈블리(602)를 포함하고, 이는 전단부 처리 래크(52), 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154) 및/또는 후방 처리 래크(202)에 포함된 다양한 처리 챔버들로 그리고 이로부터 기판을 이동시키도록 이루어진다. 제 2 중앙 처리 래크(154)는 도 15A로부터 제거되었고 이는 이 실시예에 포함된 구성요소들을 강조 하고 분명하게 나타내기 위함이다. 도 15A-D를 참고하면, FLR 어셈블리(602)는 상부 프록-레그(FL) 로봇 어셈블리(610), 하부 프록-레그(FL) 로봇 어셈블리(620), 및 리프트 레일 어셈블리(626)를 일반적으로 포함한다. 리프트 레일 어셈블리(626)는 일반적으로 전방 레일(614) 및 후방 레일(612)을 포함한다. 이러한 구성은 두 개의 로봇 어셈블리, 상부 FL 로봇 어셈블리(610) 및 하부 FL 로봇 어셈블리(620)를 포함하고 이들은 각각 독립적으로 모두 수직 및 수평면으로 이동하도록 이루어진다. 이러한 실시예에서, 독립적인 상부 FL 로봇 어셈블리(610) 및 독립적인 하부 FL 로봇 어셈블리(620)는 각각 수직 평면으로(즉 리프트 레일 어셈블리(626)를 따라) 이동할 수 있고, 시스템 제어기(101)의 명령으로부터의 FL 로봇(625)의 움직임에 의해 수평면으로 어떤 위치로 기판을 이동시킬 수 있다. 도 15A-D는 두 개의 로봇 어셈블리, 상부 FL 로봇 어셈블리(610) 및 하부 FL 로봇 어셈블리(620)를 포함하는 구성을 도시하고, 클러스터 툴(10)의 다른 실시예는 셋 이상의 로봇 어셈블리를 포함할 수 있다. 클러스터 툴(10)의 다른 실시예에서, 단일 FL 로봇 어셈블리가 클러스터 툴을 통해 기판을 이동시키도록 이용된다.
도 15B는 클러스터 툴(10)의 평면도이고, 여기서 FL 로봇 어셈블리(602)의 하부 FL 로봇 어셈블리(620)가 후방 처리 래크(202)에 보유된 처리 챔버로부터 기판을 교환하고 있다.
도 15C는 중앙 로봇(107)의 등축도이고, 이는 상부 FL 로봇 어셈블리(610) 및 하부 FL 로봇 어셈블리(620)의 다양한 구성요소들을 강조한다. 일반적으로 리프트 레일 어셈블리(626)는 중앙 모듈(150)의 일부인 중앙 모듈 프레임(미도시)에 장착된다. 도 15A-D는 상부 FL 로봇 어셈블리(610) 또는 하부 FL 로봇 어셈블리(620)의 FL 로봇(625)이 서로 대향하는(즉, 상부 FL 로봇이 아래를 향하고 하부 FL 로봇이 위를 향함) 구성을 도시하지만, 본 발명의 범위로부터 벗어나지 아니한 채, 상부 FL 로봇 어셈블리(610) 또는 하부 FL 로봇 어셈블리(620) 모두 위를 향하거나 또는 아래를 향하는 경우와 같은 다른 구성도 이용될 수 있다.
도 15D는 하부 FL 로봇 어셈블리(620)의 평면도이고, 이는 상부 FL 로봇 어셈블리(610) 또는 하부 FL 로봇 어셈블리(620) 중 어느 것에서나 공통으로 발견되는 다양한 구성요소를 도시할 의도이다. 상부 FL 로봇 어셈블리(610) 또는 하부 FL 로봇 어셈블리(620)는 일반적으로 FL 로봇(625) 및 지지 어셈블리(624)를 포함할 것이다. 일 실시예에서, 도 15A-D에서 도시된 것처럼, FL 로봇(625)은 다양한 처리 스테이션 사이에서 기판을 이동시키도록 이루어진 두 개의 기판 캐리어(즉, 611A 및 611B)를 가지지만, 이러한 구성은 본 발명의 범위를 제한할 의도는 아닌데 왜냐하면 기판 캐리어의 숫자 또는 프록-레그 구성의 이용은 여기서 설명된 본 발명의 다양한 태양을 제한할 의도가 아니기 때문이다. 본 발명으로부터 혜택을 갖도록 이루어질 수 있는 두 개의 기판 캐리어를 갖는 예시적 FL 로봇의 예는, 여기서 참조로 인용된 1994년 4월 11일에 "로봇 어셈블리"란 명칭으로 출원된 미국 특허 출원 제 5,447,409호에서 설명된다. 본 발명으로부터 혜택을 갖도록 이루어질 수 있는 다른 FL 로봇의 예는, 여기서 참조로 인용된 2000년 4월 11일에 "반도체 기판을 다루기 위한 로봇"이란 명칭으로 출원된 미국 특허 출원 제 6,379,095호 및 1994년 8월 30일에 "두 개의 축으로 자성적으로 결합된 로봇"이란 명칭으로 출원된 미국 특허 출원 제 5,469,035호에서 설명된다.
일 실시예에서, FL 로봇(625)은 두 개의 기판 캐리어(611A-B)를 가지고, FL 로봇(625)은 이중 축 모터(615), 주요 아암(618A-B), 보조 아암(619A-D), 리스트(wrist) 어셈블리(621A-B), 및 기판 캐리어(611A-B)를 일반적으로 포함할 것이다. 일반적으로 이중 축 모터(615)의 다양한 축의 이동에 의해, 주요 아암(618A-B)은 반대 방향으로 회전될 수 있고 이에 의해 기판 캐리어(611A-B)를 연장하거나 수축시키며, 또는 동일한 방향으로 회전될 수 있고 이에 의해 기판 캐리어(611A-B)를 원하는 위치로 회전시킬 수 있다. FL 로봇(625)은 로봇 어셈블리(625)를 지지하고 보유한 지지 어셈블리(624)의 지지대(613) 상에 장착된다.
도 15C-D를 참고하면, 지지 어셈블리(624)는 지지대(613), 모터 어셈블리(617A)를 일반적으로 포함하고, 이는 전단부 레일(614) 및 모터 어셈블리(617B)와 소통하며, 이는 지지대(613)에 모두 부착된 후방 레일(612)과 소통한다. 모터 어셈블리(617A) 및 모터 어셈블리(617B)는 작동기(630) 및 안내 메커니즘(631)을 일반적으로 포함한다. 일 실시예에서, 작동기(630)는 직접 드라이브 선형 브러쉬없는 보조 모터이고, 이는 리프트 레일 어셈블리(626) 구성요소 상에 장착된 베이스 구성요소(616A-B)(예를 들어 보조 코일 또는 "로터" 섹션)와 소통하여 부착된 FL 로봇 어셈블리 구성요소(예를 들어 610 또는 620)를 독립적으로 올리거나 내리도록 이루어진다. 일 실시예에서, 안내 메커니즘(631)만을 갖는 다른 레일 및 리프트 레일(즉, 전방 레일(614) 및 후방 레일(612)) 중 하나에 장착된 단일의 작동기(630)를 갖는 것은, 제어의 측면에서 비용 및 용이성에서 유리할 수 있다. 직접 드라이브 선형 브러쉬없는 보조 모터는, 일리노이 우드 데일의 다나헐 모션사 또는 펜실베니아 피츠버그의 에어로테크사로부터 구입 가능할 수 있다. 다른 실시예에서, 작동기(630)는 스텝퍼 모터 또는 다른 형태의 작동기일 수 있고, 이는 다양한 FL 로봇 어셈블리(610 또는 620) 구성요소를 높이고 낮추는데 이용될 수 있다.
안내 메커니즘(631)은 FL 로봇 어셈블리(610) 또는 FL 로봇 어셈블리(620) 구성요소를 지지하고 정밀하게 안내하도록 이루어지고, 이들은 리프트 레일 상에서 높여지고 낮춰지며, 이에 의해 FL 로봇 어셈블리(610) 또는 FL 로봇 어셈블리(620)의 움직임의 위치 및 정확도가 제어되어 기판의 일정한 움직임 및 이동을 가능하게 하는 것을 보장한다. 일 실시예에서(미도시), 안내 메커니즘(631)은 선형 안내부를 포함하고 이는 FL 로봇 어셈블리(610 또는 620) 구성요소를 보유하고 지지한다. 선형 안내부는 일리노이의 우드 데일의 다나헐 모션사로부터 구입 가능할 수 있다. 다른 실시예에서, 도 15C-D에서 도시된 것처럼, 휠(619)은 직각 구성으로 모터 어셈블리(617A-B) 및 t-형태의 레일 구조(618) 상의 롤에 부착되고 이에 의해 FL 로봇 어셈블리(610) 또는 FL 로봇 어셈블리(620) 구성요소의 움직임을 정확하게 제어하고 위치시킨다.
본 발명의 일 태양에서, FL 로봇 어셈블리(602)는 둘 이상의 FL 로봇 어셈블리(610, 620)를 포함하고, 이는 기판이 그룹화되어 함께 이동하는 것을 가능하게 하도록 동시에 일어난다. 이러한 구성은 클러스터 툴의 기판 처리량을 향상시킬 것이므로 유리할 수 있다. 일 태양에서, 둘 이상의 FL 로봇 어셈블리는 서로 물리적으로 결합되고 이에 의해 FL 로봇 어셈블리의 각각의 블레이드의 움직임은 일치 하도록 움직이고 그룹화된다. 이러한 구성에서, 로봇 어셈블리(610)는 일정한 거리만큼 이격될 수 있고 동시적인 움직임으로 이동한다. 다른 태양에서, FL 로봇 어셈블리(예를 들어 610, 620)는 기계적으로 서로 결합되고 이에 의해 이들은 일정한 거리만큼 이격된 채 유지되나 FL 로봇(625)의 각각은 서로 독립적으로 이동할 수 있다(예를 들어 수평면으로 독립적으로 이동한다).
다른 태양에서, 시스템 제어기(101)는, 기판이 둘 이상의 그룹으로 이동될 수 있도록, 둘 이상의 FL 로봇 어셈블리의 각각의 움직임을 동시에 일어나게 하고 제어하는데 이용된다. 예를 들면, 중앙 로봇(107)이 둘 이상의 로봇을 포함하는 FL 로봇 어셈블리(602)라면, 도 14A에서 설명된 이동 경로(A2)는 상부 FL 로봇 어셈블리(610) 및 하부 FL 로봇 어셈블리(620)를 이용하여 두 개의 코우터 챔버(60A)(CD1 및 CD2(도 4A))로부터 기판을 거의 동시에 픽업하고 이후 거의 동시에 기판을 원하는 베이크 챔버(90)(예를 들어 B1 및 B5(도 4B))로 떨어뜨림으로써 완성될 수 있다. 이러한 구성은 그룹화된 이동이 처리량을 향상시키므로 유리할 수 있고, 일정한 다른 원하는 작업을 완료할 필요가 있다면 각각의 로봇이 독립적으로 움직이는 것을 가능하게 한다.
B. 관절 로봇
도 16A는 관절 로봇 어셈블리(702)(이후 AR 어셈블리(702))를 포함하는 중앙 로봇(107)의 일 실시예의 등축도이다. AR 어셈블리(702)는 전단부 처리 래크(52), 제 1 중앙 처리 래크(152), 제 2 중앙 처리 래크(154), 및/또는 후방 처리 래 크(202)에 포함된 다양한 처리 챔버들로 그리고 이로부터 기판을 이동시키도록 이루어진다. 제 2 중앙 처리 래크(154)는 도 16A로부터 제거되었고, 이는 이 실시예에 포함된 구성요소를 강조하고 분명하게 나타내기 위함이다. AR 어셈블리(702)는 일반적으로 관절 로봇(710) 및 이중 블레이드 어셈블리(705)를 포함한다. 관절 로봇(710)은 6-축 관절 로봇이 일반적이고 이는 샌프란시스코 던컨의 스타우블리사, 마이애미 위솜의 카와사키 로보틱스(USA), 및 일본 도쿄의 미츠비시 전기로부터 구입 가능하다. 일 실시예에서, 6-축 관절 로봇은 모델 번호 TX90이고 이는 샌프란시스코 던컨의 스타우블리사로부터 구입한 것이다. 관절 로봇(710)은 로봇 베이스(713A) 및 기계적 인터페이스(713B)를 가지고 이는 각각 로봇을 클러스터 툴에 그리고 단부 작동기 어셈블리(예를 들어 이중 블레이드 어셈블리(705), 블레이드 어셈블리(706) 등)를 로봇으로 연결시킨다. 일반적으로 6-축 관절 로봇은, 관절 로봇의 작용 범위가 다중 축 및 다중 결합 설계로 인해 종래의 로봇보다 더 멀기 때문에 유리하고, 다중 관절 로봇의 작용 범위는 기판을 이동시키고 보유하는 단부 작동기의 움직임이 로봇 베이스(713A)의 움직임과 연결되지 않으므로 더욱 쉽게 "겹칠" 수 있으므로 유리할 수 있으며, 이는 로봇이 더욱 효과적으로 기판을 이동시키면서 서로 피해가능 것을 가능하게 하고 및/또는 관절 로봇의 신뢰도가 가장 최근 로봇을 능가하게 한다.
이중 블레이드 어셈블리(705)는 일반적으로 지지대(720), 둘 이상의 블레이드 어셈블리(715)(예를 들어 제 1 블레이드 어셈블리(715A), 제 2 블레이드 어셈블리(715B), 등)를 포함한다. 지지대(720)는 관절 로봇(710)에 부착되고 이에 의해 안내되며, 이로써 제 1 블레이드 어셈블리(715A)의 블레이드 및 제 2 블레이드 어셈블리(715B)의 블레이드가 처리 래크에 보유된 두 개의 서로 다른 처리 챔버의 기판을 위치시키고 및/또는 각각 집을 수 있다. 로봇 블레이드 간의 피치("A") 또는 간격은 제 1 지지면(720A) 및 제 2 지지면(720B) 사이의 간격에 의해 고정되며, 이는 처리 래크에 보유된 두 처리 챔버들 사이의 피치와 일치하도록 설계된다. 따라서, 제 1 중앙 처리 래크(152)의 베이크 챔버들(B1 및 B4로 라벨되어 있음)의 이동 위치 사이의 간격은 전단부 처리 래크(52)의 코우터/현상기 챔버(CD1 및 CD2로 라벨되어 있음) 사이의 피치와 일치할 것이고, 이에 의해 BARC 코우트 단계(510)를 완료한 후 기판은 이후 베이크 챔버들(B1 및 B4로 라벨되어 있음)로 이동되어 포스트 BARC 베이크 단계(512)를 완료한다. 도 16B를 참고하면, 피치"A"는 일반적으로 기판 수용면(712A-B)에 대해 수직한 방향으로 블레이드(711A-B) 사이의 간격 또는 공간으로서 정의된다. 일 실시예에서 피치("A")는 약 100mm 내지 약 1200mm 의 간격이고 바람직하게는 약 300mm 내지 약 700mm이다. 이중 블레이드 어셈블리(705)는 관절 로봇 어셈블리(702)와 함께 도시되었지만, 다른 구성도 본 발명의 기본 범위로부터 벗어나지 않은 채 다른 형태의 로봇 상의 이중 블레이드 어셈블리(705)를 이용할 수 있다.
일 태양에서, 기판 수용면(712A-B)은, 로봇 블레이드 상에 위치한 기판을 지지하는 에지 잡음 메커니즘을 이용하여 블레이드(미도시) 상에 위치한 기판을 보유하도록 이루어진다. 에지 잡음 메커니즘은 기판을 지지하고 보유하기 위해 다중 지점(예를 들어 3 지점)에서 기판의 에지를 붙잡도록 이루어질 수 있다.
도 16B를 참고하면, 일 실시예에서, 각각의 블레이드 어셈블리(715)(예를 들어 제 1 블레이드 어셈블리(715A) 또는 제 2 블레이드 어셈블리(715B))가 하나 이상의 로봇 블레이드 작동기(721)(721A-B) 및 하나 이상의 로봇 블레이드(711)(711A-B)를 일반적으로 포함한다. 로봇 블레이드 작동기(721)는 직접적인 드라이브 선형 브러쉬없는 보조 모터 또는 다른 동등한 기구일 수 있고, 이는 로봇 블레이드(711)의 위치 및 이동을 제어할 수 있다. 일반적으로, 로봇 블레이드 사이의 피치는 다른 로봇 블레이드에 대한 하나의 로봇 블레이드의 작동 또는 이동에 의해 영향받지 않을 것이고, 이는 작동된 블레이드는 다른 로봇 블레이트에 평행한 평면을 따라 이동하는 것이 바람직하기 때문이다.
도 16C는 이중 블레이드 어셈블리(705)의 일 실시예를 도시하고, 이는 제 2 지지면(720B) 상에 위치한 지지 브래킷(722B)에 장착된 블레이드 어셈블리(715B, 715D)의 제 2 쌍 및 제 1 지지면(720A) 상에 위치한 지지 브래킷(722A)에 장착된 블레이드 어셈블리(715A, 715C)의 한 쌍을 포함한다. 도 16C는, 나머지 블레이드(예를 들어 715A 및 715C-D)가 이들의 수축 위치에 있고 로봇 블레이드(711B)가 작동 위치에 있는 경우의 구성을 추가로 도시한다. 이중 블레이드 어셈블리(705)의 일 태양에서, 개별적인 블레이드 어셈블리(715)(예를 들어 715A-D)에 포함된 각각의 로봇 블레이드(711)(예를 들어 711A-D)는 시스템 제어기(미도시) 및 이의 로봇 블레이드 작동기(721)(예를 들어 721A-D)를 이용하여 개별적으로 작동될 수 있다. 일 태양에서, 도 16C에서 도시된 것처럼, 각각의 쌍으로 된 각각의 로봇 블레이드(711)는, 서로 수직으로 이격되고 서로에 걸쳐 거의 수평으로 정렬된(종종 "위/아래" 구성이라고 불림) 방향으로 물리적으로 위치할 수 있고, 이에 의해 기판은 동시에 각각의 블레이드 상에 보유될 수 있다. 위/아래 블레이드 구성은, "제거된" 기판이 다른 챔버로 이동하도록 원래 위치를 떠날 필요 없이, 로봇이 다음 기판을 동일한 처리 챔버에 처리되도록 위치시키기 전에 처리 챔버로부터 기판을 제거해야만 하는 경우에 장점을 가질 수 있다. 다른 태양에서, 이러한 구성은 로봇이 모든 블레이드를 채우는 것을 가능하게 하고 이후 기판을 그룹으로 툴에서 원하는 위치로 이동시키는 것을 가능하게 할 수 있다. 예를 들면, 도 16C에서 4개의 기판이 4개의 블레이드 상에서 이동될 수 있다. 이러한 구성은 그룹으로 이동되는 기판이 각각의 블레이드(711A-D)로부터 한번에 하나씩 기판을 집거나 내려놓음에 의해 그룹화 해제되는 것을 가능하게 한다는 추가적인 장점을 가진다. 다른 실시예에서, 지지면(예를 들어 720A 및 720B 도 16B)의 각각에 장착된 셋 이상의 적층된 블레이드는 로봇 블레이드의 "쌍"을 대신하여 이용될 수 있고 이에 의해 그룹으로 다수의 기판의 이동을 촉진한다.
도 16E는 위/아래 형태 이중 블레이드 어셈블리(705)의 단면도를 도시하고, 이 경우 단일 블레이드(715D)는 포드 어셈블리(105)에서 기판"W"에 접근하도록 확장되어 카세트(106)에서 기판을 집거나 내려놓을 수 있다. 이러한 구성은 시스템을 통해 기판의 그룹화된 이동을 가능하게 할 것이고 이후 한번에 하나의 기판만을 수용할 수 있는 스테이션(예를 들어 카세트(106), 스텝퍼/스캐너(5) 등)에서 기판을 하나씩 집거나 및/또는 내려놓는 것을 가능하게 한다.
본 발명의 일 태양에서, 둘 이상의 고정된 로봇 블레이드, 즉 로봇 블레이드 작동기(721)를 갖지 않는 로봇을 이용하여 단일 기판 이동 작업을 수행하기 위해, 로봇은 로봇 블레이드의 적어도 하나를 "재위치", 예를 들어 접거나, 회전시키거나 및/또는 분리시키도록 이루어져야 하고, 이에 의해 "재위치된" 블레이드는 다른 로봇 블레이드 상의 기판을 이동시키는 공정으로부터 방해받지 않을 것이다. 이러한 구성에서, 특별한 위치 또는 챔버(예를 들어 지지 챔버)는 로봇 블레이드를 수용하고 이를 원하는 방향으로 재위치시켜 다른 로봇 블레이드를 이용하여 기판이 이동되는 것을 가능하게 하도록 이루어질 수 있다. 하나 이상의 로봇 블레이드를 재위치시키는 능력은, 그룹화된 이동 순서로 하나 이상의 처리 챔버들이 작동하지 않을 때 특히 유용할 수 있고, 이는 다른 인접한 처리 챔버 위치가 이용되도록 할 것이기 때문에 블레이드가 처리 챔버로 들어가는 것을 허용하지 않을 것이다.
도 16F 및 16G는 단일 블레이드 형태 관절 로봇 어셈블리(703)를 포함하는 후방 로봇(109) 또는 전단부 로봇(108)의 일 실시예의 등축도이다. 단일의 관절 로봇 어셈블리(703)(이후로는 SA 로봇 어셈블리(703))는, 로봇이 전단부 로봇(108) 또는 후방 로봇(109)인지에 따라, 전단부 처리 래크(52) 및 포드 어셈블리(105), 또는 후방 처리 래크(202) 및 스텝퍼/스캐너(5)에 포함된 다양한 처리 챔버들로 그리고 이로부터 기판을 이동시키도록 이루어진다. SA 로봇 어셈블리(703)는 일반적으로 관절 로봇(710) 및 블레이드 어셈블리(706)를 포함한다. 관절 로봇(710)은 일반적으로 6-축 관절 로봇이고, 이는 샌프란시스코 던컨의 스타우블리사, 마이애미 위솜의 카와사키 로보틱스(USA)사, 및 일본 도쿄의 미츠비시 전기로부터 구입 가능할 수 있다.
도 16G를 참고하면, 블레이드 어셈블리(706)는, 상기에서 설명된, 일반적으로 지지대(718) 및 블레이드 어셈블리(715)(예를 들어 제 1 블레이드 어셈블리(715A))를 포함한다. 지지대(718)는 관절 로봇(710)에 부착되고 이에 의해 안내되며, 이로써 블레이드 어셈블리(715)의 로봇 블레이드(711)는 처리 래크에 포함된 처리 챔버에서 하나의 기판을 위치시키고 및/또는 집을 수 있다. 일 실시예에서, 단일의 블레이드 관절 로봇 어셈블리(703)는, 도 16C와 함께 설명되고 도시된 한 쌍과 같이 블레이드 어셈블리(715)(예를 들어 715A 및 715C)의 쌍을 포함할 수 있다.
일 실시예에서, 전단부 로봇(108) 및 후방 로봇(109)은 도 16A-D 및 14A-B와 함께 상기에서 설명되고 도시된 이중 블레이드 어셈블리(705)이다. 이러한 구성은 시스템을 통해 기판의 그룹화된 이동을 가능하게 할 것이고 따라서 처리량, CoO 및 시스템 신뢰도를 증가시킬 것이다.
도 16H는 이동 가능한 관절 로봇(예를 들어 AR 어셈블리(702)가 도시됨)의 일 실시예의 등축도이고, 이는 슬라이드 어셈블리(714)를 이용하여 관절 로봇 베이스(713)가 클러스터 툴의 길이를 따라 위치하고 이동되도록 이루어진다. 이러한 구성에서, 관절 로봇 베이스(713)는 슬라이드 어셈블리(714)의 작동기 어셈블리(717)에 연결되고, 이는 시스템 제어기(101)로부터의 명령을 이용하여 클러스터 툴에서 원하는 위치로 AR 어셈블리(702)를 이동시키도록 이루어진다. 슬라이드 어셈블리(714)는 일반적으로 작동기 어셈블리(717), 커버(미도시), 및 베이스(716)를 포함한다. 베이스(716)는 클러스터 툴에 AR 어셈블리(702) 및 슬라이드 어셈블리 구성요소를 장착시키고 지지시킨다. 명확하게 나타내기 위해 도시되지 않은 커버는, 작동기 어셈블리(717) 및 다른 슬라이드 어셈블리 피쳐를 에워싸도록 이용되고 이에 의해 생성된 입자가 처리 챔버로 나아가는 것을 막고 클러스터 툴의 유지 보수 동안 이러한 피쳐에 대한 손상을 막는다. 작동기 어셈블리(717)는 일반적으로 작동기(719) 및 안내 메커니즘(723)(723A 및 723B)을 포함할 수 있다. 도 16H에서 도시된 것과 같은 일 실시예에서, 작동기(719)는 직접 드라이브 선형 브러쉬없는 보조 모터이고, 이는 베이스(716) 및 슬라이더(719B)(예를 들어 스테이터) 상에 장착된 베이스 구성요소(719A)(예를 들어 보조 코일 또는 "로터" 섹션)와 소통하여 슬라이드 어셈블리(714)의 길이를 따라 AR 어셈블리(702)를 이동시키도록 이루어진다. 직접 드라이브 선형 브러쉬없는 보조모터는 펜실베니아 피츠버그의 에어로테크사 또는 일리노이 우드 데일의 다나헐 모션사로부터 구입 가능하다. 일 실시예에서, 작동기(719)는 스텝퍼 모터 또는 로봇을 위치시키는데 이용될 수 있는 다른 형태의 작동기일 수 있다. 안내 메커니즘(723)은 베이스(716)에 장착되고 슬라이드 어셈블리(714)의 길이를 따라 이동함에 따라 로봇을 지지하고 안내하도록 이용된다. 안내 메커니즘(723)은 이 기술에서 잘 알려진 선형 볼 베어링 슬라이드 또는 종래의 선형 안내부일 수 있다.
도 16H는 슬라이드 어셈블리(714)에 장착된 단일 로봇을 도시하고, 다른 실시예에서는 둘 이상의 로봇이 동일한 슬라이드 어셈블리에 부착될 수 있다. 이러한 구성은, 중복적인 부품의 숫자를 감소시킴에 의해 비용을 줄일 수 있고 서로에 대해 로봇의 각각의 정밀한 움직임을 향상시킬 수 있다. 또한, 도 16H는 슬라이드 어셈블리(714)에 장착된 이중 블레이드 관절 로봇을 도시하지만, 로봇의 형태 또는 블레이드의 숫자는 본 발명의 범위를 제한할 의도는 아니다.
도 16I는 두 개의 분리되어 수직으로 적층된 포드 어셈블리(105)에 위치한 두 기판을 집어올리도록 위치한 두 개의 고정된 블레이드를 갖는 로봇의 일 실시예의 단면도를 도시한다. 이러한 구성에서 다중 블레이드 로봇은 두 카세트(106A-B)에 위치한 기판을 집어 올리고 및/또는 내려놓도록 이루어지고, 이로써 그룹화된 기판 이동 처리가 기판 이동 순서의 시작 및/또는 끝에서 수행되도록 한다. 일 태양에서, 카세트 및 포드 어셈블리는 거리"A"만큼 이격되어 있고, 로봇은 각각의 카세트에서 유사한 위치의 기판에 접근할 수 있다. 일 태양에서, 적어도 하나의 카세트(예를 들어 106A)가 다양한 영역(예를 들어 731A, 731B 등)을 필요로하지 않을 때, 다양한 영역(예를 들어 731A, 731B 등)은 다른 카세트의 하나 위 및/또는 아래에 형성될 수 있고 이에 의해 고정된 블레이드를 갖는 로봇이 클러스터 툴 벽(731C) 및 제 2 고정된 로봇 블레이드와의 충돌을 일으키지 않고 제 1 고정된 로봇 블레이드를 갖는 제 1 카세트에 접근하는 것을 가능하게 한다. 따라서, 일 태양에서, 영역(731B)은 하부 카세트(106B)의 위치에 제 1 블레이드(711A)가 접근하는 것을 가능하게 하도록 형성될 수 있고, 하부 블레이드(711B)는 벽(731C)과의 충돌 없이 영역(731C)으로 들어간다. 도 16I는 로봇 블레이드(711A-B)가 지지대(720)의 지지면(720A-B)에 고정된 구성을 도시하고, 로봇 블레이드 작동기(721)를 이용하지 아니하며, 로봇 블레이드 작동기를 갖는 다른 실시예가 본 발명의 기본 범위로부터 벗어나지 아니한 채 이용될 수 있다.
C. 셔틀 로봇
도 17A-C는 셔틀 로봇(110)의 다양한 실시예를 도시하고, 이는 다양한 처리 래크의 인접한 챔버들 사이에서 기판을 이동시키도록 이루어질 수 있다. 예를 들면 포스트 BARC 베이크 단계(512) 및 포스트 BARC 냉각 단계(514) 및 포스트 포토레지스트 코우트 베이크 단계(522) 및 포스트 포토레지스트 냉각 단계(524)와 같은 이후의 처리 단계에서 이용되는 냉각 챔버(80) 및 베이크 처리 챔버(예를 들어 베이크 챔버(90), HMDS 처리 챔버(70), PEB 챔버(130) 등) 사이에서 기판을 이동시킬 때, 이러한 설계는 장점을 가질 수 있다. 셔틀 로봇(110)은 전단부 로봇(108), 중앙 로봇(107) 및 후방 로봇(109)과 같은 다양한 시스템 로봇 상의 작업 하중을 감소시키는데 이용되고, 이에 의해 다른 처리 단계가 기판 상에서 완료되는 동안 시스템 로봇이 다른 작업을 하도록 한다.
도 17A는 셔틀 로봇(110)이 두 개의 베이크 챔버(90) 및 냉각 챔버(80) 사이에서와 같이 세 개의 인접한 처리 챔버들 사이에서 기판을 이동시키는데 이용되는 구성의 등축도이다. 이러한 구성은, 도 4B에서 도시된 제 1 중앙 처리 래크(152)의 베이크 챔버(B1), 냉각 챔버(C1) 및 베이크 챔버(B2) 사이에서 이용될 수 있다.
도 17B는, 베이크 챔버(90) 및 냉각 챔버(80) 사이에서와 같이 두 인접한 처리 챔버들 사이에서 기판을 이동시키는데 이용되는 셔틀 로봇(110)의 일 구성의 등축도이다. 이러한 구성은, 예를 들어 도 4A에서 도시된 전단부 처리 래크(52)에 포함된 베이크 챔버(B1) 및 냉각 챔버(C7), 도 4D에서 도시된 후방 처리 래크(202)에 포함된 PEB 베이크 챔버(PEB1) 및 냉각 챔버(C3), 또는 도 4A에서 도시된 전단부 처리 래크(52)에 포함된 HMDS 처리 챔버(P1) 및 냉각 챔버(C1) 사이에서 이용될 수 있다.
도 17C는 도 17A 또는 17B에서 도시된 인접한 처리 챔버들의 뒷면의 등축도이고, 이는 셔틀 로봇(110)의 일 실시예를 도시한 의도이다. 셔틀 로봇(110)은 일반적으로 로봇 블레이드(111) 및 셔틀 로봇 작동기 어셈블리(120)를 포함한다. 셔틀 로봇 작동기 어셈블리(120)는 일반적으로 로봇 블레이드 작동기(112), 슬라이드 어셈블리(113) 및 로봇 드라이브 어셈블리(119)를 포함한다. 로봇 블레이드(111)는 일반적으로 기판 보유 구역(111A) 및 장착 영역(111B)을 포함한다. 장착 영역(111B)은 로봇 블레이드(111)의 구역이고 이는 로봇 블레이드(111)를 로봇 블레이드 작동기(112)에 부착시키는데 이용된다(장착 112A를 보라). 기판 보유 구역(111A)은 종래의 진공 처크로서 작용하도록 이루어질 수 있고, 이는 진공 생성 소스(미도시)에 부착되며 기판 이동 처리 동안 기판을 지지한다. 로봇 블레이드 작동기(112)는 로봇 블레이드(!11)를 높이고 낮추는데 이용되는 기구이고, 이에 의해 기판은 한 처리 챔버로부터 다른 처리 챔버로 이동될 수 있다. 일 실시예에서, 로봇 블레이드 작동기(112)는 에어 실린더이다. 일 실시예에서, 선형 작동기(예를 들어 선형 브러쉬없는 보조모터(미도시))는 로봇 블레이드 작동기(112) 및 로봇 블레이드(111) 사이에 장착되고, 로봇 블레이드(111)는 처리 챔버에서 리프트 핀 또는 다른 기판 보유 피쳐로 기판 이동 처리를 완료하도록 연장하거나 및/또는 수축 될 수 있다(챔버 안으로 또는 밖으로).
일 실시예에서, 슬라이드 어셈블리(113)는 선형 볼 베어링 슬라이드이고, 이는 다양한 처리 챔버들 사이에서 기판을 이동시킴에 따라 셔틀 로봇(110)을 안내한다. 슬라이드 어셈블리(113)는 셔틀(113A)을 일반적으로 포함하고, 이 셔틀 상에 로봇 블레이드 작동기(112)가 부착된다. 클램프(118)는 셔틀(113A)을 로봇 드라이브 어셈블리(119)의 벨트(117)에 부착시키는데 이용되고, 이에 의해 로봇 드라이브 어셈블리(119)가 다양한 처리 챔버들 사이에서 로봇 블레이드(111)를 이동하도록 한다.
일 실시예에서, 도 17C에서 도시된 것처럼, 로봇 드라이브 어셈블리(119)는 벨트 및 도르래(pulley) 형태 시스템이고, 이는 슬라이드 어셈블리(113)의 길이를 따라 로봇을 이동시키는데 이용된다. 이러한 구성에서, 로봇 드라이브 어셈블리(119)는, 로봇의 위치를 제어하고 구동하도록 이루어진 모터(115), 벨트(117) 및 둘 이상의 유동 바퀴 도르래(116A-B)를 일반적으로 포함할 것이다. 일 실시예에서, 모터(115)는 일체화된 인코더를 갖는 DC 보조모터이고, 이에 의해 시스템 제어기(101)는 셔틀 로봇(110)의 위치를 제어하고 트랙을 유지시킬 수 있다. 로봇 드라이브 어셈블리(119)의 다른 실시예에서, 벨트 및 도르래 형태 시스템은 일리노이 우드 데일의 다나헐 모션사로부터 구입 가능한 직접 드라이브 선형 브러쉬없는 보조모터로 대체될 수 있다.
통합된 베이크/냉각 챔버
도 18A는 통합된 베이크/냉각 챔버(800)의 일 실시예를 도시하고, 이는 클러스터 툴의 다양한 실시예와 함께 이용될 수 있다. 일반적으로 통합된 베이크/냉각 챔버(800)는 세 개의 주요한 처리 영역들: 입력 영역(830), 냉각 영역(810) 및 베이크 영역(820)을 가지고, 이들은 다양한 베이크 방법 단계(예를 들어 포스트 BARC 베이크 단계(512), PEB 단계(540) 등) 및/또는 냉각 방법 단계(예를 들어 포스트 BARC 냉각 단계(514), 포스트 PEB 냉각 단계(542) 등)이 수행되는 경우에 공정 순서를 수행하도록 이루어진다. 통합된 베이크/냉각 챔버(800)는 인클로저(804)에 둘 이상의 접근 포트(802)(도 18A에서 두 개가 도시됨)를 포함할 수 있고, 이들은 기판을 집어 올리거나 또는 내려놓기 위해 입력 영역(830) 및/또는 냉각 영역(810)에 외부 로봇(예를 들어 전단부 로봇(108), 중앙 로봇(107) 등(미도시))이 접근하는 것을 가능하게 하도록 이루어진다. 인클로저(804)는 입력 스테이션 인클로저(804A), 냉각 챔버 인클로저(804B), 및 베이크 챔버 인클로저(804C)를 일반적으로 포함하고, 이들은 통합된 베이크/냉각 챔버(800)의 다양한 영역을 격리시키는데 이용된다.
일 실시예에서, 입력 영역(830)은 외부 로봇으로부터 기판을 수용하도록 이용된다. 입력 영역(830)은 일반적으로 둘러싸인 영역이고 이는 통합된 베이크/냉각 챔버(800)에서 외부 로봇이 기판을 집어 올리거나 내려놓는 것을 가능하게 하도록 이루어진 일정한 다른 유사 기구 또는 리프트 핀(836)과 같은 기판 교환 기구를 포함한다. 또한, 입력 영역(830)은 냉각된 이동 아암 어셈블리(832)가 리프트 핀(836)으로부터 기판을 집어 올리고 내려놓는 것을 가능하게 하도록 구성된다.
냉각된 이동 아암 어셈블리(832)는, 통합된 베이크/냉각 챔버(800)의 다양한 처리 영역에서 냉각된 블레이드(833)가 다양한 기판 교환 기구로부터 기판을 집어 올리고, 보유하고 내려놓는 것을 가능하게 하도록 이루어진 다수의 컷아웃(cut-outs, 835) 및 블레이드 수용면(834)을 갖는다. 일 실시예에서, 냉각된 이동 아암 어셈블리(832)의 냉각된 블레이드(833)는 블레이드 수용면(834)과 열적 소통하는 열 교환 기구(837)(도 18B)를 포함하고, 이에 의해 블레이드 수용면(834) 상에 위치한 기판의 온도가 제어될 수 있다. 일 태양에서, 열 교환 기구(837)의 온도는 시스템 제어기(101)와 소통하는 온도 제어 기구(838)(도 18B)를 이용하여 모니터되고 제어된다. 열교환 기구(837)는 열전기적 기구 및/또는 매립된 가열 요소일 수 있고, 이에 의해 기판의 온도는 제어될 수 있다. 일 태양에서, 열 교환 기구(837)는 냉각된 블레이드(833)에 매립된 다수의 유체 채널(미도시)을 포함할 수 있고, 이는 온도 제어된 열교환 유체가 이를 통해 유동하는 것을 가능하게 하도록 구성된다. 블레이드 수용면(834)은 수용면 상에 기판을 보유하기 위한 기계적 피쳐(미도시)를 포함할 수 있다. 일 태야에서, 블레이드 수용면(834)은, 기판 및 블레이드 수용면(834) 사이에서 가까운 접촉을 보장하고 기판을 보유하기 위해 진공 소스(미도시)에 연결된 다수의 진공 포트(미도시)를 포함할 수 있다.
도 18B는 도 17C와 함께 상기에서 설명된 셔틀 로봇 작동기 어셈블리(120)와 유사한, 냉각된 블레이드 작동기 어셈블리(839)를 이용하는 냉각된 이동 아암 어셈블리(832)의 실시예를 도시하고, 이는 통합된 베이크/냉각 챔버(800)의 다양한 처리 영역에서 냉각된 블레이드 어셈블리(832)의 위치를 제어하는데 이용된다. 분명 함을 위해, 냉각된 블레이드 작동기 어셈블리(839) 및 셔틀 로봇 작동기 어셈블리(120)에서 사용된 공통 구성요소의 도면 부호는 변하지 않았다. 냉각된 이동 아암 어셈블리(832)의 일 태양에서, 시스템 제어기(101)는, 통합된 베이크/냉각 챔버(800)의 다양한 처리 영역에서 냉각된 블레이드 어셈블리(832)를 수직 및 수평으로 위치시키도록 이용된다. 냉각된 블레이드(833)는 냉각된 블레이드 작동기 어셈블리(839)를 이용하여 위치되고, 이 위에 통합된 베이크/냉각 챔버(800)의 하나 이상의 표면이 장착된다. 도 18A-B를 참고하면, 인클로저(804)는 다수의 인클로저 컷아웃(806)을 포함하고, 이는 냉각된 블레이드(833)가 통합된 베이크/냉각 챔버(800)의 다양한 처리 영역 사이에서 기판을 이동시키는 것을 가능하게 한다.
도 18A를 참고하면, 냉각 영역(810)은 도 10A를 참고하여 설명되고 도시된 냉각 챔버(80) 구성요소를 포함한다. 냉각 영역(810)의 일 태양에서, 인클로저(804B)는 하나 이상의 인클로저 컷아웃(806)을 포함하고, 이에 의해 냉각된 이동 아암 어셈블리(832)가 통합된 베이크/냉각 챔버(800)의 다양한 처리 영역 사이에서 기판의 이동을 촉진시키는 것을 가능하게 한다.
베이크 영역(820)은 도 108B-D를 참고하여 설명되고 도시된 것처럼, 베이크 챔버(90), HMDS 처리 챔버(70) 또는 PEB 챔버(130)의 모든 구성요소를 포함할 수 있다. 베이크 영역(820)의 일 태양에서, 인클로저(804C)는 하나 이상의 컷아웃(806)을 포함하고, 이에 의해 냉각된 이동 아암 어셈블리(832)가 통합된 베이크/냉각 챔버(800)의 다양한 처리 영역 사이에서 기판을 이동시키는 것을 가능하게 한다.
통합된 베이크/냉각 챔버(800)가 이용될 때, 외부 로봇은 기판을 접근 포트(802)를 통해 입력 영역(830)의 리프트 핀(836)으로 전달한다. 리프트 핀(836) 아래로 위치한 냉각된 블레이드(833)는 이후 리트프 핀(836)으로부터 기판을 제거하도록 수직으로 움직이고 블레이드 수용면(834) 상에 기판을 위치시킨다. 냉각된 블레이드(833)는 이후 베이크 영역(820)으로 이동되고 베이크 처리가 기판 상에서 수행될 수 있다. 베이크 처리가 수행된 후, 냉각된 블레이드(834)가 베이크 영역(820)으로부터 기판을 집어 올리고, 기판을 냉각 영역(810)의 기판 교환 기구로 이동시키며, 이후 냉각 영역(810)을 빠져나간다. 냉각 처리가 수행된 후, 기판은 외부 로봇을 이용하여 접근 포트(802)를 통해 냉각 영역(810)으로부터 제거된다. 일 태양에서, 냉각 처리가 수행된 후, 냉각된 블레이드(833)는 냉각 영역(810)으로부터 기판을 제거하고 입력 영역에서 리프트 핀(836) 상에 기판을 놓는다. 이러한 구성은, 냉각 영역(810)이 새로운 기판 상에서 냉각 처리가 완료되는 것을 가능하게 하고 및/또는 기판을 놓았던 동일한 위치로부터 기판을 외부 로봇이 집어올리는 것을 가능하게 하기 때문에, 유리하다.
PEB 클러스터 툴 구성을 구비한 통합된 스캐너/스텝퍼
도 19A는 본 발명의 일 실시예의 평면도를 도시하고, 이 경우 클러스터 툴은 클러스터 툴(10A) 및 스텝퍼/스캐너(5A)를 포함한다. 이러한 구성에서, PEB 챔버(5C)(즉, 상기에서 설명된 요소(130)(도 10D))는 스텝퍼/스캐너(5A)로 통합되고 스텝퍼/스캐너는 클러스터 툴(10A)로부터 분리된다. 이러한 구성은 종래 기술에 비해 장점을 갖는데, 이는 스텝퍼/스캐너의 처리량이 트랙 시스템 형태 클러스터 툴의 처리량보다 몇 배나 많고, 따라서 단일 트랙 시스템에 대한 하나의 스텝퍼/스캐너가 스텝퍼/스캐너의 과도한 처리량 능력에 공헌하기 때문이다. 이러한 실시예는 단일의 스텝퍼/스캐너가 다수의 트랙 시스템에 기여하는 것을 가능하게 하고 또한 스텝퍼/스캐너에서 포스트 PEB 냉각 단계(542) 및 PEB 단계(540)를 수행함에 의해 노광 처리를 수행한 후 포토레지스트를 안정화시킨다.
일 실시예에서, 도 19A에서 도시된 것처럼, 클러스터 툴(10A)은 도 1B와 관련하여 상기에서 설명되고 도시된 것처럼, 전단부 모듈(50), 중앙 모듈(150) 및 후방 모듈(200)을 포함할 수 있다. 이러한 구성에서, 클러스터 툴(10A)은 스텝퍼/스캐너와 통합되지 않고 따라서 후방 로봇(109)(도 2E에서 도시됨)은 시스템 복잡성을 줄이고 비용을 절약하기 위해 후방 모듈(200)로부터 제거되었다. 다른 실시예에서, 클러스터 툴(10A)은 서로 다른 수의 처리 챔버들 및/또는 처리 래크를 포함할 수 있고 이는 본 발명의 기본 범위로부터 벗어나지 아니한다.
이러한 구성에서, 스텝퍼/스캐너(5A)는 하나 이상의 PEB 챔버(5C) 및 하나 이상의 냉각 챔버(5B)(즉, 상기에서 설명된 80(도 10A))를 포함할 것이다. 필요한 냉각 챔버 및 PEB 챔버의 수는, PEB 및 냉각 챔버에서 처리 시간 및 스텝퍼/스캐너(5A)의 처리량 필요에 의존한다. 실제로, PEB 챔버(5C) 및/또는 냉각 챔버(5B)는 스텝퍼/스캐너의 입력 단계 및/또는 출력 단계로 작용할 수 있고, 따라서 스텝퍼/스캐너 로봇(미도시)은 기판을 집어 올리고 되돌리는 공간을 가진다. 일 실시예에서 PEB 챔버(5C)가 기판을 가열하고 냉각하도록 이루어진 경우에(상기에서 설 명됨), 적어도 두 개의 PEB 챔버가 위치(5B 및 5C)에서 스텝퍼/스캐너로 통합될 수 있고, 이는 도 19A에서는 도시되지 아니하였다. 일 실시예에서, PEB 챔버(5C)는 기판을 가열하고 냉각하도록 이루어지고(상기에서 설명됨), 오직 하나의 PEB 챔버가 스텝퍼/스캐너(5)로 통합된다.
도 19B는 도 19A에서 도시된 스텝퍼/스캐너(5A) 및 클러스터 툴(10A)과 함께 이용될 수 있는 다양한 처리 방법 단계를 포함하는 방법 단계(504)의 일 실시예를 도시한다. 이러한 실시예에서, 처리 순서는 3 개의 분명한 부분으로 나눠질 수 있는데, 이는 클러스터 툴 단계(1), 스텝퍼/스캐너 단계, 및 클러스터 툴 단계(2) 이다. 클러스터 툴 단계(1)는, 이하의 단계를 포함할 수 있는 스텝퍼/스캐너로 이동되기 이전에 완료되는 모든 처리 단계들을 포함한다: 포드로부터 기판을 제거하는 단계(508A), BARC 코우트 단계(510), 포스트 BARC 베이크 단계(512), 포스트 BARC 냉각 단계(514), 포토레지스트 코우트 단계(520), 포스트 포토레지스트 코우트 베이크 단계(522), 포스트 포토레지스트 냉각 단계(524), 광학 에지 비드 제거(OEBR) 단계(536), 및 포드에 위치시키는 단계(508B). 이후, 기판의 포드는 클러스터 툴(10A)로부터 제거되고 스텝퍼/스캐너(5A) 상에 위치하며 이에 의해 스텝퍼/스캐너는 이하의 단계를 포함할 수 있는 처리 단계를 수행한다: 포드로부터 기판을 제거하는 단계(508A), 현상 단계(550), 포스트 현상 냉각 단계(554) 및 포드에 위치시키는 단계(508B). 다른 실시예에서, 방법 단계(504)의 순서는 재배열되고 변경되고 하나 이상의 단계가 제거되거나 또는 둘 이상의 단계가 하나의 단계로 조합될 수 있고, 이는 본 발명이 기본 범위로부터 벗어나지 아니한다.
타원형 시스템 구성
도 20A-B는 클러스터 툴(10)의 다른 실시예를 도시하고, 이 경우 도 4A-K에서 도시된 것처럼 다양한 처리 래크(예를 들어 전단부 처리 래크(52), 제 1 중앙 처리 래크(152) 등)에 포함된 처리 챔버들이 선형 방법으로 배향되지는 않지만 시스템에서 공통 중앙 지점 주위로 배열된다. 챔버들의 선형 배향의 한가지 단점은, 처리 래크의 맨 위 그리고 맨 아래 위치는 로봇이 미치기 어려울 수 있고 또는 모든 활용 가능한 공간을 완전히 이용하도록 더 큰 아암 연장부를 갖는 큰 로봇을 필요로 할 수 있다는 것이다. 이러한 문제는, 6-축 관절 로봇이 이용되는 경우에 이들의 작용 범위가 중앙 지점으로부터 거리에 의해 제한되기 때문에 특히 문제가 된다. 챔버가 선형으로 배열된 래크의 단부 및 상부에 있는 경우에 이러한 챔버들이 로봇 중앙으로부터 가장 먼 거리에 있기 때문에, 이 문제는 커질 수 있다. 로봇의 작용 범위로부터 벗어난 어떤 챔버는 접근될 수 없고 따라서 일정한 경우에 처리 래크 높이는 완전히 이용되지 않을 수 있다. 이러한 문제는 이러한 챔버들에 접근하기 위한 추가적인 로봇 및/또는 추가적인 챔버들을 필요로 하고, 이는 툴의 비용 및 풋프린트를 증가시킨다.
일 실시예에서, 도 20A에서 도시된 것처럼, 대안적인 배향이 이용될 수 있고 이에 의해 로봇이 타원 형태 또는 반구 형태일 수 있는 처리 챔버에 접근 가능하게 된다. 도 20A는 타원 클러스터 툴 구성의 측면도이고, 이 경우 로봇(R1)은 반구 형태로 있는 처리 챔버들(PM1-12로 라벨되어 있음)로 접근할 수 있다. 이러한 구 성에서, 코너 스택의 맨 위 및 맨 아래 스테이션은 트랙의 중앙을 향해 이동할 수 있고, 추가적으로 로봇이 이들을 서비스하도록 이동하는데 필요한 거리를 감소시킨다. 이러한 경우에, 코너 스택은 중앙으로부터 상부로 그리고 중앙으로부터 바닥으로 계단 패턴으로 단계적으로 내려간다. 이 결과, 더 적은 작용 범위를 갖는 작은 로봇이 이용될 수 있고, 감소된 작용 범위 거리는 로봇 관리 시간을 낮출 것이다.
도 20B는 로봇(R1 라벨되어 있음)의 중앙 지점 주위로 배열된 다수의 수직으로 이격된 처리 챔버들(PM1-18로 라벨되어 있음)의 일 실시예의 등축도를 도시한다. 이 구성은 트랙의 중앙부에 더 가까이 "코너" 스택을 가져옴에 의해 6-축 관절 로봇에 의해 제공되는 구형 작업 구역의 장점을 가지고 이는 로봇이 더 쉽게 접근할 수 있게 한다.
본 발명의 일 태양에서, 도 20A 및 20B에 도시된 구성은 로봇 주위의 처리 챔버들의 완전한 구형, 부분적 구형 또는 반구형 방향을 형성하도록 통합되고, 이에 의해 로봇이 처리 챔버들을 서비스하기 위해 이동하는데 필요한 거리가 줄어들며 처리 챔버들 간의 이동 시간이 줄어든다.
갠트리(Gantry) 로봇 설계 구성
도 21A-D는 클러스터 툴(10)의 다른 실시예를 도시하고, 이는 다양한 처리 래크 주위로 평행한 처리 구성에서 구성된 다수의 로봇을 이요하고 이에 의해 원하는 처리 순서가 수행될 수 있다. 일 실시예에서, 평행한 처리 구성은, 평행한 방 향으로 정렬된 처리 래크들에 보유된 다양한 처리 챔버들에 접근하도록 평행한 방향 및 수직한 방향(이후 z-방향이라고 정의됨)으로 이동하는 3개의 로봇(420, 430, 450 도 21B에서 도시됨)을 포함한다. 이 시스템 구성의 장점은, 중앙 영역(425)의 로봇 중 하나가 고장나거나 시스템을 서비스하기 위해 이용된다면(taken down), 시스템은 여전히 다른 두 로봇을 이용하여 기판을 계속 처리할 수 있다. 이 구성의 다른 장점은, 유연하고 모듈형 아키텍쳐에서 사용자의 처리량 요구를 맞추는데 필요한 처리 로봇, 처리 래크, 및 처리 챔버의 숫자를 사용자가 구성하는 것이 가능하다는 것이다.
도 21A는 클러스터 툴(10)의 실시예를 도시하는 등축도이고, 이는 제 1 처리 래크(460) 및 제 2 처리 래크(480)에 수직으로 적층된 다양한 처리 챔버들에 접근할 수 있도록 이루어진 3개의 로봇을 포함한다. 일반적으로 후방 영역(445)에 부착된 스텝퍼/스캐너(5)는 도 21A에서 도시되지 아니한다.
도 21B-C는 도 21A에서 도시된 클러스터 툴(10)의 실시예의 평면도 및 측면도이다. 도 21A-C는 이 실시예와 함께 이용될 수 있는 다양한 로봇 및 처리 챔버 구성들을 도시하기 위함이다. 이 구성에서, 클러스터 툴(10)은 전단부 영역(405), 중앙 영역(425), 및 후방 영역(445)을 포함할 것이다. 전단부 영역(405)은 하나 이상의 포드 어셈블리(105) 및 전단부 로봇(410)을 일반적으로 포함한다. 하나 이상의 포드 어셈블리(105), 또는 FOUPs는, 클러스터 툴(10)에서 처리되는 하나 이상의 기판"W" 또는 웨이퍼를 포함할 수 있는 하나 이상의 카세트(106)를 수용하도록 일반적으로 이루어진다. 중앙 영역(425)은 일반적으로 제 1 중앙 로봇(420), 제 2 중앙 로봇(430), 제 3 중앙 로봇(440), 제 1 처리 래크(460) 및 제 2 처리 래크(480)를 포함한다. 제 1 처리 래크(460) 및 제 2 처리 래크(480)는, 기판 처리 순서에서 공지된 다양한 처리 단계들을 수행하도록 이루어진 다양한 처리 챔버들(예를 들어 코우터/현상기 챔버(60), 베이크 챔버(90), 냉각 챔버(80) 등)을 포함한다. 전단부 로봇(410)은 포드 어셈블리(105)에 장착된 카세트 및 전단부 영역(405)과 접하는 제 2 처리 래크(480) 또는 제 1 처리 래크(460)의 하나 이상의 처리 챔버들 사이에서 기판을 이동시키도록 이루어진다.
제 1 중앙 로봇(420), 제 2 중앙 로봇(430) 및 제 3 중앙 로봇(440)은 기판을 제 1 처리 래크(460) 및 제 2 처리 래크(480)에 포함된 다양한 처리 챔버들로 이동시키도록 이루어진다. 일 실시예에서, 제 2 중앙 로봇(430)은 제 1 처리 래크(460) 및 제 2 처리 래크(480) 사이에서 기판을 이동시키도록 이루어진다.
도 21B를 참고하면, 본 발명의 일 태양에서, 제 1 중앙 로봇(420)은 도시된 것처럼, 적어도 일 측부, 예를 들어 제 1 측부(471)로부터 제 1 처리 래크(460)에서 처리 챔버들에 접근하도록 이루어진다. 다른 태양에서, 제 2 중앙 로봇(430)은, 적어도 일 측부, 예를 들어 제 2 처리 래크(480)의 제 1 측부(473) 및 제 1 처리 래크의 제 2 측부(472)로부터 제 2 처리 래크(480)의 그리고 적어도 일 측부로로부터 제 1 처리 래크(460)의 처리 챔버들로 접근하도록 이루어진다. 일 태양에서, 제 3 중앙 로봇(450)은 도시된 것처럼, 적어도 일 측부, 예를 들어 제 2 측부(474)로부터 제 2 처리 래크의 처리 챔버들로 접근하도록 이루어진다. 일 태양에서, 제 1 처리 래크(460)의 제 1 측부(471), 제 1 처리 래크(460)의 제 2 측 부(472), 제 2 처리 래크(480)의 제 1 측부(473) 및 제 2 처리 래크(480)의 제 2 측부(474)가 모두 다양한 로봇 어셈블리(즉, 제 1 중앙 로봇(420), 제 2 중앙 로봇(430), 제 3 중앙 로봇(450))의 각각의 수평 움직임 어셈블리(490)(이하에서 설명됨)에 평행한 방향을 따라 정렬된다.
일 실시예에서, 후방 영역(445)은 후방 로봇(440)을 포함하고, 이는 후방 영역(445) 및 스텝퍼/스캐너(5)와 접하는 제 2 처리 래크(480) 및 제 1 처리 래크(460)에 보유된 처리 챔버들 사이에서 기판을 이동시키도록 이루어진다.
도 21D는 제 3 중앙 로봇(440)에 가장 가까운 측부 상에서 제 1 처리 래크(460)를 향할 때 보이는 것과 같은 제 1 처리 래크(460)의 일 실시예의 측면도를 도시하고, 이는 도 21A-C에서 도시된 도면과 일치할 것이다. 제 1 처리 래크(460)는 하나 이상의 코우터/현상기 챔버(60), 하나 이상의 냉각 챔버(80), 하나 이상의 베이크 챔버(90), 하나 이상의 OEBR 챔버(62), 하나 이상의 PEB 챔버(130), 하나 이상의 지지 챔버(65) 및/또는 하나 이상의 HMDS 챔버(70)를 일반적으로 포함할 것이다. 일 실시예에서, 도 21D에서 도시된 것처럼, 제 1 처리 래크(460)는 8개의 코우터/현상기 챔버(60)(CD1-8로 라벨되어 있음), 18개의 냉각 챔버(80)(C1-18로 라벨되어 있음), 8개의 베이크 챔버(90)(B1-8로 라벨되어 있음), 6개의 PEB 챔버(130)(PEB1-6으로 라벨되어 있음), 2개의 OEBR 챔버(62)(62로 라벨되어 있음) 및/또는 6개의 HMDS 처리 챔버(70)(P1-6으로 라벨되어 있음)를 포함한다.
도 21E는 제 3 중앙 로봇(440)에 가장 가까운 측부 상에서 제 2 처리 래크(480)를 향할 때 보이는 것과 같은 제 2 처리 래크(480)의 일 실시예의 측면도를 도시하고, 이는 도 21A-C에서 도시된 도면과 일치할 것이다. 제 2 처리 래크(480)는 하나 이상의 코우터/현상기 챔버(60), 하나 이상의 냉각 챔버(80), 하나 이상의 베이크 챔버(90), 하나 이상의 OEBR 챔버(62), 하나 이상의 PEB 챔버(130), 하나 이상의 지지 챔버(65) 및/또는 하나 이상의 HMDS 챔버(70)를 일반적으로 포함할 것이다. 일 실시예에서, 도 21E에서 도시된 것처럼, 제 2 처리 래크(480)는 4개의 코우터/현상기 챔버(60)(CD1-4로 라벨되어 있음), 24개의 냉각 챔버(80)(C1-24로 라벨되어 있음), 12개의 베이크 챔버(90)(B1-12로 라벨되어 있음), 6개의 PEB 챔버(130)(PEB1-6으로 라벨되어 있음) 및/또는 6개의 지지 챔버(65)(S1-6으로 라벨되어 있음)를 포함한다.
도 21A-E에서 도시된 처리 챔버의 방향, 위치 및 숫자는 본 발명의 범위를 제한할 의도는 아니고 본 발명의 다양한 실시예를 도시할 의도이다.
도 21F는 클러스터 툴 로봇의 각각이 도 21A-D에서 도시된 클러스터 툴 구성을 이용하여 도 3A에서 도시된 방법 단계(501)를 완료하기 위해 서비스하는 처리 단계를 도시한다. "A"로 라벨된 박스로 둘러싸인 방법 단계(508A, 510, 550 및 508B)는 전단부 로봇(410)에 의해 서비스된다. 일 실시예에서, BARC 코우트 단계(510)는 전단부 영역(405)과 접하는 제 1 처리 래크(460)에 장착된 코우터 챔버(60A)에서 완료된다. 도 21B, 21D, 21Fㄹㄹ 참고하면, 전단부 로봇(410)은 포드 어셈블리(105)로부티 기판을 제거하고, 제 1 처리 래크(460)에서 CD1 또는 CD2로 라벨된 코우터 챔버(60A) 중 하나에 기판을 위치시킨다. 다른 실시예에서, BARC 코우트 단계(510)는 전단부 영역(405)과 접하는 제 2 처리 래크(480) 및 제 1 처리 래크(460)에 장착된 코우터 챔버(60A)에서 완료된다. 이 실시예에서, 현상 단계(550)는 전단부 영역(405)과 접하는 제 2 처리 래크(480)에 장착된 냉각 챔버(80)에서 완료될 수 있다.
일 실시예에서, 점선으로 "B"로 라벨되어 둘러싸인, 510 내지 536 방법 단계 사이에서 기판을 이동시키는 공정은, 제 1 처리 래크(460)에 포함된 챔버 및 제 2 중앙 로봇(430) 및 제 1 중앙 로봇(420)을 이용하여 완료된다. 다른 실시예에서, 제 2 중앙 로봇(430)은 제 1 처리 래크(460) 및 제 2 처리 래크(480)로 그리고 이로부터 기판을 이동시키도록 이용될 수 있고, 이에 의해 이러한 래크에서 활용가능한 챔버들이 처리 순서 요구를 맞추기 위한 요구에 따라 이용될 수 있다.
일 실시예에서, 점선으로 "C"로 라벨되어 둘러싸인 536 내지 550 처리 단계 사이에서 기판을 이동시키는 공정은, 후방 로봇(450)을 이용하여 완료된다. 일 실시예에서, OEBR 단계(536)는 후방 영역(445)과 접하는 제 1 처리 래크(460)에 장착된 OEBR 챔버(62)에서 완료된다. 도 21B 및 21D를 참고하면, 후방 로봇(450)은 OEBR 챔버(62)로부터 기판을 제거하고 스텝퍼/스캐너(5)에서 기판을 교환하며, 이 경우 노광 단계(538)가 완료된다. 노광 단계(538)를 완료한 후, 후방 로봇(450)은 스텝퍼/스캐너(5)로부터 기판을 제거하고 제 1 처리 래크(460) 또는 제 2 처리 래크(480)에 포함된 PEB1-6으로 라벨된 PEB 챔버들 중 하나에 기판을 위치시킨다.
일 실시예에서, "D"로 라벨된 박스로 둘러싸인 540 내지 550 처리 단계 사이에서 기판을 이동시키는 처리는, 제 2 처리 래크(480)에 포함된 챔버 및 제 2 중앙 로봇(430) 및 제 3 로봇(44)을 이용하여 완료된다. 다른 실시예에서, 제 2 중앙 로봇(430)은 제 1 처리 래크(460) 및 제 2 처리 래크(480)로 그리고 이로부터 기판을 이동시키는데 이용될 수 있고, 이에 의해 이러한 래크에서 활용 가능한 챔버들은 처리 순서 요구를 맞추는데 필요하도록 이용될 수 있다.
도 21B, 21D 및 21F를 참고하면, 처리 단계(550)를 완료한 후, 전단부 로봇(410)은 CD1 또는 CD2로 라벨되어 있는 현상기 챔버 중 하나로부터 기판을 제거하고 개별적인 포드 어셈블리(105)에 기판을 위치시킨다.
도 21G는, 전단부 로봇(410), 제 1 중앙 로봇(420), 제 2 중앙 로봇(430), 제 3 중앙 로봇(440) 및/또는 후방 로봇(450)으로 이용되도록 이루어질 수 있는 로봇 어셈블리(411)의 실시예를 도시한다. 로봇 어셈블리(411)는 일반적으로 로봇 하드웨어 어셈블리(485), 수평 움직임 어셈블리(490) 및 두 수직 움직임 어셈블리(495)를 포함한다. 로봇 하드웨어 어셈블리(485)는, 두 개의 독립적으로 제어 가능한 아암/블레이드를 포함하는 종래의 선택적으로 응하는 관절 로봇 아암(selectively compliant articulated robot arm, SCARA) 로봇을 일반적으로 포함한다. 다른 실시예에서 도 21H에서 도시된 것처럼, 단일 블레이드 형태 로봇 하드웨어 어셈블리(485)가 기판을 이동시키는데 이용된다. 이중 블레이드 로봇은, 로봇이 동일한 처리 챔버에 다음 기판을 위치시키기 이전에 처리 챔버로부터 기판을 제거해야함 하는 경우에 유리할 수 있다. 예시적 이중 블레이드 로봇은 캘리포니아 프레몬드의 아시스트 테크놀로지사로부터 구입 가능할 수 있다.
클러스터 툴(10)의 일 실시예에서, 전단부 로봇(410), 제 1 중앙 로봇(420), 제 2 중앙 로봇(430), 제 3 중앙 로봇(440) 및/또는 후방 로봇(450)은 둘 이상의 그룹으로 기판을 이동시키도록 이루어질 수 있고 이에 의해 평행하게 기판을 처리함에 의해 시스템 처리량을 향상시킨다. 예를 들면, 일 태양에서, 다수의 독립적으로 제어 가능한 아암/블레이드를 포함하는 로봇은 다수의 처리 챔버들로부터 다수의 기판을 집어 올리는데 이용될 수 있고 이후 다수의 이후 처리 챔버들에 기판을 이동시키고 위치시키는데 이용될 수 있다. 일 태양에서, 로봇은, 원하는 거리 또는 피치로 떨어진 다수의 블레이드를 갖는 아암을 이용하여 동시에 집어 올리거나 내려놓도록 이루어진다. 예를 들면, 전단부 로봇(410), 제 1 중앙 로봇(420), 제 2 중앙 로봇(430), 제 3 중앙 로봇(440) 및/또는 후방 로봇(450)은, SCARA 로봇의 독립적으로 제어 가능한 아암/블레이드 중 단부에 부착되느느 지지대(720)(도 16A-B에서 도시됨)에 장착된 블레이드 어셈블리(715A 및 715B) 상을 가질 수 있다. 다른 태양에서, 로봇은 다수의 기판을 개별적으로 집어올리고, 이동시키고 내려놓도록 이루어진다. 예를 들면, 두 아암 로봇은 제 1 챔버로부터 제 1 아암 또는 블레이드를 이용하여 기판을 집어 올리도록 이루어지고 이후 제 2 처리 챔버로 이동하여 제 2 아암 또는 블레이드를 이용하여 기판을 집어올리도록 이루어지며 이에 의해 이들은 그룹으로 이동되고 내려질 수 있다.
도 21G-I를 참고하면, 수평 움직임 어셈블리(490)는 인클로저(491), 로봇 작동기(489), 로봇 지지 인터페이스(487), 선형 슬라이드(488) 및 케이블 안내 어셈블리(492)를 일반적으로 포함한다. 선형 슬라이드(488)는 하나 이상의 선형 볼 베어링 슬라이드, 또는 종래의 선형 안내부를 포함할 수 있고, 이는 다양한 처리 챔버들 사이에서 기판을 이동시킴에 따라 로봇 지지 인터페이스(487)(예를 들어 로봇 베이스 인터페이스) 및 로봇 하드웨어 어셈블리(485)를 안내한다. 일 실시예에서, 로봇 작동기(489)는 직접 드라이브 선형 브러쉬없는 보조모터이고, 이는 도 21I에서 도시되며, 이는 인클로저(491)의 지지 구조(486) 상에 장착된 선형 슬라이드(488)에 대해 로봇 지지 인터페이스(487)를 이동시키도록 이루어진다. 도 21H는 수평 움직임 어셈블리(490)의 일 실시예를 도시하고, 이 경우 모터(489A)(예를 들어 DC 보조 모터, 스텝퍼 모터 등), 벨트(미도시) 및 수평 움직임 어셈블리(490)의 길이를 따라 수평으로 작동하는 도르래 시스템(미도시)이 로봇 지지 인터페이스(487)를 이동시키고 위치시키도록 이루어지고 이로써 기판은 처리 챔버들 사이에서 이동될 수 있다.
도 21H는 도 21G에서 도시된 로봇 어셈블리(411)의 일 실시예의 등축도를 도시하고, 이는 수평 움직임 어셈블리(490) 및 수직 움직임 어셈블리(495)에 포함된 내부 구성요소들을 도시할 의도이다. 수직 움직임 어셈블리(495)는 리프트 레일 어셈블리(495A), 리프트 작동기(495B), 및 수직 인클로저(495D)(도 21G를 보라, 도 21H에서는 미도시)를 일반적으로 포함한다. 리프트 레일 어셈블리(495A)는 구조적 지지대(496) 및 안내 메커니즘(494)을 포함하고 이에 의해 정밀하게 수평 움직임 어셈블리(490)를 높이고 낮춘다. 구조적 지지대(496)는, I-비임 또는 다른 공통 구조적 구성요소와 같은 종래의 구조적 부재이고, 이는 클러스터 툴(10)에서 프레임 부재(미도시)에 로봇 어셈블리(411)를 연결시키고 수직 움직임 어셈블리(495) 및 수평 움직임 어셈블리(490) 구성요소에 의해 생성된 무게 및 하중을 지지하도록 설계된다. 안내 메커니즘(494)은 선형 볼 베어링 슬라이드 또는 종래의 선형 안내 부일 수 있고, 이는 안내 메커니즘(494)을 따라 수직으로 이동함에 따라 수평 움직임 어셈블리(490)를 정밀하게 안내하고 정렬할 수 있다.
도 21H를 참고하면, 수직 움직임 어셈블리(495)의 일 실시예에서, 리프트 작동기(495B)는, 수평 움직임 어셈블리(490) 및 구성요소를 높이고 낮추기 위해 벨트 및 도르래 구성(미도시)과 함께 이용되는 모터(495C)(예를 들어 DC 보조 모터, 스텝퍼 모터 또는 다른 형태의 작동기)를 포함한다. 수직 움직임 어셈블리(495)(미도시)의 다른 실시예에서, 리프트 작동기(495B)는 일리노이 우드데일의 다나헐 모션사로부터 구입 가능할 수 있는 직접 드라이브 선형 브러쉬없는 보조 모터이다. 로봇 어셈블리(411)의 일 실시예에서, 각각의 수직 움직임 어셈블리는, 수평 움직임 어셈블리(490) 및 다른 지지 구성요소를 높이고 낮추기 위한 리프트 작동기(495B)를 포함한다. 로봇 어셈블리(411)의 다른 실시예에서, 두 개의 수직 움직임 어셈블리(495) 및 다른 수직 움직임 어셈블리(495) 중 하나에 장착된 단일 리프트 작동기(495B)는 단지 안내 메커니즘(494) 만을 포함한다.
도 21I는 수평 움직임 어셈블리(490)에 포함된 인클로저(491)의 일 실시예의 등축도를 도시한다. 인클로저(491)는, 안전 및 오염 감소를 이유로, 수평 움직임 어셈블리(490)에서 구성요소들을 커버하고 지지하도록 이루어진다. 입자 생성이 서로 구르고, 슬라이드하고 또는 접촉하는 기계적 구성요소에 의해 일반적으로 생성되기 때문에, 기판이 클러스터 툴을 통해 이동되는 동안 수평 움직임 어셈블리(490) 및 수직 움직임 어셈블리(495)의 구성요소가 기판 상에 결함을 만들지 않는다는 것을 보장하는 것이 중요하다. 인클로저(491)는 다수의 벽(491A-F를 보라) 및 지지 구조(486)를 일반적으로 포함하고, 이는 인클로저 내부에서 생성된 입자가 기판 표면으로 나아갈 수 있는 기회를 최소화하는 둘러싸인 영역을 형성한다. 지지 구조(486)는 구조적 부재이고, 벽(491A-F), 로봇 작동기(489), 로봇 하드웨어 어셈블리(485), 및 선형 슬라이드(488)는 모두 이에 부착된다.
팬 유닛(493)은 인클로저(491)의 벽 중 하나에 형성된 팬 포트(491G)를 통해 인클로저(491) 내부로부터 에어를 뽑아내도록 이루어지고, 클러스터 툴(10)로 배출되기("A"를 보라) 이전에 입자를 제거하기 위해 필터(미도시)를 통해 에어를 포함하는 입자를 밀어 넣는다. 이러한 구성에서, 팬 유닛(493)에 포함된 팬(493A)은 인클로저(491) 내부에 네거티브 압력을 만들도록 설계되고, 이에 의해 인클로저 외부 에어는 인클로저 내부로 끌어지며, 이로써 인클로저(491) 내부에 생성된 입자가 새어나가는 가능성을 제한한다. 일 실시예에서 필터(미도시)는 HEPA 형태 필터 또는 다른 형태의 필터이고, 이는 에어로부터 생성된 입자들을 제거할 수 있다. 도 21I에서 도시된 구성은, 인클로저로부터 에어를 끌어내는데 이용되는 3개의 팬 유닛(493)이 있는 실시예를 도시한다. 다른 실시예에서, 단일 또는 이중 팬 유닛 시스템은 도시된 것처럼, 본 발명의 범위로부터 벗어나지 아니한 채, 3개의 팬 유닛(493) 구성을 대신하여 이용될 수 있다.
리프트 레일 어셈블리(495A)의 일 실시예에서, 팬 유닛(493)(미도시)은 수직 인클로저(495D)의 각각의 내부로부터 에어를 끌어내도록 이루어지고 이에 의해 수직 움직임 어셈블리(495) 내부에서 생성된 입자가 기판 표면 상에서 형성된 기구 상에 결함을 일으킬 가능성을 최소화한다.
기판 중앙 발견 기구
CoO를 감소시키고 시장에서 더욱 경쟁적으로 되어가는 노력에서, 전자 소자 제조업자는 시스템 가동시간 및 시스템 신뢰성을 향상시키려는 노력에 많은 시간을 소비하고 이에 의해 기판 스크랩을 감소시키고 총 시스템 처리량(즉 주당 웨이퍼 시작)을 증가시킨다. 시스템 가동시간 및 신뢰도에 영향을 미칠 수 있는 요소는 기판 손상(예를 들어 칩핑(chipping), 기판 파손 등)을 일으킬 수 있는 다양한 처리 챔버들에서 기판의 잘못 위치함(misplacement)이다. 기판에 대한 손상은, 사용자가 현재 처리를 그만두게 하고, 부분적으로 처리된 기판 모두를 스크랩하며, 영향받은 챔버(들)을 정화하고, 이후 처리 순서를 재시작하게 하고, 모두는 중요한 시스템 중단 시간 및 비용을 일으킨다. 일반적으로, 처리 챔버 또는 다른 챔버들 중 하나에서 기판의 비정렬에 의해 발생하는 기판에 대한 손상 및 기판 처리 변화를 막기 위해, 로봇은 반복적으로 이동 위치로부터 기판을 집어 올리고 내려놓도록 반복적으로 조절된다. 예를 들면, 이동 위치는 처크의 중앙 지점 또는 처리 챔버 리프트 핀들 사이의 중앙 지점일 수 있다.
이러한 문제를 해결하기 위해, 도 22A에서 도시된 클러스터 툴(10)의 일 실시예에서, 기판 위치 에러 검출 및 수정 시스템(1200)(이후 SPEDAC 시스템)이 이용된다. 도 22A는, 각각의 개구(88)의 외부에 장착된 두 개의 개별적인 기판 위치 에러 검출 및 수정 시스템(1200)을 갖는 처리 래크에 보유된 두 개의 인접한 처리 챔버(1220)(예를 들어 베이크 챔버(90), 냉각 챔버(80), 코우터/현상기 챔버(60) 등)의 등축도를 도시한다. 도 22A는 SPEDAC 시스템(1200)의 일 실시예를 도시하고 여기서 발신기(1206)는 상구 지지대(1204)에 장착되고 검출기(1205)는 처리 챔버(1220)에 모두 연결되는 바닥 지지대(1203)에 장착된다.
SPEDAC 시스템(1200)은 기판 수송 로봇 블레이드 상의 기판의 존재를 결정하는데, 왜냐하면 이는 다양한 처리 챔버들에서 발견되는 개구(88)로 들어가거나 또는 나오며 이후의 이동 단계에서 로봇 블레이드(1210)를 재위치시키멩 의해 에러를 수정하기 때문이다. SPEDAC 시스템(1200)은, 기판의 위치를 검출하기 위해 두 쌍의 발신기(1206)로부터 검출기(1205)로 보내진 한 쌍의 비임("A")을 이용하는데, 이는 비임을 통과하고 로봇 위치를 기판 위치에서의 에러를 보상하는 위치로 조정한다. 기판 위치 에러가 검출될 때, 시스템은 비정렬의 정도를 결정하고 수정 가능하다면 로봇 블레이드 위치의 이동에 의해 이러한 비정렬을 수정하거나 또는 조작자 개입을 위해 조작자에게 경고한다. 로봇 블레이드 상에서 기판 비정렬을 보상하고 검출하는 예시적 방법의 추가적인 설명은, 1996년 10월 8일에 발행된 "웨이퍼 위치 시스템"이라는 명칭의 미국 특허 제 5,563,798호, 1996년 1월 9일 발행된 "처리 챔버에서 기판을 자동적으로 위치시키기 위한 시스템 및 방법"이라는 명칭의 미국 특허 제 5,483,138호, 및 프릭스 등에게 1999년 11월 9일 발행된 미국 특허 출원 제 5,980,194호에서 추가로 설명되고, 이들은 전체가 본 발명의 명세서와 일치하는 정도로 참조로서 인용된다. 기판 위치 및 로봇 위치를 제어하는 예시적 방법의 일례는 프리맨 등에게 2003년 4월 29일 발행된 미국 특허 출원 제 6,556,887호에서 추가로 설명되고, 이는 본 발명의 명세서와 일치하는 정도로 전체가 여기서 참조로 인용된다.
전체적 위치
기판 손상(예를 들어 칩핑, 기판 파손)을 막음에 의해 시스템 가동 시간 및 시스템 신뢰도를 향상시키는데 이용될 수 있는 다른 실시예는 기판의 위치 및/또는 로봇 블레이드의 위치에서 에러를 수정하고 트랙하는 전체적인 위치 시스템(GPS)(미도시)의 이용이다. 이러한 구성에서, 전체적 위치 검출 시스템은 예정된 시스템 데이터에 대해 로봇 블레이드(기판 또는 로봇 단부 작용기)의 위치를 형성하는데 이용된다. 일반적으로 로봇 블레이드의 위치의 피드백은 각각의 제어축에 대한 드라이브 모터의 샤프트 상의 인코더를 채택함에 의해 제공되고, 이는 모터의 위치를 리포트하고 로봇 블레이드의 실제 위치는 리포트하지 않는다. 실제 위치는, 다양한 드라이브 구성요소 사이의 느슨한 커플링, 부적절한 로봇 파라미터 설정, 로봇 위치 제어 드리프트, 검출되지 않은 움직임 고장, 및 일어날 수 있는 하드웨어 충돌에 의해 리포트된 위치로부터 변할 수 있다. 따라서, 이러한 문제를 해결하기 위해, 본 발명의 실시예는 로봇 블레이드의 실제 위치 및 기판 위치를 트랙하는데 이용될 수 있다. 일 실시예에서, 전체 위치 기구(1300) 및 소통 시스템(예를 들어 RF 발신기(1302), 케이블 등)은 로봇 블레이드 또는 로봇으로 통합되어 시스템 제어기(1010에 대한 그 위치를 측정하고 피드백한다. 따라서, 각각의 이동 위치의 이전에 수집된 3차원적 좌표 시스템을 이용하여, GPS 센서 또는 다른 기구를 이용하여, 시스템 제어기는 다양한 로봇 부품의 위치를 조정함에 의해 블레이드 위치의 에러를 수정할 수 있다. 로봇 부품은 종래의 제어 수단을 이용하여 위치되고, 이는 로봇 위치를 제어하도록 이용되는 피드백 형태 기구, 다른 기구 및 인코더를 포함할 수 있다.
일 실시예에서, 블레이드 위치의 실제 시간 위치 피드백은 전체 위치 기구(1300)의 소통에 의해 수행되고 이는 로볼 블레이드 근처에 장착된 RF 발신기(1302)와 소통하며, 이는 시스템 제어기(101)와 소통하는 RF 수신기(1303)와 소통한다. 전체 위치 기구(1300)의 피드백은, 로봇 블레이드의 실제 위치를 검출되지 않은 하드웨어 고장에 의해 위치적 드리프팅(drifting) 및 고장을 제거하는 명령된 위치와 비교되도록 한다.
일 실시예에서, 시스템 제어기(101)는 GPS 시스템 및 SPEDAC 시스템(1200)(상기에서 설명됨)을 이용하여 로봇 위치 배치 및 로봇 블레이드에 대한 기판 비정렬 에러를 수정한다. 이러한 실시예는 로봇 블레이드에 대한 기판의 이동 또는 기판 배치 에러를 수정하는데 이용될 수 있다.
이전의 내용은 본 발명의 실시예를 위한 것이고, 본 발명의 다른 그리고 추가적인 실시예는 이의 기본 범위로부터 벗어나지 아니한 채 고안될 수 있으며, 그 범위는 이하의 청구 범위에 의해 결정된다.

Claims (77)

  1. 삭제
  2. 삭제
  3. 삭제
  4. 삭제
  5. 삭제
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
  40. 삭제
  41. 삭제
  42. 삭제
  43. 삭제
  44. 삭제
  45. 삭제
  46. 삭제
  47. 기판을 처리하기 위한 클러스터 툴로서,
    제 1 기판 처리 챔버;
    상기 제 1 기판 처리 챔버로부터 제 1 수직 거리에 위치한 제 2 기판 처리 챔버;
    제 3 기판 처리 챔버;
    상기 제 3 기판 처리 챔버로부터 제 2 수직 거리에 위치한 제 4 기판 처리 챔버; 및
    제 1 기판 수용면 및 제 2 기판 수용면을 갖는 제 1 로봇 아암과 제 1 기판 수용면 및 제 2 기판 수용면을 갖는 제 2 로봇 아암을 가진 제 1 로봇 어셈블리를 포함하고,
    상기 제 1 로봇 아암 상의 상기 제 1 기판 수용면이 상기 제 1 처리 챔버로부터 기판을 수용하도록 이루어지고, 상기 제 2 로봇 아암 상의 상기 제 1 기판 수용면이 상기 제 2 처리 챔버로부터 기판을 수용하도록 이루어지는,
    기판을 처리하기 위한 클러스터 툴.
  48. 제 47 항에 있어서,
    상기 제 1, 제 2, 제 3 및 제 4 기판 처리 챔버가 각각 수직 방향에 수직한 제 1 방향을 따라 정렬된 제 1 측부를 갖고,
    상기 제 1 및 제 3 기판 처리 챔버가 서로로부터 상기 제 1 방향으로 각각 일정한 거리에 위치하고 있으며,
    상기 제 2 및 제 4 기판 처리 챔버가 서로로부터 상기 제 1 방향으로 각각 일정한 거리에 위치하고 있는,
    기판을 처리하기 위한 클러스터 툴.
  49. 제 47 항에 있어서,
    상기 수직 방향에 수직인 제 1 방향으로 상기 제 1 로봇 어셈블리를 위치시키도록 이루어진 수평 운동 어셈블리를 추가로 포함하고,
    상기 수평 운동 어셈블리는 상기 제 1 방향으로 상기 제 1 로봇 어셈블리를 이동시키도록 이루어져 있어서 상기 제 1 로봇 어셈블리가 상기 제 3 기판 처리 챔버에서 상기 제 1 기판을 증착시킬 수 있고 동시에 상기 제 4 기판 처리 챔버에서 상기 제 2 기판을 증착시킬 수 있는,
    기판을 처리하기 위한 클러스터 툴.
  50. 제 48 항에 있어서,
    상기 제 1, 제 2, 제 3 및 제 4 기판 처리 챔버가 각각 상기 제 1 방향으로 정렬된 제 1 측부를 갖고,
    상기 제 1 로봇 어셈블리가 상기 제 1 기판 처리 챔버 및 상기 제 3 기판 처리 챔버 사이의 중앙 위치에 배치되며,
    기판 이동 프로세스 동안 상기 제 1 기판 처리 챔버의 상기 제 1 측부에 인접한 위치로부터 상기 제 3 기판 처리 챔버의 상기 제 1 측부에 인접한 위치로, 상기 제 1 로봇 어셈블리의 로봇을 상기 제 1 방향으로 일정한 거리를 이동시키도록 이루어진,
    기판을 처리하기 위한 클러스터 툴.
  51. 제 49 항에 있어서,
    상기 제 1 로봇 아암의 상기 제 1 기판 수용면 및 상기 제 2 로봇 아암의 상기 제 1 기판 수용면 사이의 거리가 작동기를 이용하여 조정 가능한,
    기판을 처리하기 위한 클러스터 툴.
  52. 제 47 항에 있어서,
    상기 제 1 로봇 어셈블리가,
    수평면 내에 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 로봇;
    상기 수직 방향에 대해 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 작동기 어셈블리를 갖는 수직 운동 어셈블리; 및
    상기 제 1 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  53. 제 52 항에 있어서,
    상기 제 1 로봇 어셈블리의 상기 수평 운동 어셈블리가,
    상기 모터를 에워싸는 내부 영역을 형성하는 하나 이상의 벽; 및
    상기 내부 영역과 유체 소통하는 하나 이상의 팬 어셈블리를 추가로 포함하고,
    상기 하나 이상의 팬 어셈블리가 상기 내부 영역에서 대기압 이하의 압력을 생성하도록 이루어진,
    기판을 처리하기 위한 클러스터 툴.
  54. 제 53 항에 있어서,
    필터를 추가로 포함하고,
    상기 내부 영역에서 대기압 이하의 압력의 생성이 상기 필터를 통해 상기 내부 영역으로부터 에어를 이동시키는 단계를 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  55. 제 47 항에 있어서,
    상기 제 1 및 제 2 기판 처리 챔버가 제 1 처리 래크를 포함하고, 상기 제 3 및 제 4 기판 처리 챔버가 제 2 처리 래크를 포함하며,
    상기 제 1 로봇 아암 상의 상기 제 1 기판 수용면이 상기 제 1 처리 챔버로부터 기판을 수용하도록 이루어지고, 동시에 상기 제 2 로봇 아암 상의 상기 제 1 기판 수용면이 상기 제 2 처리 챔버로부터 기판을 수용하도록 이루어진,
    기판을 처리하기 위한 클러스터 툴.
  56. 제 53 항에 있어서,
    상기 수평 운동 어셈블리가, 상기 제 1 방향에 평행하게 정렬되고 상기 내부 영역에 배치된 상기 로봇에 연결된 슬라이드 어셈블리를 추가로 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  57. 제 56 항에 있어서,
    상기 수직 운동 어셈블리가, 상기 수평 운동 어셈블리에 연결되고 상기 수직 방향에 평행하게 정렬된 두 개의 리프트 레일 어셈블리를 추가로 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  58. 제 47 항에 있어서,
    상기 제 1 아암 상의 상기 제 1 기판 수용면과 상기 제 2 아암 상의 상기 제 1 기판 수용면을 갖는 상기 제 1 로봇 어셈블리로서, 상기 제 1 아암 상의 상기 제 1 기판 수용면은 상기 제 1 처리 챔버로부터 제 1 기판을 수용하고 동시에 상기 제 2 아암 상의 상기 제 1 기판 수용면은 상기 제 2 처리 챔버로부터 제 2 기판을 수용하도록 이루어지며, 이후 상기 제 3 기판 처리 챔버에 상기 제 1 기판을 위치시키고 동시에 상기 제 4 기판 처리 챔버에 상기 제 2 기판을 위치시키도록 이루어지는, 상기 제 1 로봇 어셈블리; 및
    제 1 기판 수용면 및 제 2 기판 수용면을 갖는 제 2 로봇 어셈블리를 추가로 포함하고,
    상기 제 1 및 제 3 기판 처리 챔버가 서로로부터 수평 방향으로 일정한 거리에 각각 위치하고, 상기 제 2 및 제 4 기판 처리 챔버가 서로로부터 수평 방향으로 일정한 거리에 각각 위치하며,
    상기 제 1 기판 수용면이 상기 제 1 처리 챔버로부터 제 3 기판을 수용하고 동시에 상기 제 2 기판 수용면이 상기 제 2 처리 챔버로부터 제 4 기판을 수용하도록 이루어지며, 이후 상기 제 3 기판 처리 챔버에 상기 제 3 기판을 위치시키고 동시에 상기 제 4 기판 처리 챔버에 상기 제 4 기판을 위치시키도록 이루어진,
    기판을 처리하기 위한 클러스터 툴.
  59. 삭제
  60. 제 47 항에 있어서,
    상기 제 1 및 제 2 기판 처리 챔버 및 수직 방향에 수직인 제 1 방향으로 상기 제 1 및 제 2 처리 챔버로부터 일정한 거리에 위치하는 둘 이상의 수직으로 적층된 기판 처리 챔버의 제 1 그룹을 포함하는 제 1 처리 래크;
    상기 제 3 및 제 4 기판 처리 챔버 및 상기 제 1 방향으로 상기 제 3 및 제 4 처리 챔버로부터 일정한 거리에 위치하는 둘 이상의 수직으로 적층된 기판 처리 챔버의 제 2 그룹을 포함하는 제 2 처리 래크;
    제 1 측부로부터 상기 둘 이상의 수직으로 적층된 기판 처리 챔버의 제 1 그룹과 상기 제 1 및 제 2 기판 처리 챔버에 접근하도록 이루어진 상기 제 1 로봇 어셈블리;
    제 1 측부로부터 상기 둘 이상의 수직으로 적층된 기판 처리 챔버의 제 2 그룹과 상기 제 3 및 제 4 처리 챔버로 접근하고, 제 2 측부로부터 상기 둘 이상의 수직으로 적층된 기판 처리 챔버의 제 1 그룹과 상기 제 1 및 제 2 기판 처리 챔버로 접근하도록 이루어진 제 2 로봇 어셈블리; 및
    제 2 측부로부터 상기 둘 이상의 수직으로 적층된 기판 처리 챔버의 제 2 그룹과 상기 제 3 및 제 4 처리 챔버로 접근하도록 이루어진 제 3 로봇 어셈블리를 추가로 포함하고,
    상기 제 1 및 제 2 처리 챔버와 상기 둘 이상의 수직으로 적층된 기판 처리 챔버의 제 1 그룹이 각각 상기 제 1 및 제 2 측부를 가지며,
    상기 제 3 및 제 4 처리 챔버와 상기 둘 이상의 수직으로 적층된 기판 처리 챔버의 제 2 그룹이 각각 상기 제 1 및 제 2 측부를 가지는,
    기판을 처리하기 위한 클러스터 툴.
  61. 기판을 처리하기 위한 클러스터 툴로서,
    수직 방향으로 적층된 둘 이상의 기판 처리 챔버의 둘 이상의 그룹을 포함하는 제 1 처리 래크;
    제 1 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버로 기판을 이동시키도록 이루어지며 상기 제 1 처리 래크에 인접하여 위치한 제 1 로봇 어셈블리; 및
    제 2 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버로 기판을 이동시키도록 이루어지며 상기 제 1 처리 래크에 인접하여 위치한 제 2 로봇 어셈블리를 포함하고,
    상기 둘 이상 그룹으로 된 둘 이상의 기판 처리 챔버가 제 1 방향을 따라 정렬된 상기 제 1 측부 및 상기 제 2 측부를 가지고 이를 통해 상기 기판 처리 챔버에 접근하며,
    상기 제 1 로봇 어셈블리가,
    수평면 내에 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 로봇;
    상기 수직 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 작동기 어셈블리를 갖는 수직 운동 어셈블리; 및
    상기 제 1 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하고,
    상기 제 2 로봇 어셈블리가,
    수평면 내에 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 로봇;
    상기 수직 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 작동기 어셈블리를 갖는 수직 운동 어셈블리; 및
    상기 제 1 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  62. 제 61 항에 있어서,
    상기 수평 운동 어셈블리의 각각이,
    모터를 에워싸는 내부 영역을 형성하는 하나 이상의 벽; 및
    상기 내부 영역과 유체 소통하는 하나 이상의 팬 어셈블리를 추가로 포함하고,
    상기 하나 이상의 팬 어셈블리가 상기 내부 영역에 대기압 이하의 압력을 생성하도록 이루어진,
    기판을 처리하기 위한 클러스터 툴.
  63. 제 62 항에 있어서,
    상기 수평 운동 어셈블리의 각각이 상기 내부 영역에 배치된 상기 로봇에 연결되며 상기 제 1 방향에 평행하게 정렬된 슬라이드 어셈블리를 추가로 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  64. 제 63 항에 있어서,
    상기 수직 운동 어셈블리의 각각이 상기 수평 운동 어셈블리에 연결되며 상기 수직 방향에 평행하게 정렬된 두 개의 리프트 레일 어셈블리를 추가로 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  65. 제 61 항에 있어서,
    수직 방향으로 적층된 둘 이상의 기판 처리 챔버의 둘 이상의 그룹을 포함하는 제 2 처리 래크;
    제 2 측부로부터 상기 제 2 처리 래크의 기판 처리 챔버로 기판을 이동시키도록 이루어지며 상기 제 2 처리 래크에 인접하여 위치한 제 3 로봇 어셈블리; 및
    상기 제 2 처리 래크의 상기 기판 처리 챔버 및 상기 제 1 처리 래크의 상기 기판 처리 챔버로 기판을 이동시키도록 이루어진 제 4 로봇 어셈블리를 추가로 포함하고,
    상기 둘 이상의 그룹의 둘 이상의 기판 처리 챔버가 상기 제 1 방향을 따라 정렬된 상기 제 1 측부 및 상기 제 2 측부를 가지고, 이를 통해 상기 기판 처리 챔버로 접근하며,
    상기 제 2 로봇 어셈블리가 상기 제 1 처리 래크 및 상기 제 2 처리 래크 사이에 위치하고, 상기 제 2 측부로부터 상기 제 1 처리 래크의 상기 기판 처리 챔버로 기판을 이동시키며 상기 제 1 측부로부터 상기 제 2 처리 래크의 상기 기판 처리 챔버로 기판을 이동시키도록 이루어지며,
    상기 제 3 로봇 어셈블리가,
    수평면 내에 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 로봇;
    상기 수직 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 작동기 어셈블리를 갖는 수직 운동 어셈블리; 및
    상기 제 1 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하고,
    상기 제 4 로봇 어셈블리가,
    수평면 내에 포함된 하나 이상의 지점에 기판을 위치시키도록 이루어진 로봇;
    상기 수직 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 수직 작동기 어셈블리를 갖는 수직 운동 어셈블리; 및
    상기 제 1 방향에 평행한 방향으로 상기 로봇을 위치시키도록 이루어진 모터를 갖는 수평 운동 어셈블리를 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  66. 제 61 항에 있어서,
    상기 제 1 로봇 어셈블리가 제 1 로봇 블레이드 및 제 2 로봇 블레이드를 추가로 포함하고, 상기 제 1 로봇 블레이드가 상기 제 1 처리 챔버로부터 기판을 수용하고 동시에 상기 제 2 로봇 어셈블리가 상기 제 2 처리 챔버로부터 기판을 수용하도록 이루어지며 이후 상기 제 1 기판을 상기 제 3 기판 처리 챔버에 그리고 상기 제 2 기판을 상기 제 4 기판 처리 챔버에 위치시키도록 이루어지고,
    상기 제 2 로봇 어셈블리가 제 1 로봇 블레이드 및 제 2 로봇 블레이드를 추가로 포함하고, 상기 제 1 로봇 블레이드가 상기 제 1 처리 챔버로부터 기판을 수용하고 동시에 상기 제 2 로봇 블레이드가 상기 제 2 처리 챔버로부터 기판을 수용하도록 이루어지며 이후 상기 제 1 기판을 상기 제 3 기판 처리 챔버에 그리고 상기 제 2 기판을 상기 제 4 기판 처리 챔버에 위치시키도록 이루어지는,
    기판을 처리하기 위한 클러스터 툴.
  67. 제 65 항에 있어서,
    상기 제 1 및 제 2 처리 래크의 상기 둘 이상의 기판 처리 챔버의 상기 제 1 측부 또는 제 2 측부가 중앙 지점으로부터 동일한 거리에 위치하는,
    기판을 처리하기 위한 클러스터 툴.
  68. 제 47 항 또는 제 61 항에 있어서,
    상기 로봇 어셈블리를 이용하여 상기 기판 처리 챔버를 통해 기판의 이동을 제어하도록 이루어진 제어기; 및
    상기 클러스터 툴의 작동을 지시하기 위해 내부에 구체화된 컴퓨터로 읽을 수 있는 프로그램을 갖는 컴퓨터로 읽을 수 있는 매체를 포함하며 상기 제어기에 연결된 메모리를 추가로 포함하고,
    상기 컴퓨터로 읽을 수 있는 프로그램이 상기 제 1 및 제 2 로봇 어셈블리의 이동을 제어하는 컴퓨터 지시를 포함하고,
    상기 컴퓨터 지시가,
    (i) 상기 메모리에 상기 로봇 어셈블리들을 위한 하나 이상의 명령 작업(command task)을 저장하는 단계;
    (ii) 상기 메모리에 보유된 상기 제 1 로봇 어셈블리를 위한 명령 작업을 검토하는 단계;
    (iii) 상기 메모리에 보유된 상기 제 2 로봇 어셈블리를 위한 명령 작업을 검토하는 단계; 및
    (iv) 각각의 로봇 어셈블리의 활용성(availability)이 균형을 이루도록 상기 제 1 로봇 어셈블리로부터 상기 제 2 로봇 어셈블리로 또는 상기 제 2 로봇 어셈블리로부터 상기 제 1 로봇 어셈블리로 명령 작업을 이동시키는 단계를 포함하는,
    기판을 처리하기 위한 클러스터 툴.
  69. 클러스터 툴에서 기판을 이동시키는 방법으로서,
    제 1 로봇을 이용하여 제 1 처리 래크의 둘 이상의 수직으로 적층된 처리 챔버의 각각으로 하나 이상의 기판을 삽입하는 단계;
    하나 이상의 기판 지지면을 갖는 하나 이상의 이동 가능한 아암을 포함하는 제 2 로봇을 이용하여 동시에 상기 제 1 래크의 상기 둘 이상의 수직으로 적층된 처리 챔버 중 둘 이상으로부터 기판을 제거하는 단계;
    상기 제 2 로봇을 이용하여 제 2 처리 래크의 둘 이상의 수직으로 적층된 처리 챔버로 상기 기판을 동시에 이동시키는 단계로서, 수평 운동 어셈블리를 이용하여 수평 방향으로 상기 제 2 로봇을 일정한 거리를 이동시키는 단계를 포함하는, 이동 단계; 및
    상기 제 2 로봇을 이용하여 상기 제 2 처리 래크의 상기 둘 이상의 수직으로 적층된 처리 챔버 중 둘 이상에 상기 기판을 동시에 위치시키는 단계를 포함하는,
    클러스터 툴에서 기판을 이동시키는 방법.
  70. 제 69 항에 있어서,
    제 3 로봇을 이용하여 상기 제 2 처리 래크의 상기 둘 이상의 수직으로 적층된 처리 챔버의 둘 이상으로부터 기판을 동시에 제거하는 단계; 및
    상기 제 3 로봇을 이용하여 제 3 처리 래크의 상기 둘 이상의 수직으로 적층된 처리 챔버의 둘 이상에 기판을 삽입하는 단계를 추가로 포함하는,
    클러스터 툴에서 기판을 이동시키는 방법.
  71. 제 69 항에 있어서,
    제 2 로봇을 이용하여 동시에 상기 제 1 래크의 상기 둘 이상의 수직으로 적층된 처리 챔버 중 둘 이상으로부터 상기 기판을 제거하는 단계가,
    제 1 작동기를 이용하여 지지대에 대해 하나 이상의 이동 가능한 아암 중 제 1 아암을 연장시키는 단계;
    제 2 작동기를 이용하여 상기 지지대에 대해 상기 하나 이상의 이동 가능한 아암 중 제 2 아암을 연장시키는 단계;
    상기 제 2 로봇에 연결된 상기 지지대를 위치시킴에 의해 상기 제 2 아암 상의 상기 제 2 처리 챔버에 위치한 상기 제 2 기판 및 상기 제 1 아암 상의 제 1 처리 챔버에 위치한 제 1 기판을 위치시키는 단계; 및
    상기 제 1 아암 및 상기 제 2 아암을 수축시키는 단계를 포함하는,
    클러스터 툴에서 기판을 이동시키는 방법.
  72. 클러스터 툴에서 기판을 이동시키는 방법으로서,
    둘 이상의 수직으로 적층된 처리 챔버의 제 1 세트에 배치된 제 1 처리 챔버에 인접한 하나 이상이 연장 가능한 아암을 갖는 로봇을 포함하는 로봇 어셈블리를 위치시키는 단계;
    내부 압력을 감소시키기 위해 팬을 이용하여 수직 운동 어셈블리 또는 수평 운동 어셈블리에서 인클로저(enclosure)의 내부 영역을 비우는 단계; 및
    상기 둘 이상의 수직으로 적층된 처리 챔버의 제 1 세트로부터 상기 수평 방향으로 일정한 거리에 위치한 둘 이상의 수직으로 적층된 처리 챔버의 제 2 세트에 배치된 제 1 처리 챔버에 인접한 상기 로봇 어셈블리를 위치시키는 단계를 포함하고,
    상기 로봇 어셈블리를 위치시키는 단계가, 상기 수직 운동 어셈블리를 이용하여 수직 방향으로 상기 로봇 어셈블리를 위치시키는 단계 및 상기 수평 운동 어셈블리를 이용하여 수평 방향으로 상기 로봇 어셈블리를 위치시키는 단계를 포함하며,
    상기 로봇 어셈블리를 위치시키도록 이루어진 상기 수평 운동 어셈블리 또는 상기 수직 운동 어셈블리의 운동 작동기가 상기 내부 영역에 위치하는,
    클러스터 툴에서 기판을 이동시키는 방법.
  73. 제 72 항에 있어서,
    상기 둘 이상의 수직으로 적층된 처리 챔버의 제 1 세트에서 상기 제 1 처리 챔버로 제 1 작동기를 이용하여 지지대에 대해 상기 하나 이상의 연장 가능한 아암의 제 1 아암을 연장시키는 단계;
    상기 둘 이상의 수직으로 적층된 처리 챔버의 제 1 세트에서 상기 제 2 처리 챔버로 제 2 작동기를 이용하여 상기 지지대에 대해 상기 하나 이상의 연장 가능한 아암의 제 2 아암을 연장시키는 단계;
    상기 제 2 로봇에 연결된 상기 지지대를 위치시킴에 의해, 상기 제 2 아암 상의 상기 제 2 처리 챔버에 위치한 제 2 기판 및 상기 제 1 아암 상의 제 1 처리 챔버에 위치한 제 1 기판을 위치시키는 단계; 및
    상기 제 1 아암 및 상기 제 2 아암을 수축시키는 단계를 추가로 포함하는,
    클러스터 툴에서 기판을 이동시키는 방법.
  74. 클러스터 툴에서 기판을 이동시키는 방법으로서,
    제 1 로봇 어셈블리를 이용하여 제 1 방향을 따라 정렬된 제 1 측부 및 제 2 측부를 각각 갖는 둘 이상의 수직으로 적층된 처리 챔버의 제 1 배열에 포함된 하나 이상의 처리 챔버로 기판을 이동시키는 단계;
    제 2 로봇 어셈블리를 이용하여 상기 제 1 방향을 따라 정렬된 제 1 측부 및 제 2 측부를 각각 갖는 둘 이상의 수직으로 적층된 처리 챔버의 제 2 배열에 포함된 하나 이상의 처리 챔버로 기판을 이동시키는 단계; 및
    제 3 로봇 어셈블리를 이용하여 상기 제 1 측부를 통해 상기 둘 이상의 수직으로 적층된 처리 챔버의 제 2 배열에 포함된 하나 이상의 처리 챔버로 그리고 상기 제 2 측부를 통해 상기 둘 이상의 수직으로 적층된 처리 챔버의 제 1 배열에 포함된 하나 이상의 처리 챔버로 기판을 이동시키는 단계를 포함하고,
    상기 제 1 로봇 어셈블리가 수직 방향에 대해 수직인 상기 제 1 방향으로 상기 기판을 위치시키고 상기 제 1 측부를 통해 상기 하나 이상의 처리 챔버에 상기 기판을 위치시키도록 이루어지며,
    상기 제 2 로봇 어셈블리가 상기 제 1 방향으로 상기 기판을 위치시키고 상기 제 2 측부를 통해 상기 하나 이상의 처리 챔버에 상기 기판을 위치시키도록 이루어지고,
    상기 제 3 로봇 어셈블리가 상기 제 1 방향으로 상기 기판을 위치시키도록 이루어지며,
    상기 둘 이상의 수직으로 적층된 처리 챔버의 제 1 배열 및 제 2 배열의 각각의 상기 제 1 및 제 2 측부가 상기 처리 챔버의 각각이 대향 측부 상에 있는,
    클러스터 툴에서 기판을 이동시키는 방법.
  75. 제 74 항에 있어서,
    제 1 아암 및 제 2 아암을 갖는 로봇을 각각 포함하는 상기 제 1, 제 2, 또는 제 3 로봇 어셈블리를 이용하여 둘 이상의 기판을 동시에 이동시키는 단계를 추가로 포함하고,
    상기 둘 이상의 기판을 동시에 이동시키는 단계가,
    상기 제 1 배열 또는 제 2 배열에서 상기 둘 이상의 수직으로 적층된 처리 챔버 중 하나에 포함된 제 1 처리 챔버로 상기 로봇이 배치된 지지대에 대해 상기 제 1 아암을 연장시키는 단계;
    상기 제 1 배열 또는 제 2 배열에서 상기 둘 이상의 수직으로 적층된 처리 챔버 중 하나에 포함된 제 2 처리 챔버로 상기 지지대에 대해 제 2 아암을 연장시키는 단계;
    상기 로봇을 위치시킴에 의해 상기 제 2 아암 상에서 상기 제 2 처리 챔버에 위치하도록 제 2 기판을 위치시키고 상기 제 1 아암 상에서 상기 제 1 처리 챔버에 위치하도록 제 1 기판을 위치키시는 단계;
    상기 제 1 아암 및 상기 제 2 아암을 수축시키는 단계; 및
    상기 제 3 처리 챔버에 인접한 상기 제 1 아암과 상기 제 4 처리 챔버에 인접한 상기 제 2 아암을 재위치시키는 단계를 포함하고,
    상기 제 3 및 제 4 처리 챔버가 모두 상기 제 1 또는 제 2 배열에 포함되는,
    클러스터 툴에서 기판을 이동시키는 방법.
  76. 제 75 항에 있어서,
    수직 운동 어셈블리를 이용하여 수직 방향으로 상기 제 2 로봇 어셈블리를 위치시키는 단계 및 수평 운동 어셈블리를 이용하여 수평 방향으로 상기 로봇 어셈블리를 위치시키는 단계를 포함하는, 상기 제 2 로봇 어셈블리를 재위치시키는 단계; 및
    내부의 압력을 감소시키기 위해 팬을 이용하여 상기 수평 운동 어셈블리 또는 상기 수직 운동 어셈블리에서 인클로저의 내부 영역을 비우는 단계를 포함하고,
    상기 로봇 어셈블리를 위치시키도록 이루어진 작동기가 상기 내부 영역에 배치되는,
    클러스터 툴에서 기판을 이동시키는 방법.
  77. 삭제
KR1020077016988A 2004-12-22 2005-12-21 기판을 처리하기 위한 클러스터 툴 아키텍쳐 KR101006685B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US63910904P 2004-12-22 2004-12-22
US60/639,109 2004-12-22
US11/112,932 2005-04-22
US11/112,281 2005-04-22
US11/112,281 US7357842B2 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate
US11/112,932 US20060134330A1 (en) 2004-12-22 2005-04-22 Cluster tool architecture for processing a substrate

Publications (2)

Publication Number Publication Date
KR20080016782A KR20080016782A (ko) 2008-02-22
KR101006685B1 true KR101006685B1 (ko) 2011-01-10

Family

ID=36147070

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077016988A KR101006685B1 (ko) 2004-12-22 2005-12-21 기판을 처리하기 위한 클러스터 툴 아키텍쳐

Country Status (4)

Country Link
EP (1) EP1842225A2 (ko)
JP (1) JP4990160B2 (ko)
KR (1) KR101006685B1 (ko)
WO (1) WO2006069341A2 (ko)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4685584B2 (ja) 2005-03-11 2011-05-18 東京エレクトロン株式会社 塗布、現像装置
JP5283842B2 (ja) * 2006-12-18 2013-09-04 キヤノン株式会社 処理装置
US8636458B2 (en) * 2007-06-06 2014-01-28 Asml Netherlands B.V. Integrated post-exposure bake track
JP5267691B2 (ja) * 2012-02-15 2013-08-21 東京エレクトロン株式会社 塗布、現像装置、その方法及び記憶媒体
US9543186B2 (en) 2013-02-01 2017-01-10 Applied Materials, Inc. Substrate support with controlled sealing gap
KR102037900B1 (ko) * 2017-11-10 2019-10-29 세메스 주식회사 기판 처리 장치 및 기판 처리 방법
US20200194297A1 (en) * 2018-12-14 2020-06-18 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Track system and method of processing semiconductor wafers
US11413767B2 (en) 2019-10-29 2022-08-16 Applied Materials, Inc. Sensor-based position and orientation feedback of robot end effector with respect to destination chamber

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus
US20040091349A1 (en) 1997-11-28 2004-05-13 Farzad Tabrizi Methods for transporting wafers for vacuum processing

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3650495B2 (ja) * 1995-12-12 2005-05-18 東京エレクトロン株式会社 半導体処理装置、その基板交換機構及び基板交換方法
JP3816929B2 (ja) * 1995-12-12 2006-08-30 東京エレクトロン株式会社 半導体処理装置
TW318258B (ko) * 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
US6142722A (en) * 1998-06-17 2000-11-07 Genmark Automation, Inc. Automated opening and closing of ultra clean storage containers
JP3957445B2 (ja) * 1999-07-02 2007-08-15 東京エレクトロン株式会社 基板処理装置および基板処理方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6176667B1 (en) 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US20040091349A1 (en) 1997-11-28 2004-05-13 Farzad Tabrizi Methods for transporting wafers for vacuum processing
US6293713B1 (en) 1999-07-02 2001-09-25 Tokyo Electron Limited Substrate processing apparatus

Also Published As

Publication number Publication date
WO2006069341A3 (en) 2006-10-12
WO2006069341A2 (en) 2006-06-29
JP2008526032A (ja) 2008-07-17
EP1842225A2 (en) 2007-10-10
JP4990160B2 (ja) 2012-08-01
KR20080016782A (ko) 2008-02-22

Similar Documents

Publication Publication Date Title
US7357842B2 (en) Cluster tool architecture for processing a substrate
US7699021B2 (en) Cluster tool substrate throughput optimization
KR101006685B1 (ko) 기판을 처리하기 위한 클러스터 툴 아키텍쳐
KR100875788B1 (ko) 기판처리장치
US20070274711A1 (en) Substrate processing apparatus and substrate processing method
US20070172234A1 (en) Apparatus for and method of processing substrate
TWI397148B (zh) 軌跡微影工具中之晶圓置中情形的偵測方法與系統
US20070253710A1 (en) Method of processing substrate, substrate processing system and substrate processing apparatus
US20080212049A1 (en) Substrate processing apparatus with high throughput development units
US20060238954A1 (en) Electrostatic chuck for track thermal plates
US20070147832A1 (en) Method of processing substrate, substrate processing system and substrate processing apparatus
US20090001071A1 (en) Method and System for Cooling a Bake Plate in a Track Lithography Tool
US20090107519A1 (en) Method and system for chemically enhanced laser trimming of substrate edges
US11474028B2 (en) Systems and methods for monitoring one or more characteristics of a substrate
US20080196658A1 (en) Substrate processing apparatus including a substrate reversing region

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
J204 Request for invalidation trial [patent]
J301 Trial decision

Free format text: TRIAL DECISION FOR INVALIDATION REQUESTED 20110411

Effective date: 20130329

FPAY Annual fee payment

Payment date: 20131129

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20141128

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20150930

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20161125

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20170929

Year of fee payment: 8