KR100829921B1 - Method and system for transporting substrate - Google Patents

Method and system for transporting substrate Download PDF

Info

Publication number
KR100829921B1
KR100829921B1 KR1020060075684A KR20060075684A KR100829921B1 KR 100829921 B1 KR100829921 B1 KR 100829921B1 KR 1020060075684 A KR1020060075684 A KR 1020060075684A KR 20060075684 A KR20060075684 A KR 20060075684A KR 100829921 B1 KR100829921 B1 KR 100829921B1
Authority
KR
South Korea
Prior art keywords
substrate container
door
container
semiconductor substrates
substrate
Prior art date
Application number
KR1020060075684A
Other languages
Korean (ko)
Other versions
KR20080014247A (en
Inventor
유재령
조창율
이만영
Original Assignee
세메스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 세메스 주식회사 filed Critical 세메스 주식회사
Priority to KR1020060075684A priority Critical patent/KR100829921B1/en
Publication of KR20080014247A publication Critical patent/KR20080014247A/en
Application granted granted Critical
Publication of KR100829921B1 publication Critical patent/KR100829921B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/6773Conveying cassettes, containers or carriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • H01L21/67265Position monitoring, e.g. misposition detection or presence detection of substrates stored in a container, a magazine, a carrier, a boat or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67772Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading involving removal of lid, door, cover
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 이송 시스템의 로드포트 상에 복수의 기판들이 적재된 용기가 로딩되면, 도어 홀더를 이용하여 용기의 도어를 제거하고 용기 내의 기판들의 정렬 상태를 감지한다. 기판들이 정렬 상태인 경우에는 반송 로봇을 이용하여 용기 내의 기판들을 공정 설비 내부로 이송하고, 기판들이 크로스 에러 상태인 경우에는 도어 홀더를 이용하여 용기의 입구에 충격을 가하며, 기판들이 더블 에러 상태인 경우에는 기판 이송 시스템의 동작을 중지한다.When a container loaded with a plurality of substrates is loaded on the load port of the substrate transport system, the door holder is used to remove the door of the container and sense the alignment of the substrates in the container. When the substrates are aligned, the transfer robot transfers the substrates into the process equipment. When the substrates are in the cross error state, the substrates are impacted at the entrance of the container using the door holder. In this case, the operation of the substrate transfer system is stopped.

용기, 도어, 크로스 에러 Container, door, cross error

Description

기판을 이송하는 방법 및 시스템{Method and system for transporting substrate}Method and system for transporting substrate

도 1은 본 발명의 제1 실시예에 따른 기판 이송 시스템이 적용된 기판처리장치(1)를 나타내는 정면도이다.1 is a front view showing a substrate processing apparatus 1 to which a substrate transfer system according to a first embodiment of the present invention is applied.

도 2는 도 1의 기판 용기를 나타내는 사시도이다.FIG. 2 is a perspective view illustrating the substrate container of FIG. 1. FIG.

도 3은 도 1의 로드포트를 나타내는 사시도이다.3 is a perspective view illustrating the load port of FIG. 1.

도 4는 도 1의 도어 오프너를 나타내는 사시도이다.4 is a perspective view illustrating the door opener of FIG. 1.

도 5는 기판 용기 내의 슬롯에 웨이퍼가 정렬되었는지 여부를 감지하는 평면도이다.5 is a plan view of detecting whether a wafer is aligned with a slot in a substrate container.

도 6a 내지 도 6c는 기판 용기 내의 슬롯에 웨이퍼가 정렬된 상태를 나타내는 정면도이다.6A to 6C are front views illustrating a state in which a wafer is aligned with a slot in a substrate container.

도 7a 내지 도 7d는 본 발명에 따른 웨이퍼를 이송하는 방법을 나타내는 도면이다.7A-7D illustrate a method of transferring a wafer according to the present invention.

도 8는 본 발명에 따른 웨이퍼를 이송하는 방법을 나타내는 흐름도이다.8 is a flowchart illustrating a method of transferring a wafer according to the present invention.

< 도면의 주요 부분에 대한 부호의 설명 ><Description of Symbols for Main Parts of Drawings>

10 : 기판 용기 20 : 공정설비10 substrate substrate 20 process equipment

30 : 기판 이송 시스템 100 : 로드포트30: substrate transfer system 100: load port

120 : 스테이션 140 : 이동판120: station 140: moving plate

200 : 프레임 300 : 매핑부재200: frame 300: mapping member

400 : 제어기400: controller

본 발명은 기판 용기 내의 반도체 기판을 이송하는 장치 및 방법에 관한 것으로, 더욱 상세하게는 기판 용기 내의 웨이퍼들을 정렬하는 장치 및 방법에 관한 것이다.The present invention relates to an apparatus and method for transferring a semiconductor substrate in a substrate container, and more particularly, to an apparatus and method for aligning wafers in a substrate container.

반도체 제조 공정은 높은 청정도를 유지하는 청정실 내에서 진행되며 웨이퍼의 저장 및 운반을 위해 오픈형 웨이퍼 용기가 주로 사용되었다. 그러나 최근에는 청정실의 유지비용을 줄이기 위해 공정설비 내부 및 공정설비와 관련된 일부 설비의 내부에서만 높은 청정도가 유지되고, 기타 지역에서는 비교적 낮은 청정도가 유지된다. 낮은 청정도가 유지되는 지역에서 대기중의 이물질이나 화학적인 오염으로부터 웨이퍼를 보호하기 위해 밀폐형 웨이퍼 용기가 사용되며, 이러한 밀폐형 웨이퍼 용기의 대표적인 예로 전면 개방 일체식 포드(front open unified pod : 이하 "FOUP")가 있다.The semiconductor manufacturing process is performed in clean rooms that maintain high cleanliness, and open wafer containers are mainly used for storage and transportation of wafers. However, in recent years, in order to reduce the maintenance cost of the clean room, high cleanliness is maintained only inside the process facility and inside some facilities related to the process facility, and relatively low cleanliness is maintained elsewhere. Closed wafer containers are used to protect wafers from airborne contaminants or chemical contamination in areas where low cleanliness is maintained. A representative example of such closed wafer containers is a front open unified pod (“FOUP”). There is).

또한, 최근에 반도체 웨이퍼의 직경이 200mm에서 300mm로 증가됨에 따라, 자동화 시스템에 의해 반도체 칩이 제조되며, 이러한 반도체 제조 공정의 자동화와 클리닝환경을 위해 공정설비에 연결되어 기판 용기와 공정설비간 웨이퍼를 이송하 는 웨이퍼 이송 시스템(equipment front end module : 이하 "EFEM")이 사용된다. In addition, as the diameter of a semiconductor wafer is recently increased from 200 mm to 300 mm, a semiconductor chip is manufactured by an automated system, and a wafer between the substrate container and the process equipment is connected to a process facility for the automation and cleaning environment of the semiconductor manufacturing process. An wafer front transfer system ("EFEM") is used.

미국등록특허 제6,473,996호에는 상술한 웨이퍼 이송 시스템의 로드포트가 개시되어 있다. 기판 용기가 로드포트의 스테이션 상에 놓여지면, 도어 오프너에 의해 기판 용기의 도어가 열린다. 이후, 기판 용기 내에 형성된 다수의 슬롯 내부에 웨이퍼가 적재되어 있는지의 유무를 확인하고, 기판 용기 내에 적재된 웨이퍼의 상태를 측정하는 매핑(mapping) 공정이 진행된다. 매핑 후 슬롯 내 웨이퍼들에 대한 데이터와 전송받은 데이터가 불일치하면 공정 진행이 중단된다. 이와 반대로 데이터들이 일치하면 기판 용기로부터 웨이퍼들이 반출되어 공정설비로 이송된다. 공정이 완료된 웨이퍼들은 다시 기판 용기 내로 반입되고, 기판 용기의 도어가 닫히면 기판 용기는 외부로부터 밀폐된다. US Patent No. 6,473,996 discloses a load port of the wafer transfer system described above. When the substrate container is placed on the station of the load port, the door of the substrate container is opened by the door opener. Thereafter, a mapping process of checking whether wafers are loaded in the plurality of slots formed in the substrate container and measuring the state of the wafers loaded in the substrate container is performed. If the data on the wafers in the slot and the received data do not match after mapping, the process stops. Conversely, if the data match, wafers are taken out of the substrate container and transferred to the process facility. The processed wafers are brought back into the substrate container, and when the door of the substrate container is closed, the substrate container is sealed from the outside.

기판 용기는 오버헤드 트랜스퍼(overhead transfer)와 같은 자동화 기기 또는 작업자에 의해 공정설비들간 이동된다. 종종 기판 용기가 이동하는 동안 기판 용기의 슬롯 내에 삽입된 웨이퍼들이 수평 상태에서 벗어난다. 이 경우 매핑 공정 진행시 슬롯 내 정위치에서 어긋난 웨이퍼가 센서에 제대로 감지되지 않는다. 매핑 결과가 설정된 데이터와 상이하므로 설비 에러가 발생하고 공정 진행이 중단된다. 또한, 수평 상태에서 벗어난 상태의 웨이퍼는 이송 아암에 안정적으로 로딩되지 않아 이송 도중 웨이퍼가 이송 아암으로부터 떨어져 파손될 수 있다. The substrate container is moved between process facilities by an operator or by an automated device, such as an overhead transfer. Often the wafers inserted into the slots of the substrate container deviate from the horizontal state while the substrate container moves. In this case, the wafer is not properly detected by the sensor in the slot during the mapping process. Since the mapping results differ from the set data, equipment errors occur and the process stops. In addition, the wafer out of the horizontal state may not be stably loaded on the transfer arm so that the wafer may break off the transfer arm during transfer.

본 발명은 상술한 문제점을 해결하기 위한 것으로, 본 발명의 목적은 기판 용기의 슬롯으로부터 이탈한 웨이퍼들을 슬롯 내에 정렬하여, 이송시 웨이퍼 파손 등의 문제가 발생하는 것을 방지할 수 있는 기판 이송 시스템 및 이송방법을 제공하는 데 있다.The present invention is to solve the above-mentioned problems, an object of the present invention is to arrange the wafers separated from the slot of the substrate container in the slot, the substrate transfer system that can prevent problems such as wafer breakage during transfer and To provide a transport method.

본 발명에 의하면, 기판 용기 내의 복수의 슬롯들에 각각 로딩된 복수의 반도체 기판들을 언로딩하여 기설정된 위치로 이송하는 기판이송방법은 상기 기판 용기를 로드포트 상에 로딩하는 단계와, 로딩된 상기 기판 용기로부터 상기 반도체 기판들을 언로딩하기 이전에 상기 반도체 기판들을 정렬하기 위하여 상기 기판 용기에 충격을 가하는 단계를 포함한다.According to the present invention, a substrate transfer method for unloading a plurality of semiconductor substrates respectively loaded into a plurality of slots in a substrate container and transferring the semiconductor substrates to a predetermined position comprises: loading the substrate container onto a load port; Impacting the substrate container to align the semiconductor substrates prior to unloading the semiconductor substrates from the substrate container.

상기 방법은 상기 기판 용기를 로드포트 상에 로딩하는 단계 이후에 도어 홀더를 이용하여 상기 기판 용기의 도어를 제거하면서 상기 기판 용기 내에 로딩된 반도체 기판들의 정렬 상태를 검사하는 단계를 더 포함하며, 상기 반도체 기판들이 정렬되지 않은 경우 상기 기판 용기에 충격을 가할 수 있다.The method further includes inspecting the alignment of the semiconductor substrates loaded in the substrate container while removing the door of the substrate container using a door holder after loading the substrate container on the load port, wherein If the semiconductor substrates are not aligned, the substrate container may be impacted.

상기 정렬 상태를 검사하는 단계는 상기 반도체 기판들이 겹쳐져 있는 더블 에러인지 상기 반도체 기판들이 상기 슬롯 상에 안착되지 않은 크로스 에러인지를 검사하는 단계일 수 있다.The checking of the alignment state may be a step of checking whether the semiconductor substrates overlap each other or whether the semiconductor substrates do not settle on the slots.

상기 반도체 기판들이 정렬되지 않은 경우는 상기 크로스 에러가 발생한 경우일 수 있다.When the semiconductor substrates are not aligned, the cross error may occur.

상기 기판 용기에 충격을 가하는 단계는 상기 도어 홀더에 결합된 상기 도어를 이용하여 상기 기판 용기에 충격을 가하는 단계일 수 있다.The impacting on the substrate container may be impacting the substrate container by using the door coupled to the door holder.

상기 방법은 상기 기판 용기에 충격을 가하는 단계 이후에 도어 홀더를 이용 하여 상기 기판 용기의 도어를 제거하면서 상기 기판 용기 내에 로딩된 반도체 기판들의 정렬 상태를 검사하는 단계를 더 포함할 수 있다.The method may further comprise inspecting the alignment of the semiconductor substrates loaded into the substrate container while removing the door of the substrate container using a door holder after impacting the substrate container.

상기 기판 용기에 충격을 가하는 단계는 상기 기판 용기의 도어를 제거하는 도어 홀더를 이용하여 상기 기판 용기에 충격을 가하는 단계일 수 있다.The impacting the substrate container may be an impact on the substrate container by using a door holder for removing the door of the substrate container.

본 발명에 의하면, 기판 용기 내의 복수의 슬롯들에 각각 로딩된 복수의 반도체 기판들을 언로딩하여 기설정된 위치로 이송하는 기판 이송 시스템은 상기 기판 용기가 로딩되는 로드포트와, 상기 기판 용기에 제공된 도어에 대향되도록 설치되며 상기 도어의 개폐시 상기 도어와 결합하는 도어 홀더와, 일단이 상기 도어 홀더에 고정 결합되는 아암과, 상기 아암의 타단에 결합되어 상기 아암을 이동시키는 구동기와, 상기 기판 용기 내의 상기 반도체 기판들을 정렬하기 위하여 상기 도어 홀더를 이용하여 상기 기판 용기에 충격을 가하도록 상기 구동기를 구동하는 제어기를 포함한다.According to the present invention, a substrate transfer system for unloading a plurality of semiconductor substrates respectively loaded into a plurality of slots in a substrate container and transferring the same to a predetermined position includes a load port in which the substrate container is loaded, and a door provided in the substrate container. A door holder installed to face the door and coupled to the door when the door is opened and closed, an arm fixed at one end to the door holder, a driver coupled to the other end of the arm to move the arm, and in the substrate container And a controller for driving the driver to impact the substrate container using the door holder to align the semiconductor substrates.

상기 시스템은 상기 기판 용기 내의 반도체 기판들의 정렬상태를 감지하는 감지센서를 더 포함하며, 상기 제어기는 상기 감지센서로부터 제공된 신호에 따라 상기 반도체 기판들이 정렬되지 않은 경우에 상기 구동기를 구동할 수 있다.The system further includes a sensor for sensing the alignment of the semiconductor substrates in the substrate container, wherein the controller can drive the driver when the semiconductor substrates are not aligned according to a signal provided from the sensor.

이하, 본 발명의 바람직한 실시예를 첨부된 도 1 내지 도 8을 참고하여 더욱 상세히 설명한다. 본 발명의 실시예는 여러 가지 형태로 변형될 수 있으며, 본 발명의 범위가 아래에서 설명하는 실시예에 한정되는 것으로 해석되어서는 안 된다. 본 실시예 는 당해 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 본 발 명을 더욱 상세하게 설명하기 위해서 제공되는 것이다. 따라서 도면에 나타난 각 요소의 형상은 더욱 분명한 설명을 강조하기 위하여 과장될 수 있다.Hereinafter, preferred embodiments of the present invention will be described in more detail with reference to FIGS. 1 to 8. Embodiment of the present invention may be modified in various forms, the scope of the present invention should not be construed as limited to the embodiments described below. This embodiment is provided to explain in detail the present invention to those skilled in the art. Accordingly, the shape of each element shown in the drawings may be exaggerated to emphasize a more clear description.

도 1은 본 발명의 제1 실시예에 따른 기판 이송 시스템(30)이 적용된 기판처리장치(1)를 나타내는 정면도이며, 도 2는 도 1의 기판 용기(10)를 나타내는 사시도이다.FIG. 1 is a front view showing the substrate processing apparatus 1 to which the substrate transfer system 30 according to the first embodiment of the present invention is applied, and FIG. 2 is a perspective view showing the substrate container 10 of FIG.

도 1에 도시한 바와 같이, 기판처리장치(1)는 용기(container)(10), 기판 이송 시스템(substrate transfer system)(30), 그리고 공정설비(20)를 가진다. 용기(10)는 웨이퍼(W)와 같은 반도체 기판들을 수납한다. 용기(10)로는 이송 중에 대기중의 이물이나 화학적인 오염으로부터 웨이퍼(W)를 보호하기 위해 밀폐형 용기가 사용된다. 밀폐형 용기로는 전방 개방 일체식 포드(front open unified pod : 이하 "FOUP")가 사용될 수 있다.As shown in FIG. 1, the substrate processing apparatus 1 has a container 10, a substrate transfer system 30, and a process facility 20. The container 10 houses semiconductor substrates such as the wafer W. As shown in FIG. As the container 10, a sealed container is used to protect the wafer W from foreign matter or chemical contamination in the air during transportation. As a closed container, a front open unified pod (hereinafter referred to as "FOUP") may be used.

도 2에 도시한 바와 같이, 용기(10)는 일면이 개방된 공간을 가지는 몸체(12)와 이를 개폐하는 도어(14)를 가진다. 몸체(12)의 내측 벽에는 웨이퍼(W)의 가장자리 일부가 삽입되는 슬롯(12a)이 상하로 평행하게 복수개가 제공된다. 용기(10)의 도어(14)에는 래치 홀(14b)(latch hole)과 레지스트레이션 홀(14a)(registration hole)이 형성된다. 또한, 도어(14)의 내측벽에는 도어(14)가 닫힌 상태에서 용기(10) 내 웨이퍼(W)들에 일정 압력을 가하도록 판 스프링(도시되 지 않음)이 설치될 수 있다.As shown in FIG. 2, the container 10 has a body 12 having an open space on one surface thereof and a door 14 opening and closing it. On the inner wall of the body 12, a plurality of slots 12a into which a portion of the edge of the wafer W is inserted are provided in parallel up and down. A latch hole 14b and a registration hole 14a are formed in the door 14 of the container 10. In addition, a leaf spring (not shown) may be installed on the inner wall of the door 14 to apply a predetermined pressure to the wafers W in the container 10 while the door 14 is closed.

공정설비(20)는 화학기상증착(chemical vapor deposition), 건식식각(dry etch), 열확산(thermal furnace), 디벨로프(developing), 또는 세정(cleaning)공정 등을 수행하는 설비일 수 있다. 비록 도시되지는 않았지만, 공정설비(20) 내에는 로드록 챔버, 트랜스퍼 챔버, 그리고 상술한 공정을 수행하는 공정챔버들이 제공될 수 있다.The process facility 20 may be a facility for performing chemical vapor deposition, dry etching, thermal furnace, development, or cleaning. Although not shown, a process chamber 20 may be provided with a load lock chamber, a transfer chamber, and process chambers for performing the process described above.

기판 이송 시스템(30)은 용기(10)와 공정설비(20) 간에 웨이퍼(W)를 이송한다. 기판 이송 시스템(30)은 로드포트(loadport)(100), 프레임(frame)(200), 반송로봇(transfer robot), 그리고 제어기(400)를 가진다. 프레임(200)은 대체로 직육면체의 형상을 가진다. 프레임(200)의 측벽들 중 공정설비(20)와 인접하는 후방벽(rear wall)(202)에는 웨이퍼(W) 이송을 위한 통로인 반입구(202a)가 형성되고, 후방벽(202)과 마주보는 전방벽(front wall)(204)에는 개구가 형성된다.The substrate transfer system 30 transfers the wafer W between the vessel 10 and the process facility 20. The substrate transfer system 30 has a loadport 100, a frame 200, a transfer robot, and a controller 400. Frame 200 has a generally rectangular parallelepiped shape. In the rear wall 202 adjacent to the process equipment 20 among the sidewalls of the frame 200, an inlet 202a, which is a passage for transferring the wafer W, is formed, and the rear wall 202 is formed. Openings are formed in the opposing front wall 204.

프레임(200) 내의 상부에는 프레임(200) 내부를 일정 청정도로 유지하기 위한 팬필터 유닛(240)이 설치된다. 팬(242)은 프레임(200) 내의 상부에서 하부로 공기가 층류로 흐르도록 하며, 필터(244)는 공기 중의 파티클을 제거하여 공기를 여과한다. 프레임(200)의 하부면에는 공기의 배기통로인 배기구(206)가 형성된다. 프레임(200) 내에는 용기(10)와 공정설비(20) 간 웨이퍼(W)를 반송하는 반송 로봇(220)이 설치된다. 반송 로봇(220)은 하나 또는 둘 이상이 설치될 수 있다. The fan filter unit 240 is installed at an upper portion of the frame 200 to maintain the inside of the frame 200 at a predetermined cleanness. The fan 242 allows air to flow in a laminar flow from top to bottom in the frame 200, and the filter 244 filters the air by removing particles in the air. An exhaust port 206, which is an exhaust passage of air, is formed on the lower surface of the frame 200. The transfer robot 220 which transfers the wafer W between the container 10 and the process facilities 20 is installed in the frame 200. One or more carrier robots 220 may be installed.

도 3은 도 1의 로드포트(100)를 나타내는 사시도이며, 도 4는 도 1의 도어 오프너(180)를 나타내는 사시도이다.3 is a perspective view illustrating the load port 100 of FIG. 1, and FIG. 4 is a perspective view illustrating the door opener 180 of FIG. 1.

로드포트(100)는 프레임(200)의 전방벽(204)과 접하여 위치되며, 공정 진행 중 용기(10)를 지지한다. 도 3에 도시한 바와 같이, 로드포트(100)는 수직 프레임(160), 스테이션(120), 이동판(140), 구동기, 그리고 도어 오프너(180)를 가진다. 수직 프레임(160)은 프레임(200)의 전방벽(204) 개구에 삽입되어 프레임(200)에 결합된다. 수직 프레임(160)에는 웨이퍼(W)가 출입되는 통공(162)이 형성된다. 통공(162)은 대체로 직사각 형상으로 형성된다. 수직 프레임(160)의 일측에는 스테이션(120)이 장착되고, 스테이션(120)의 상면에는 이동판(140)이 결합된다. 스테이션(120)은 대체로 평평한 상부면을 가지며, 중앙에는 이동판(140)이 수직 프레임(160)을 향하는 방향으로 직선이동하도록 안내하는 가이드 홈(122)이 형성된다.The load port 100 is positioned in contact with the front wall 204 of the frame 200 and supports the container 10 during the process. As shown in FIG. 3, the load port 100 has a vertical frame 160, a station 120, a moving plate 140, a driver, and a door opener 180. The vertical frame 160 is inserted into the opening of the front wall 204 of the frame 200 and coupled to the frame 200. The vertical frame 160 has a through hole 162 through which the wafer W enters and exits. The through hole 162 is formed in a generally rectangular shape. The station 120 is mounted on one side of the vertical frame 160, and the moving plate 140 is coupled to an upper surface of the station 120. The station 120 has a generally flat top surface, and a guide groove 122 is formed at the center to guide the moving plate 140 to linearly move in a direction toward the vertical frame 160.

이동판(140)은 대체로 직사각 형상의 상부판(142)과 이로부터 아래로 연장되어 가이드 홈(122)에 삽입되는 하부판(144)을 가진다. 이동판(140) 상에는 복수의 키네마틱 핀(142a)들(kinematic fins)이 설치된다. 키네마틱 핀(142a)들은 용기(10)의 저면에 형성된 홈(도시되지 않음)에 삽입되어 용기(10)이 이동판(140) 상의 정해진 위치에 놓여지도록 한다.The moving plate 140 has a generally rectangular top plate 142 and a bottom plate 144 extending downward therefrom and inserted into the guide groove 122. A plurality of kinematic fins 142a are installed on the moving plate 140. The kinematic pins 142a are inserted into grooves (not shown) formed in the bottom of the container 10 so that the container 10 is placed at a predetermined position on the moving plate 140.

도어 오프너(180)는 이동판(140)상에 놓여진 용기(10)의 도어(14)를 개폐한다. 도어 오프너(180)는 도어 홀더(182), 아암(184), 그리고 홀더 구동기(186)를 가진다. 도어 홀더(182)는 통공(162)과 상응되는 크기 및 형상을 가진다. 아 암(184)은 도어 홀더(182)의 후면에 고정 결합된다. 홀더 구동기(186)는 아암(184)에 결합되어 상하 또는 전후 방향으로 아암(184)을 이동시킨다. 홀더 구동기(186)는 스테이션(120) 내에 설치되며, 후술하는 제어기(400)에 전기적으로 연결되어 제어기(400)에 의하여 구동된다.The door opener 180 opens and closes the door 14 of the container 10 placed on the moving plate 140. The door opener 180 has a door holder 182, an arm 184, and a holder driver 186. The door holder 182 has a size and shape corresponding to that of the through hole 162. Arm 184 is fixedly coupled to the rear of door holder 182. The holder driver 186 is coupled to the arm 184 to move the arm 184 in the up and down or front and rear directions. The holder driver 186 is installed in the station 120 and is electrically connected to the controller 400 described later and driven by the controller 400.

도어 홀더(182)에는 도어(14)의 래치 홀(14b)에 삽입되는 래치 키(latch key)(182b)와 레지스트레이션 홀(14a)에 삽입되는 레지스트레이션 핀(182a)(registration pin)이 설치된다. The door holder 182 is provided with a latch key 182b inserted into the latch hole 14b of the door 14 and a registration pin 182a inserted into the registration hole 14a.

도어 홀더(182)의 상단에는 매핑부재(300)가 제공된다. 매핑부재(300)는 용기(10) 내의 슬롯(12a)에 적재된 웨이퍼(W)들의 상태를 검사한다. 즉, 웨이퍼(W)들이 존재하는지 또는 웨이퍼(W)들이 정렬되어 있는지를 검사한다.The mapping member 300 is provided at the top of the door holder 182. The mapping member 300 inspects the states of the wafers W loaded in the slot 12a in the container 10. That is, it is checked whether the wafers W are present or the wafers W are aligned.

매핑부재(300)는 매핑암(340a, 340b)과 센서(360a, 360b)를 포함한다. 도어 홀더(182)의 상단에는 제1 및 제2 삽입홈(320a, 320b)이 일렬로 형성된다. 제1 삽입홈(320a) 내에는 제1 매핑암(340a)이 제1 힌지(342a)에 의하여 회전가능하게 설치되며, 제2 삽입홈(320b) 내에는 제2 매핑암(340b)이 제2 힌지(342a)에 의하여 회전가능하게 설치된다. 제1 매핑암(340a)은 회전에 의하여 제1 삽입홈(320a)으로부터 인출가능하며, 제2 매핑암(340a)은 회전에 의하여 제2 삽입홈(320b)으로부터 인출가능하다.The mapping member 300 includes mapping arms 340a and 340b and sensors 360a and 360b. First and second insertion grooves 320a and 320b are formed in a line at an upper end of the door holder 182. The first mapping arm 340a is rotatably installed in the first insertion groove 320a by the first hinge 342a, and the second mapping arm 340b is installed in the second insertion groove 320b. It is rotatably installed by the hinge 342a. The first mapping arm 340a may be withdrawn from the first insertion groove 320a by rotation, and the second mapping arm 340a may be withdrawn from the second insertion groove 320b by rotation.

회전에 의하여 제1 삽입홈(320a)으로부터 인출가능한 제1 매핑암(340a)의 끝단에는 발광센서(340a)가 설치되며, 회전에 의하여 제2 삽입홈(320b)으로부터 인출가능한 제2 매핑암(340b)의 끝단에는 수광센서(340b)가 설치된다. 발광센서(340a) 는 광을 방출하며, 수광센서(340b)가 방출된 광을 수신하는지 여부에 따라 웨이퍼(W)의 상태를 검사할 수 있다.A light emitting sensor 340a is installed at an end of the first mapping arm 340a that can be drawn out from the first insertion groove 320a by rotation, and a second mapping arm that can be drawn out from the second insertion groove 320b by rotation. The light receiving sensor 340b is installed at the end of the 340b. The light emitting sensor 340a emits light and may inspect the state of the wafer W depending on whether the light receiving sensor 340b receives the emitted light.

도 5는 기판 용기(10) 내의 슬롯에 웨이퍼(W)가 정렬되었는지 여부를 감지하는 평면도이며, 도 6a 내지 도 6c는 기판 용기 내의 슬롯에 웨이퍼(W)가 정렬된 상태를 나타내는 정면도이다.5 is a plan view of detecting whether the wafer W is aligned with a slot in the substrate container 10, and FIGS. 6A to 6C are front views illustrating a state in which the wafer W is aligned with a slot in the substrate container.

상술한 바와 같이, 웨이퍼(W)들은 용기(10) 내 슬롯(12a)에 삽입된다. 그러나 용기(10)가 오버헤드 트랜스퍼(도시되지 않음)와 같은 자동 반송 장치에 의해 이동되는 동안 용기(10) 내에 적재된 웨이퍼(W)들이 슬롯(12a)으로부터 이탈할 수 있다. 따라서, 용기(10) 내의 슬롯(12a)에 적재된 웨이퍼(W)들의 상태를 검사하는 과정이 필요하다.As described above, the wafers W are inserted into the slot 12a in the container 10. However, the wafers W loaded in the container 10 may escape from the slot 12a while the container 10 is moved by an automatic transfer device such as an overhead transfer (not shown). Therefore, a process of inspecting the state of the wafers W loaded in the slot 12a in the container 10 is required.

도 5에 도시한 바와 같이, 용기(10) 내에 적재된 웨이퍼(W)의 일측에는 제1 매핑암(340a)이 위치하며, 웨이퍼(W)의 타측에는 제2 매핑암(340b)이 위치한다. 이때, 제1 매핑암(340a)의 끝단에 설치된 발광부재(360a)와 제2 매핑암(340b)의 끝단에 설치된 수광부재(360b)의 사이에는 웨이퍼(W)가 위치한다.As shown in FIG. 5, the first mapping arm 340a is positioned at one side of the wafer W loaded in the container 10, and the second mapping arm 340b is positioned at the other side of the wafer W. As shown in FIG. . In this case, the wafer W is positioned between the light emitting member 360a provided at the end of the first mapping arm 340a and the light receiving member 360b provided at the end of the second mapping arm 340b.

제1 및 제2 매핑암(340a, 340b)은 용기(10)의 최상단에 위치한 슬롯(12a)으로부터 최하단에 위치한 슬롯(12a)에 이르기까지 수직이동하면서 웨이퍼(W)의 상태를 검사한다. 검사하는 방법은 다음과 같다.The first and second mapping arms 340a and 340b inspect the state of the wafer W while vertically moving from the slot 12a located at the top of the container 10 to the slot 12a located at the bottom thereof. The test method is as follows.

발광부재(360a)와 수광부재(360b) 사이에 웨이퍼(W)가 없는 경우, 발광부재(360a)로부터 방출된 광은 수광부재(360b)`에 도달한다. 그러나, 발광부재(360a) 와 수광부재(360b) 사이에 웨이퍼(W)가 있는 경우, 발광부재(360a)로부터 방출된 광을 웨이퍼(W)가 차단하므로 방출된 광은 수광부재(360b)에 도달하지 않는다. 따라서, 제1 및 제2 매핑암(340a, 340b)을 수직으로 이동시키면서 수광부재(360b)에 도달하는 광의 빈도 또는 주기를 확인하면 웨이퍼(W)의 상태를 확인할 수 있다. 발광부재(360a) 및 수광부재(360b)는 후술하는 제어기(400)에 전기적으로 연결되며, 제어기(400)는 상술한 방법에 따라 웨이퍼(W)의 상태를 확인한다. 즉, 도 1에 도시한 바와 같이, 제어기(400)는 매핑부재(300) 및 정렬부재(400)에 전기적으로 연결되며, 매핑부재(300)를 통하여 확인된 웨이퍼(W)의 상태에 따라 정렬부재(400), 즉 홀더 구동기(186)를 구동한다.When there is no wafer W between the light emitting member 360a and the light receiving member 360b, the light emitted from the light emitting member 360a reaches the light receiving member 360b '. However, when there is a wafer W between the light emitting member 360a and the light receiving member 360b, the light emitted by the wafer W blocks the light emitted from the light emitting member 360a. Do not reach Therefore, the state of the wafer W can be confirmed by checking the frequency or period of light reaching the light receiving member 360b while vertically moving the first and second mapping arms 340a and 340b. The light emitting member 360a and the light receiving member 360b are electrically connected to a controller 400 to be described later, and the controller 400 checks the state of the wafer W according to the above-described method. That is, as shown in FIG. 1, the controller 400 is electrically connected to the mapping member 300 and the alignment member 400, and aligned according to the state of the wafer W identified through the mapping member 300. Drive member 400, ie holder driver 186.

도 6a는 정상 위치에 놓여져 있는 웨이퍼(W)의 상태를 나타낸다. 웨이퍼(W)는 도 6a에 도시한 바와 같이 기설정된 슬롯(12a) 상에 안착된다.6A shows the state of the wafer W placed in the normal position. The wafer W is seated on the predetermined slot 12a as shown in FIG. 6A.

도 6b는 크로스 에러(cross error) 위치에 놓여져 있는 웨이퍼(W)의 상태를 나타낸다. 도 6b에 도시한 바와 같이, 웨이퍼(W)의 일측은 슬롯(12a) 상에 안착되나, 웨이퍼(W)의 타측은 슬롯(12a)으로부터 이탈된 상태를 말한다. 웨이퍼(W)가 크로스 에러 위치에 있는 경우 웨이퍼(W)는 경사진 상태에 있으며, 크로스 에러 위치에 있는 웨이퍼(W)는 외력에 의하여 쉽게 정렬될 수 있다.Fig. 6B shows the state of the wafer W placed at the cross error position. As shown in FIG. 6B, one side of the wafer W is seated on the slot 12a, while the other side of the wafer W is separated from the slot 12a. When the wafer W is in the cross error position, the wafer W is in an inclined state, and the wafer W in the cross error position can be easily aligned by an external force.

도 6c는 더블 에러(double error) 위치에 놓여져 있는 웨이퍼(W)의 상태를 나타낸다. 도 6c에 도시한 바와 같이, 두 장의 웨이퍼(W)가 겹쳐져 있는 상태를 말한다.Fig. 6C shows the state of the wafer W placed in the double error position. As shown in FIG. 6C, it is a state where two wafers W are overlapped.

한편, 기판 이송 시스템(30)은 제어기(500)를 더 포함하며, 제어기(500)는 상술한 홀더 구동기(186) 및 매핑부재(300)를 제어한다. 제어방법에 대한 상세한 설명은 후술하기로 한다.Meanwhile, the substrate transfer system 30 further includes a controller 500, which controls the holder driver 186 and the mapping member 300 described above. Detailed description of the control method will be described later.

도 7a 내지 도 7d는 본 발명에 따른 웨이퍼(W)를 이송하는 방법을 나타내는 도면이며, 도 8은 본 발명에 따른 웨이퍼(W)를 이송하는 방법을 나타내는 흐름도이다. 이하, 도 7a 내지 도 8을 참고하여 웨이퍼(W)를 이송하는 방법을 살펴보기로 한다.7A to 7D are views illustrating a method of transferring the wafer W according to the present invention, and FIG. 8 is a flowchart illustrating a method of transferring the wafer W according to the present invention. Hereinafter, a method of transferring the wafer W will be described with reference to FIGS. 7A to 8.

먼저, 도 7a에 도시한 바와 같이, 용기(10)를 로드포트(100)의 이동판(140) 상에 로딩한다(S10). 이때, 도어 홀더(182)는 통공(162) 내에 삽입되어 위치되며, 용기(10)는 오버헤드 트랜스퍼에 의해 로딩된다.First, as shown in FIG. 7A, the container 10 is loaded on the moving plate 140 of the load port 100 (S10). At this time, the door holder 182 is inserted and positioned in the through hole 162, the container 10 is loaded by the overhead transfer.

이후, 도 7b에 도시한 바와 같이, 이동판(140)이 도어 홀더(182)를 향하는 방향으로 전진 이동되며, 도어 홀더(182)의 레지스트레이션 핀(182a)이 도어(14)의 레지스트레이션 홀(14a)에 삽입되고, 도어 홀더(182)의 래치 키(182b)가 도어(14)의 래치 홀(14b)에 삽입된다. 도어 홀더(182)의 래치 키(182b)가 도어(14)의 래치 홀(14b) 내에서 회전되어 도어 홀더(182)가 도어(14)에 결합된다.Thereafter, as shown in FIG. 7B, the moving plate 140 is moved forward in the direction toward the door holder 182, and the registration pin 182a of the door holder 182 moves the registration hole 14a of the door 14. ), And the latch key 182b of the door holder 182 is inserted into the latch hole 14b of the door 14. The latch key 182b of the door holder 182 is rotated in the latch hole 14b of the door 14 so that the door holder 182 is coupled to the door 14.

이후, 도 7c에 도시한 바와 같이, 홀더 구동기(186)에 의해 도어 홀더(182)가 후방 및 아래 방향으로 이동되어 용기(10)의 도어(14)가 몸체(12)로부터 분리되며, 용기(10)는 개방된다. 이때, 도어 홀더(182)의 상단에 제공된 매핑부재(300)는 도어 홀더(182)와 함께 아래 방향으로 이동하면서 용기(10) 내에 적재된 웨이퍼(W) 들의 정렬 상태를 감지한다(S20).Subsequently, as shown in FIG. 7C, the door holder 182 is moved backward and downward by the holder driver 186 to separate the door 14 of the container 10 from the body 12, and the container ( 10) is open. In this case, the mapping member 300 provided on the upper end of the door holder 182 detects an alignment state of the wafers W loaded in the container 10 while moving downward with the door holder 182 (S20).

웨이퍼(W)들의 정렬 상태를 감지하기 위하여 제1 및 제2 삽입홈(320a, 320b) 내에 수용된 제1 및 제2 매핑암(340a, 340b)은 도 4에 도시한 바와 같이 회전에 의하여 제1 및 제2 삽입홈(320a, 320b)의 외부로 돌출된다. 제1 및 제2 매핑암(340a, 340b)은 웨이퍼(W)들의 정렬 상태를 감지하기 위하여 아래 방향으로 이동하며, 발광부재(340a)는 광을 방출하고 수광부재(340b)는 방출된 광을 수용한다.In order to sense the alignment of the wafers W, the first and second mapping arms 340a and 340b accommodated in the first and second insertion grooves 320a and 320b are rotated as shown in FIG. 4. And protrude out of the second insertion grooves 320a and 320b. The first and second mapping arms 340a and 340b move downward to sense the alignment of the wafers W, the light emitting member 340a emits light, and the light receiving member 340b emits the emitted light. Accept.

수광부재(340b)를 통하여 수용된 광은 신호로 변환되어 제어기(400)로 송신되며, 제어기(400)는 수광부재(340b)로부터 송신된 신호로부터 웨이퍼(W)들의 정렬 상태를 판단한다(S30). 웨이퍼(W)들의 정렬 상태는 도 6a 내지 도 6c로 구분된다.The light received through the light receiving member 340b is converted into a signal and transmitted to the controller 400, and the controller 400 determines the alignment state of the wafers W from the signal transmitted from the light receiving member 340b (S30). . The alignment state of the wafers W is divided into FIGS. 6A to 6C.

만일, 웨이퍼(W)들이 도 6a와 같이 정렬된 경우, 제어기(400)는 반송 로봇(220)을 구동하며, 반송 로봇(220)은 용기(10) 내의 웨이퍼(W)들을 공정 설비(20)로 이송한다(S40).If the wafers W are aligned as shown in FIG. 6A, the controller 400 drives the transfer robot 220, which transfers the wafers W in the container 10 to the process facility 20. Transfer to (S40).

만일, 웨이퍼(W)들이 도 6b와 같이 크로스 에러 상태에 있는 경우, 도어(14)와 결합된 도어 홀더(182)는 홀더 구동기(186)에 의하여 윗 방향 및 전방으로 이동한다. 이후, 도어 홀더(182)에 결합된 도어(14)는 홀더 구동기(186)에 의하여 통공(162) 내에 삽입되며, 도 7d에 도시한 바와 같이, 홀더 구동기(186)는 도어(14)를 이용하여 로드포트(100)에 로딩된 용기(10)의 입구에 충격을 가한다(S50). 도어(14)를 용기(10)의 입구를 향하여 왕복운동하며, 용기(10)의 입구에 대향되는 도어(14)의 일면이 용기(10)에 부딪히면서 충격을 가한다. 용기(10) 내의 웨이퍼(W)들은 충격에 의하여 용기(10)의 슬롯(12a) 내에 정렬될 수 있다. 본 실시예에서는 도어(14)를 이용하여 용기(10)에 충격을 가하는 것으로 설명하였으나, 이와 달리 도어(14)가 몸체(12)에 결합된 상태에서 도어 홀더(182)를 이용하여 용기(10)에 충격을 가할 수 있다.If the wafers W are in a cross error state as shown in FIG. 6B, the door holder 182 associated with the door 14 is moved upward and forward by the holder driver 186. Thereafter, the door 14 coupled to the door holder 182 is inserted into the through hole 162 by the holder driver 186, and as shown in FIG. 7D, the holder driver 186 uses the door 14. By applying an impact to the inlet of the container 10 loaded in the load port 100 (S50). The door 14 is reciprocated toward the inlet of the container 10, and one surface of the door 14 opposite to the inlet of the container 10 strikes the container 10 and is impacted. The wafers W in the vessel 10 may be aligned in the slot 12a of the vessel 10 by impact. In this embodiment, the impact is applied to the container 10 by using the door 14, but unlike the container 10 using the door holder 182 in a state in which the door 14 is coupled to the body 12. ) Can be impacted.

한편, 용기(10)의 입구에 가해지는 충격의 횟수 또는 충격력은 용기(10) 내 웨이퍼(W)들이 정위치에 놓여질 수 있도록 하는 정도면 충분하며, 이는 실험 등을 통해서 정해질 수 있다.On the other hand, the number of impacts or the impact force applied to the inlet of the container 10 is enough to allow the wafers (W) in the container 10 to be placed in place, which can be determined through experiments and the like.

이후, 다시 도어(14)를 몸체(12)로부터 분리하여 용기(10)를 개방하고, 웨이퍼(W)들의 정렬 상태를 감지한다. 만일, 용기(10) 내의 웨이퍼(W)들이 재차 크로스 에러 상태에 있는 경우, 다시 도어(14)를 이용하여 용기(10)의 입구에 충격을 가한다. 이와 같은 과정을 2-3회 반복하며, 반복 후에도 동일한 크로스 에러가 발생하는 경우에는 용기(10) 및 웨이퍼(W)들의 파손을 방지하기 위하여 기판 이송 시스템(30)의 작동을 중지시키고 엔지니어가 점검하여 조치를 취하는 것이 바람직하다.Thereafter, the door 14 is separated from the body 12 to open the container 10, and the alignment state of the wafers W is sensed. If the wafers W in the container 10 are in the cross error state again, the door 14 is used to impact the inlet of the container 10 again. This process is repeated 2-3 times, and if the same cross error occurs even after the repetition, the operation of the substrate transfer system 30 is stopped and inspected by the engineer in order to prevent damage to the container 10 and the wafers W. It is desirable to take action.

만일, 웨이퍼(W)들이 더블 에러 상태에 있는 경우에는 기판 이송 시스템(30)의 작동을 중지시킨다(S60). 더블 에러 상태에 있는 웨이퍼(W)들은 충격에 의하여 정렬할 수 없으므로, 기판 이송 시스템(30)의 작동을 중지시킨 이후 엔지니어가 점검하고 조치를 취하는 것이 바람직하다.If the wafers W are in the double error state, the operation of the substrate transfer system 30 is stopped (S60). Since the wafers W in the double error state cannot be aligned by the impact, it is desirable for the engineer to check and take action after stopping the operation of the substrate transfer system 30.

상술한 바에 의하면, 웨이퍼(W)들이 크로스 에러 상태에 있는 경우 용기(10)에 충격을 가하여 웨이퍼(W)들을 용기(10)의 슬롯(12a) 내에 쉽게 정렬할 수 있다.As described above, when the wafers W are in a cross error state, the container 10 may be impacted to easily align the wafers W in the slot 12a of the container 10.

한편, 본 실시예에서는 도어(14)를 개방하여 웨이퍼(W)의 정렬 상태를 검사한 이후에 용기(10)에 충격을 가하는 것으로 설명하고 있으나, 이와 달리 도어(14) 개방 전 용기(10)에 충격을 가하고 웨이퍼(W)의 정렬 상태를 검사할 수 있다. 이에 대한 상세한 설명은 상술한 바와 동일하므로 생략한다.Meanwhile, in the present exemplary embodiment, the door 10 is opened and the container 10 is shocked after the wafer W is inspected for alignment. However, the container 10 before the door 14 is opened. The shock can be applied to and the alignment of the wafer W can be inspected. Detailed description thereof is the same as described above, and thus will be omitted.

이때, 용기(10)에 충격을 가하는 방법은 상술한 바와 같이 도어(14)를 이용하는 방법과, 도어 홀더(182)를 이용하는 방법이 있다.In this case, the impact on the container 10 includes a method using the door 14 and a method using the door holder 182 as described above.

본 발명에 의하면, 용기 내의 웨이퍼(W)들이 크로스 에러 상태에 있는 경우에 용기에 충격을 가하여 웨이퍼(W)들을 용기의 슬롯 내에 정렬할 수 있다.According to the present invention, when the wafers W in the container are in a cross error state, the container can be shocked to align the wafers W in the slots of the container.

Claims (10)

기판 용기 내의 복수의 슬롯들에 각각 로딩된 복수의 반도체 기판들을 언로딩하여 기설정된 위치로 이송하는 기판이송방법에 있어서,A substrate transfer method for unloading a plurality of semiconductor substrates respectively loaded in a plurality of slots in a substrate container and transferring the plurality of semiconductor substrates to a predetermined position. 상기 기판 용기를 로드포트 상에 로딩하는 단계; 및Loading the substrate container onto a load port; And 로딩된 상기 기판 용기로부터 상기 반도체 기판들을 언로딩하기 이전에 상기 반도체 기판들을 정렬하기 위하여 상기 기판 용기에 충격을 가하는 단계를 포함하되,Impacting the substrate container to align the semiconductor substrates prior to unloading the semiconductor substrates from the loaded substrate container, 상기 기판 용기에 충격을 가하는 단계는 상기 기판 용기로부터 도어를 분리하기 위하여 상기 기판 용기의 도어와 결합되는 도어 홀더를 이용하여 상기 기판 용기에 충격을 가하는 것을 특징으로 하는 기판이송방법.The impacting of the substrate container may include impacting the substrate container using a door holder coupled with a door of the substrate container to separate the door from the substrate container. 기판 용기 내의 복수의 슬롯들에 각각 로딩된 복수의 반도체 기판들을 언로딩하여 기설정된 위치로 이송하는 기판이송방법에 있어서,A substrate transfer method for unloading a plurality of semiconductor substrates respectively loaded in a plurality of slots in a substrate container and transferring the plurality of semiconductor substrates to a predetermined position. 상기 기판 용기를 로드포트 상에 로딩하는 단계; Loading the substrate container onto a load port; 상기 기판 용기의 도어를 제거하면서 상기 기판 용기 내에 로딩된 반도체 기판들의 정렬 상태를 검사하는 단계; 및Inspecting the alignment of the semiconductor substrates loaded in the substrate container while removing the door of the substrate container; And 로딩된 상기 기판 용기로부터 상기 반도체 기판들을 언로딩하기 이전에 상기 반도체 기판들을 정렬하기 위하여 상기 기판 용기에 충격을 가하는 단계를 포함하되,Impacting the substrate container to align the semiconductor substrates prior to unloading the semiconductor substrates from the loaded substrate container, 상기 기판 용기에 충격을 가하는 단계는 상기 반도체 기판들이 정렬되지 않은 경우에 이루어지는 것을 특징으로 하는 기판이송방법.Impacting the substrate container is performed when the semiconductor substrates are not aligned. 제2항에 있어서,The method of claim 2, 상기 정렬 상태를 검사하는 단계는 상기 반도체 기판들이 겹쳐져 있는 더블 에러인지 상기 반도체 기판들이 상기 슬롯 상에 안착되지 않은 크로스 에러인지를 검사하는 단계인 것을 특징으로 하는 기판이송방법.The checking of the alignment state is a step of checking whether the semiconductor substrates are overlapping double errors or the semiconductor substrates are not seated on the slots. 제3항에 있어서,The method of claim 3, 상기 기판 용기에 충격을 가하는 단계는 상기 크로스 에러인 경우인 것을 특징으로 하는 기판이송방법.Impacting the substrate container is a case of the cross error. 제2항에 있어서,The method of claim 2, 상기 기판 용기에 충격을 가하는 단계 이후에 상기 기판 용기의 도어를 제거하면서 상기 기판 용기 내에 로딩된 반도체 기판들의 정렬 상태를 검사하는 단계를 더 포함하는 것을 특징으로 하는 기판이송방법.And inspecting the alignment of the semiconductor substrates loaded in the substrate container while removing the door of the substrate container after the impacting the substrate container. 제2항 내지 제5항 중 어느 한 항에 있어서,The method according to any one of claims 2 to 5, 상기 기판 용기에 충격을 가하는 단계는 상기 기판 용기로부터 도어를 분리하기 위하여 상기 기판 용기의 도어와 결합되는 도어 홀더를 이용하여 상기 기판 용기에 충격을 가하는 것을 특징으로 하는 기판이송방법.The impacting of the substrate container may include impacting the substrate container using a door holder coupled with a door of the substrate container to separate the door from the substrate container. 제6항에 있어서,The method of claim 6, 상기 기판 용기에 충격을 가하는 단계는 상기 도어가 상기 기판 용기에 결합된 상태에서 상기 도어 홀더를 이용하여 상기 기판 용기에 충격을 가하는 것을 특징으로 하는 기판이송방법.The impacting of the substrate container may include impacting the substrate container using the door holder while the door is coupled to the substrate container. 제6항에 있어서,The method of claim 6, 상기 기판 용기에 충격을 가하는 단계는 상기 도어가 상기 도어 홀더에 결합된 상태에서 상기 도어 홀더를 이용하여 상기 기판 용기에 충격을 가하는 것을 특징으로 하는 기판이송방법.The impacting of the substrate container may include impacting the substrate container using the door holder while the door is coupled to the door holder. 기판 용기 내의 복수의 슬롯들에 각각 로딩된 복수의 반도체 기판들을 언로딩하여 기설정된 위치로 이송하는 기판 이송 시스템에 있어서,A substrate transfer system for unloading a plurality of semiconductor substrates respectively loaded into a plurality of slots in a substrate container and transferring the plurality of semiconductor substrates to a predetermined position. 상기 기판 용기가 로딩되는 로드포트;A load port into which the substrate container is loaded; 상기 기판 용기에 제공된 도어에 대향되도록 설치되며, 상기 도어의 개폐시 상기 도어와 결합하는 도어 홀더;A door holder installed to face the door provided in the substrate container and coupled to the door when the door is opened or closed; 일단이 상기 도어 홀더에 고정 결합되는 아암;An arm having one end fixedly coupled to the door holder; 상기 아암의 타단에 결합되어 상기 아암을 이동시키는 구동기; 및A driver coupled to the other end of the arm to move the arm; And 상기 기판 용기 내의 상기 반도체 기판들을 정렬하기 위하여 상기 도어 홀더를 이용하여 상기 기판 용기에 충격을 가하도록 상기 구동기를 구동하는 제어기를 포함하는 것을 특징으로 하는 기판 이송 시스템.And a controller for driving the driver to impact the substrate container using the door holder to align the semiconductor substrates in the substrate container. 제9항에 있어서,The method of claim 9, 상기 시스템은 상기 기판 용기 내의 반도체 기판들의 정렬상태를 감지하는 감지센서를 더 포함하며,The system further includes a sensor for sensing the alignment of the semiconductor substrates in the substrate container, 상기 제어기는 상기 감지센서로부터 제공된 신호에 따라 상기 반도체 기판들이 정렬되지 않은 경우에 상기 구동기를 구동하는 것을 특징으로 하는 기판 이송 시스템.And the controller drives the driver when the semiconductor substrates are not aligned in accordance with a signal provided from the sensor.
KR1020060075684A 2006-08-10 2006-08-10 Method and system for transporting substrate KR100829921B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060075684A KR100829921B1 (en) 2006-08-10 2006-08-10 Method and system for transporting substrate

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060075684A KR100829921B1 (en) 2006-08-10 2006-08-10 Method and system for transporting substrate

Publications (2)

Publication Number Publication Date
KR20080014247A KR20080014247A (en) 2008-02-14
KR100829921B1 true KR100829921B1 (en) 2008-05-16

Family

ID=39341577

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060075684A KR100829921B1 (en) 2006-08-10 2006-08-10 Method and system for transporting substrate

Country Status (1)

Country Link
KR (1) KR100829921B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150135066A (en) * 2014-05-22 2015-12-02 가부시키가이샤 다이후쿠 Transportation apparatus

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070034311A (en) * 2005-09-23 2007-03-28 삼성전자주식회사 Substrate Transfer System and Method, and Substrate Alignment Method

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070034311A (en) * 2005-09-23 2007-03-28 삼성전자주식회사 Substrate Transfer System and Method, and Substrate Alignment Method

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20150135066A (en) * 2014-05-22 2015-12-02 가부시키가이샤 다이후쿠 Transportation apparatus
KR102302376B1 (en) * 2014-05-22 2021-09-15 가부시키가이샤 다이후쿠 Transportation apparatus

Also Published As

Publication number Publication date
KR20080014247A (en) 2008-02-14

Similar Documents

Publication Publication Date Title
US6517304B1 (en) Method for transporting substrates and a semiconductor manufacturing apparatus using the method
KR100707881B1 (en) System and method for transferring substrates and method for aligning substrates
WO2007136066A1 (en) Basal plate deformation detecting system and deformation detecting method
US20090060692A1 (en) Substrate positional misalignment detection system
US20090142166A1 (en) Container lid opening/closing system and substrate processing method using the system
KR100774982B1 (en) System and method for transporting substrate
KR100829921B1 (en) Method and system for transporting substrate
US20090294442A1 (en) Lid opening/closing system for closed container, contained object insertion/takeout system having same lid opening/closing system, and substrate processing method using same lid opening/closing system
US20040228712A1 (en) Transfer apparatus and method for unloading semiconductor substrate from container
KR20070070435A (en) Apparatus for transferring a substrate
KR20070001636A (en) Door equipped by sensor and wafer transfer apparatus with it
KR100717988B1 (en) A Loader Having Function For Carrying Out Semiconductor Material
KR102139617B1 (en) Apparatus and method for transfering substrate
KR20100054554A (en) Substrate transter system capable of speedy wafer mapping
KR101041458B1 (en) Substrate transferring device, substrate manufacturing system having the same and method of transferring substrate
TWI626462B (en) Diagnostic instrument for tool, diagnostic instrument for load port of wafer processing tool, and diagnosis method thereof
KR100594371B1 (en) A loader having function for carrying out casette
JP4847032B2 (en) Substrate processing apparatus and substrate detection method
KR20180077556A (en) Unit for fixing a door and apparatus for transferring a door having the unit
KR100648279B1 (en) Wafer transfer module and wafer transfer method using the module
JP2004253507A (en) Locally cleaned wafer processing apparatus
KR20070052455A (en) Semiconductor manufacturing equipment having gate valve
KR20070030568A (en) A wafer transfer apparatus
KR100943666B1 (en) A Load-Port Having Optical Character Read Function
KR20040078349A (en) Device for mapping of semiconductor product device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee