KR100781842B1 - 전력 소비를 줄이면서 고속의 컴퓨팅 능력을 제공하는 장치 - Google Patents

전력 소비를 줄이면서 고속의 컴퓨팅 능력을 제공하는 장치 Download PDF

Info

Publication number
KR100781842B1
KR100781842B1 KR1020057005989A KR20057005989A KR100781842B1 KR 100781842 B1 KR100781842 B1 KR 100781842B1 KR 1020057005989 A KR1020057005989 A KR 1020057005989A KR 20057005989 A KR20057005989 A KR 20057005989A KR 100781842 B1 KR100781842 B1 KR 100781842B1
Authority
KR
South Korea
Prior art keywords
sign
result
feed
comparator
selector
Prior art date
Application number
KR1020057005989A
Other languages
English (en)
Other versions
KR20050055000A (ko
Inventor
웨이 황
쿤 우
Original Assignee
인터내셔널 비지네스 머신즈 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 인터내셔널 비지네스 머신즈 코포레이션 filed Critical 인터내셔널 비지네스 머신즈 코포레이션
Publication of KR20050055000A publication Critical patent/KR20050055000A/ko
Application granted granted Critical
Publication of KR100781842B1 publication Critical patent/KR100781842B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/02Comparing digital values
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F7/00Methods or arrangements for processing data by operating upon the order or content of the data handled
    • G06F7/02Comparing digital values
    • G06F7/026Magnitude comparison, i.e. determining the relative order of operands based on their numerical value, e.g. window comparator
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • G06F1/26Power supply means, e.g. regulation thereof
    • G06F1/32Means for saving power

Landscapes

  • Engineering & Computer Science (AREA)
  • Theoretical Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Optimization (AREA)
  • Pure & Applied Mathematics (AREA)
  • Mathematical Analysis (AREA)
  • Computational Mathematics (AREA)
  • Logic Circuits (AREA)
  • Manipulation Of Pulses (AREA)
  • Mobile Radio Communication Systems (AREA)
  • Communication Control (AREA)
  • Small-Scale Networks (AREA)
  • Compression, Expansion, Code Conversion, And Decoders (AREA)
  • Electronic Switches (AREA)
  • Error Detection And Correction (AREA)

Abstract

적어도 하나의 입력 피드를 구비한 비교기와, 상기 비교기와 전자적으로 통신하는 부호 선택기와, 상기 부호 선택기 및 상기 비교기와 전자적으로 통신하는 결과 플래그 생성기를 포함하는, 컴퓨팅 환경에 있어서 효율적 전력 소비가 가능하고 고속 컴퓨팅 능력을 제공하는 장치 및 방법이 제공된다. 상기 부호 선택기는 입력 데이터 피드 및 그와 같은 수의 전용 표시기, 즉 입력 데이터 피드 각각에 대해 부호형 수와 비부호형 수를 식별하기 위한 전용 표시기를 포함한다. 상기 결과 플래그 생성기는 상기 비교기로부터 제1 결과 피드를 얻고 상기 부호 선택기로부터 제2 결과 피드를 얻는다. 상기 부호 선택기는 결과 출력을 제공하도록 설계될 수 있다. 입력 피드와 선택적으로 부호 피드 및 Ini 피드 등의 또 다른 피드에 대해 집합적 연산이 수행된 이후 상기 결과 출력이 생성된다.
비교기, 저전력, 고속 연산, 부호 선택기

Description

전력 소비를 줄이면서 고속의 컴퓨팅 능력을 제공하는 장치{AN APPARATUS FOR PROVIDING HIGH-SPEED COMPUTING POWER WHILE REDUCING POWER CONSUMPTION}
본 발명은 데이터 프로세싱 회로 및 시스템에 관한 것이며, 보다 구체적으로는 디지털 신호 프로세서, 미디어 프로세서 또는 범용 마이크로프로세서로서 그 디지털 신호 프로세서, 미디어 프로세서 또는 마이크로프로세서의 데이터 경로 또는 명령어 경로 중에 벡터나 누산기 비교기를 이용하는 프로세서에 관한 것이다.
반도체 업계에서는 IC 장치의 크기를 줄이기 위한 지속적 요구가 있어왔다. 동시에, 전체 연산 속도를 증가시키면서 전력 소비는 감소시키려는 요청도 계속되고 있다. 장치 크기를 줄이고 연산 속도를 증가시키면서 이에 더하여 전력 소비를 줄여야 한다는 요청은 현재의 비교기 설계에 있어서 특정한 문제점을 야기하고 있다.
통상적으로 벡터 및 누산기 비교기는 디지털 신호 프로세서(DSP) 칩 및 기타 유사 장치의 데이터 경로의 일부를 이루고 있다. 비교기 연산은 명령어가 발행된 다음 DSP에 의해서 직접 제어된다. 비교기 매크로 내의 데이터 연산은 DSP 속도로, 대개 하나의 클록 사이클에 수행된다. 그러므로 저전력 고속 DSP는 저전력 고속 데이터 매크로를 필요로 한다. 벡터 데이터 경로 및 누산기 데이터 경로를 위 한 수퍼 스케일 아키텍처가 나타났다. 16비트의 벡터 데이터 경로 파이프에는 벡터 승산기(들), 벡터 가산기(들), 벡터 논리, 벡터 시프터(들) 그리고 벡터 비교기 매크로들이 포함된다. 비교하여, 40비트 누산기 데이터-경로 파이프에는 포화 가산기(saturating adder)(들), 누산기 논리 그리고 누산기 비교기 매크로들이 포함된다. 모든 데이터 매크로들은 변환 레지스터 장벽(transition register barrier)을 통해서 동시에 데이터를 수신한다. 그러므로 데이터 경로 상 각기 다른 지점들에서 저전력 고속 비교기가 필요로 된다는 점이 문제를 야기하고 있다.
또한, 비교기가 간단한 정수를 비부호형으로 이용하던 장치에서 부동소수를 부호형 및 비부호형으로 이용하는 장치로 나아가는 경우 그와 같은 비교기 매크로를 위한 전력과 실리콘 면적이 크게 증가하게 된다.
따라서, 본 발명은 컴퓨팅 환경에서 전력 소비를 줄이면서 동시에 고속의 컴퓨팅 능력을 제공하는 장치로서, 적어도 하나의 비교기 입력 피드를 갖는 비교기와, 상기 비교기와 전자적으로 통신하는 부호 선택기(sign selector)(상기 부호 선택기는 적어도 두 개의 부호 선택기 입력 데이터 피드와, 각 부호 선택기 입력 데이터 피드마다 부호형 수인지 비부호형 수인지를 식별하기 위한 상기 부호 선택기 입력 데이터 피드와 동일한 수의 전용 표시기를 포함함)와, 상기 부호 선택기 및 상기 비교기와 전자적으로 통신하는 결과 플래그 생성기(상기 결과 플래그 생성기는 상기 비교기로부터 제1 결과 피드를 얻고 상기 부호 선택기로부터 제2 결과 피드를 얻어서 최종 결과 출력을 생성함)를 포함하는 장치를 제공한다.
바람직하게, 부호형 수를 위한 1의 보수 논리를 필요로 하지 않는 개선된 비교기가 제공된다.
바람직하게, 입력 피드 및 선택적으로 기타 다른 피드, 예컨대 부호 피드와 Ini 피드 등에 대한 집합적 연산(collective operations)이 수행된 이후에 최종 결과 출력이 생성된다.
바람직하게, 데이터가 부호형인지 아니면 비부호형인지 여부를 비교기 매크로에게 알려주는 부호 제어 신호를 이용하여 부호형 수 및 비부호형 수 양자 모두를 처리할 수 있는 능력을 갖춘 개선된 비교기가 제공된다(즉, 명령어는 정수 또는 부동소수의 산술 연산이다). 바람직하게, 전체 장치 크기의 감소를 지원하면서 동시에 현재의 반도체 장치의 고속 및 저전력 요청을 함께 양립시킬 수 있는 개선된 비교기 설계가 제공된다.
바람직하게, 결과 플래그 생성기는 비교기나 부호 선택기에 의해서 공급되지 않은 다른 입력 피드를 수신한다.
바람직하게, 비교기는 적어도 두 개의 비교기 입력 피드를 갖는다.
바람직한 일 실시예에서, 비교기 입력 피드 각각은 적어도 16비트 길이를 갖는다.
바람직하게, 부호 선택기는 두 개의 부호 선택기 입력 피드를 가지며, 이들 각각은 제 1 부호 선택기 입력 피드 및 제2 부호 선택기 입력 피드라고 식별된다.
바람직하게, 부호 선택기 입력 피드는 각각 Am 및 Bm으로 식별되는 두 개의 전용 표시기를 갖는다.
바람직하게, 제1 부호 선택기 입력 피드가 양수를 보유한 경우 Am 표시기가 턴온된다.
바람직한 일 실시예에서, 부호 선택기는 또한 부호 피드를 갖는다.
바람직하게, 부호 선택기는 또한 부호 피드 및 Ini 피드를 갖는다.
바람직한 일 실시예에서, 비교기에 제공된 입력 피드는 차례로 Ini 스트림을 통하여 부호 선택기로 입력될 수 있다.
바람직한 일 실시예에서, 비교기로부터의 출력은 Ini 스트림을 통하여 상기 부호 선택기로 입력될 수 있다.
바람직한 일 실시예에서, 부호, Am, Bm 및 Ini 스트림에 대해 수행된 집합적 연산에 의하여 제2 결과 입력이 생성된다.
바람직하게, 집합적 연산은 부호 피드가 턴오프된 경우에는 Am 및 Bm의 값과 무관하게, 또는 부호 피드가 턴온되어 있고 Am 및 Bm이 턴오프된 경우에 한하여, 제2 결과 입력용으로 Ini 스트림의 값과 동일한 값을 생성하도록 수행된다.
바람직하게, 집합적 연산은 부호 피드가 턴온되어 있고 Am 및 Bm 양자 모두가 턴온된 경우 Ini 스트림의 값과 동일한 제2 결과 입력을 생성하도록 수행된다.
바람직하게, 집합적 연산은 Am이 턴오프되어 있고 부호 피드와 Bm이 턴온된 경우, 또는 Bm이 턴오프되어 있고 부호 피드와 Am이 턴온된 경우 Ini 스트림과 부호가 정확히 반대가 되는 제2 결과 입력을 생성하도록 수행된다.
또한, 부호형 수와 비부호형 수를 식별하기 위한 부호 선택기로서, 적어도 두 개의 입력 피드와, 각 입력 피드에 관하여 각각 Am, Bm, Cm,..., Zm,....이라고 식별되는 전용 부호 표시기와, Ini 피드 및 부호 피드와, 결과 출력 피드를 제공하되 상기 부호 피드가 턴오프된 경우에는 Am, Bm,...과 무관하게, 또는 상기 부호 피드는 턴온되어 있고 상기 Am, Bm,...이 모두 턴오프된 경우에 상기 Ini 스트림과 동일한 결과 출력 피드를 생성하는 방식으로 결과 출력 피드를 제공하는 계산기(computator)를 포함하는 부호 선택기가 제공된다.
바람직하게, 각각 Am 및 Bm 표시기를 갖춘 두 개의 입력 피드가 있고, 부호 피드, Am 및 Bm이 모두 턴온된 경우에는 Ini 스트림과 동일해지도록 결과 출력이 생성되며, 부호 피드와 Bm 양자 모두가 턴온되어 있고 Am이 턴오프된 경우 또는 부호 피드와 Am 양자 모두가 턴온되어 있고 Bm이 턴오프된 경우에는 Ini 스트림에 대해 반대되는 부호값을 갖도록 결과 출력이 생성된다.
또한, 컴퓨팅 환경에서, 전력 소비를 줄이는 동시에 고속 컴퓨팅을 제공하는 방법으로서, 부호형 수와 비부호형 수를 구별하는 부호 선택기 유닛을 제공하는 단계와, 부호형 수를 비부호형 수와 식별하기 위하여 입력 데이터 피드 수에 따라 그 입력 데이터 피드와 동일한 수의 전용 표시기를 제공하는 단계와, Ini 피드를 통해서 상기 부호 선택기에 대해 적어도 하나의 다른 데이터 피드를 제공하는 단계(상기 Ini 피드는 차례로 상기 부호 선택기와 전자적으로 통신하여 비교기로부터의 정보를 제공함)와, 상기 부호 선택기 및 결과 플래그 생성기(상기 결과 플래그 생성기는 또한 상기 비교기와 전자적으로 통신을 하고 있음) 간에 전자적 통신을 설정하는 단계와, 각각 상기 비교기 및 상기 부호 선택기로부터의 제1 결과 스트림 및 제2 결과 피드를 상기 결과 플래그 생성기로 제공하여 최종 결과 출력이 생성될 수 있도록 하는 단계를 포함하는 방법이 제공된다.
바람직하게, 부호 선택기는 또한 부호 피드와, 각각 자기의 전용 부호 표시기 Am 및 Bm을 갖춘 적어도 두 개의 다른 입력 피드를 갖는다.
바람직하게, Ini, Am, Bm, 및 부호 피드에 대해 수행되는 집합적 연산에 의해 제2 결과 피드가 생성되며, 그 집합적 연산은 부호 피드가 턴오프된 경우에는 Am 및 Bm의 값과 무관하게 Ini 스트림과 동일한 제2 결과 입력을 생성하는 단계와, 부호 피드가 턴온되어 있고 Am 및 Bm이 턴오프된 경우에는 Ini 스트림과 동일한 제2 결과 입력을 생성하는 단계와, 부호, Am 및 Bm이 모두 턴온된 경우에는 Ini 스트림과 동일한 제2 결과 입력을 생성하는 단계와, 부호 입력 및 Bm이 모두 턴온되어 있고 Am이 턴오프된 경우나 부호 입력 및 Am 양자는 턴온되어 있고 Bm은 턴오프된 경우 Ini 스트림에 대해 부호가 반대가 되도록 제2 결과 입력을 생성하는 단계를 포함한다.
예로써, 본 발명의 바람직한 실시예들에 관하여 다음 첨부 도면을 참조해서 설명하기로 한다.
도 1은 종래 기술에 있어서 제공되는 부호형 수 및 비부호형 수 연산을 수행하는 비교기에 관한 전형적 블록도를 도시한 도면,
도 2는 본 발명의 일 실시예에 있어서의 고수준 블록도를 도시한 도면,
도 3은 본 발명의 일 실시예에 따른 도 2의 부호 선택기를 보다 구체적으로 도시한 도면,
도 4는 본 발명의 일 실시예에 따라, 예컨대 도 3에 도시된 바와 같은 인버전 MUX 330를 구체적으로 보여주는 도면을 도시한 도면.
도 1은 종래 기술에 있어서 제공되는 전형적 비교기를 도시한 도면이다. 데이터 입력은 각각 참조번호(110) 및 참조번호(120)로 표시된 16비트 수 VA<0:15> 및 VB<0:15>이다. 그 데이터가 부호형이라면 최상위 비트 VA<0> 및 VB<0>가 그 수의 부호를 표시할 것이다. 그 데이터가 비부호형이라면 부호 제어 신호는 "0"이 될 것이고 V<0> 및 VB<0>은 그 수의 최상위 비트가 될 것이다. 비부호형 수는 16비트이고 부호형 수는 실제로는 15비트 플러스 부호 비트라는 점을 주의해야 한다.
도 1에서, 비부호형 수의 논리적 비교는 16비트 데이터 입력 Va<0:15> 및 Vb<0:15>에 대해 작동하는 위쪽의 비교기 논리 블록(130)으로 이루어진 블록도 부분에서 수행된다. 이러한 비교기 블록은 각각 참조번호(132) 및 참조번호(134)로 도시된 두 개의 출력 핀 Eq(Va = Vb일 때 "1")와 Lnt(Va < Vb일 때 "1")를 갖는다. 이들 출력 결과는, SIGN 비트 입력(참조번호(144)로 도시됨)에 의해서 제어되는 멀티플렉서(MUX)(참조번호(140)로 도시됨)로 입력된다. SIGN="0"이 수행되는 경우 (즉, 비부호형 비교가 수행되는 경우) 이들 입력이 선택되어 MUX 출력으로 전달된다.
결과 플래그 생성기(참조번호(170)로 도시됨)의 논리 연산은 다음 표 1에 도시된 바와 같다. (결과 플래그 생성기 블록은 종래 방법에서와 바람직한 실시예에서 모두 이용된다는 점을 주의해야 한다.)
표 1은 결과 플래그 생성기에 관한 진리표이다.
C Bit, Equal 0, 0 0, 1 1, 1 1, 0
A=B, A<B (eqbit) (ltbit)
0, 0 (A>B) 0 0 1 1
0, 1 (A<B) 1 0 1 0
1, 1 × × × ×
1, 0 (A=B) 0 1 0 0
종래 방법에 있어서의 부호형 비교기 연산은 그 비교 연산을 수행하기 위하여 필요한 회로의 관점에서 훨씬 더 복잡하다. 도 1에서는, 참조번호(150)로 도시된 바와 같이 먼저 Va와 Vb가 반전되어 (2×16=32개의 인버터) "1"의 보수를 생성한다. 그 다음 Va 또는 Vb의 부호에 따라 Va 및/또는 Vb에 대해 1을 더하는 가산기(참조번호(152)로 도시됨)로 이어진다. 그 다음 그 결과가 또 다른 논리 비교기(참조번호(160)로 도시됨)로 입력되는데, 이 장치는 비부호형 연산에서 이용된 것과 동일하다. 그 비교 결과는 참조번호(140)로 도시된 멀티플렉서(MUX)로 입력되고 MUX SIGN 제어 비트가 "1"인 경우 (즉, 부호형 연산이 수행된다는 의미) 그 MUX의 출력으로 전달된다. 그 멀티플렉서 출력은 결과 플래그 생성기(170)로 제공되고, 결과 플래그 생성기(170)는 또 다른 추가적 입력(C bit 및 Equal)에 기초하여 앞서 표 1에서 표시된 것과 같은 결과를 출력한다.
도 2는 본 발명의 일 실시예에 관한 고수준 블록도이다. 본 실시예에서는, 참조번호(210)로 도시된 비교기 논리와 결과 플래그 생성기(230)가 참조번호(220)로 도시되고 부호 선택기라고 불리는 새로운 블록과 함께 이용된다. 아래의 표 2는 그와 같은 부호 선택기에 관한 논리 진리표를 보여주고 있다. 부호 선택기는 VA 및 VB의 최상위 비트들을 각각 입력 VA<0>(222) 및 VB<0>(224)로 수신한다. VA<0>는 VA가 부호형 수(signed number)인 경우 VA의 부호이다. 마찬가지로 VB<0>는 VB가 부호형 수인 경우 VB의 부호이다. 또한, 참조번호(223)로 도시된 Sign 피드도 비교기(210)에 제공된다. VA<0>="1", 즉 AM="1"인 경우, 비교기(210)로의 입력 VA<0:15>는 음수이다. 비교기(210)는, 부호 선택기의 Ini 입력(214)으로 입력되는 비교기의 출력(213)을 통해 부호 선택기와 전자적으로 통신한다. 비교기는 또한 결과 플래그 생성기(230)로 Eq(212) 비트를 출력한다. 참조번호(25)로 도시된 부호 선택기의 Inout은 결과 플래그 생성기로의 또 다른 피드이다. 이들 피드들은 참조번호(252) 및 참조번호(254)로 도시된 기타 다른 피드들(존재하는 경우)과 함께 조합되어 참조번호(236)로 도시된 최종 결과 출력을 생성한다.
표 2는 부호 선택기를 위한 진리표이다.
SIGN Am Bm Inout
0 x x Ini
1 0 0 Ini
1 0 1 N-Ini
1 1 0 N-Ini
1 1 1 Ini
*VA<VB일 경우 Ini=1 *VA>VB일 경우 Ini=0 *N-Ini는 Ini의 음의 값(이항 대립(binary opposite))
아래의 표 3은 도 2에 도시된 본 발명의 실시예에 있어서의 회로 게이트 카운트 대 도 1에 도시된 것과 같은 종래 기술의 예를 비교한 "16"비트 장치에 관한 장치 비교표를 제공한다.
표 3은 16비트 설계를 위한 장치 비교표이다.
게이트 레벨 트랜지스터 레벨
본 발명에 의해서 제공된 설계 126 542
종래 기술 (통상적) 설계 592 1920
절감(%) *절감 = (T-N)/T 78.72% 71.77%
표 3에서 제공된 바와 같이, 도 2의 실시예의 구현에 따라 실제적 개선이 이루어진다.
도 3은 도 2에서 제공된 실시예의 부호 선택기를 보다 상세히 도시한 본 발명의 일 실시예에 관한 도면이다. 다른 실시예들도 이루어질 수 있으며 도 3은 예로서 제공되는 것이다. 도 4는 도 3에 도시된 인버전 MUX 330의 세부를 도시한 도면이다.

Claims (20)

  1. 제1 수 및 제2 수의 값들을 비교하도록 동작하는 비교기 회로로서, 상기 제1 및 제2 수들은 비부호형 수들 또는 부호형 수들 중 어느 하나이며, 상기 비교기 회로는,
    제1 수 및 제2 수를 수신하도록 동작하고, 상기 제1 수가 상기 제2 수와 동등한지 여부를 나타내는 제1 결과와 상기 제1 수의 크기(magnitude)가 상기 제2 수의 크기보다 작은지 여부를 나타내는 제2 결과를 출력하도록 동작하는, 비교기와,
    상기 제1 수의 부호가 존재하는 경우 상기 제1 수의 부호, 상기 제2 수의 부호가 존재하는 경우 상기 제2 수의 부호, 그리고 상기 비교기의 상기 제2 결과 출력을 수신하도록 동작하는 부호 선택기로서, 상기 부호 선택기는 변경된 결과를 출력하되, 상기 변경된 결과는 상기 제1 및 제2 수들의 부호들을 고려하여 상기 제1 수 및 상기 제2 수 중 어느 쪽이 더 작은 값을 가지는지를 나타내는, 부호 선택기와,
    상기 변경된 결과 및 상기 제1 결과를 수신하고, 상기 제1 수가 상기 제2 수와 동등한지, 상기 제2 수보다 작은지, 또는 상기 제2 수와 동등하지도 않고 상기 제2수보다 작지도 않은지를 나타내는 최종 결과를 출력하도록 동작하는, 결과 플래그 생성기
    를 포함하는, 비교기 회로.
  2. 제1항에 있어서,
    상기 결과 플래그 생성기는 또한 외부의 동등 비트 신호(external equal bit signal)을 수신하도록 동작하며, 상기 최종 결과는 상기 동등 비트 신호의 상태에 또한 기초하여 상기 제1 수가 상기 제2 수와 동등한지 여부를 나타내는, 비교기 회로.
  3. 제1항에 있어서,
    상기 결과 플래그 생성기는 또한 외부의 비교 비트 신호(external comparative bit signal)를 수신하도록 동작하며, 상기 최종 결과의 값은 상기 비교 비트 신호의 상태를 고려하는, 비교기 회로.
  4. 제1항에 있어서,
    상기 부호 선택기는 제3의 부호 입력을 수신하도록 동작하며, 상기 변경된 결과는 상기 제3의 부호 입력의 상태에 또한 기초하는, 비교기 회로.
  5. 제1항에 있어서,
    상기 제1 수 및 상기 제2 수의 각각은 복수의 비트를 가지는 이진수인, 비교기 회로.
  6. 제5항에 있어서,
    상기 부호 선택기는 상기 제1 수의 첫번째 비트를 상기 제1 수의 부호로서 수신하고 상기 제2 수의 첫번째 비트를 상기 제2 수의 부호로서 수신하도록 동작하는, 비교기 회로.
  7. 제6항에 있어서,
    상기 제1 수의 첫번째 비트는 상기 제1 수의 최상위 비트 위치에 존재하고, 상기 제2 수의 첫번째 비트는 상기 제2 수의 최상위 비트 위치에 존재하는, 비교기 회로.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 삭제
  19. 삭제
  20. 삭제
KR1020057005989A 2002-11-01 2003-10-24 전력 소비를 줄이면서 고속의 컴퓨팅 능력을 제공하는 장치 KR100781842B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/287,108 2002-11-01
US10/287,108 US7284028B2 (en) 2002-11-01 2002-11-01 Comparator eliminating need for one's complement logic for signed numbers

Publications (2)

Publication Number Publication Date
KR20050055000A KR20050055000A (ko) 2005-06-10
KR100781842B1 true KR100781842B1 (ko) 2007-12-03

Family

ID=32175609

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057005989A KR100781842B1 (ko) 2002-11-01 2003-10-24 전력 소비를 줄이면서 고속의 컴퓨팅 능력을 제공하는 장치

Country Status (8)

Country Link
US (1) US7284028B2 (ko)
EP (1) EP1558993A2 (ko)
JP (1) JP2006505036A (ko)
KR (1) KR100781842B1 (ko)
CN (1) CN100580619C (ko)
AU (1) AU2003278339A1 (ko)
TW (1) TWI262381B (ko)
WO (1) WO2004040435A2 (ko)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1218722A (en) * 1969-01-31 1971-01-13 Zeutionik Veb K Comparison circuit

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4760374A (en) * 1984-11-29 1988-07-26 Advanced Micro Devices, Inc. Bounds checker
US5357237A (en) 1992-09-04 1994-10-18 Motorola, Inc. In a data processor a method and apparatus for performing a floating-point comparison operation
JPH1091397A (ja) 1996-09-12 1998-04-10 Toshiba Corp 演算回路
US6826588B2 (en) 1999-12-23 2004-11-30 Intel Corporation Method and apparatus for a fast comparison in redundant form arithmetic
US6907443B2 (en) * 2001-09-19 2005-06-14 Broadcom Corporation Magnitude comparator

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB1218722A (en) * 1969-01-31 1971-01-13 Zeutionik Veb K Comparison circuit

Also Published As

Publication number Publication date
US7284028B2 (en) 2007-10-16
CN100580619C (zh) 2010-01-13
US20040088591A1 (en) 2004-05-06
TW200419333A (en) 2004-10-01
AU2003278339A1 (en) 2004-05-25
AU2003278339A8 (en) 2004-05-25
TWI262381B (en) 2006-09-21
WO2004040435A3 (en) 2004-10-28
WO2004040435A2 (en) 2004-05-13
CN1708743A (zh) 2005-12-14
KR20050055000A (ko) 2005-06-10
JP2006505036A (ja) 2006-02-09
EP1558993A2 (en) 2005-08-03

Similar Documents

Publication Publication Date Title
Ohkubo et al. A 4.4 ns CMOS 54/spl times/54-b multiplier using pass-transistor multiplexer
JPH06236252A (ja) 浮動小数点数の仮数部の先行ゼロの数を検出する先行ゼロ2段及び多段検出ユニット、浮動小数点左シフト仮数正規化ユニット及び先行ゼロの数を検出する方法
JPS62191920A (ja) シフト数制御回路
EP0529101B1 (en) Floating-point dividing circuit
US5129066A (en) Bit mask generator circuit using multiple logic units for generating a bit mask sequence
US20210109708A1 (en) Device and method for binary flag determination
US7716270B2 (en) Carry-ripple adder
KR100781842B1 (ko) 전력 소비를 줄이면서 고속의 컴퓨팅 능력을 제공하는 장치
US7188134B2 (en) High-performance adder
US6665698B1 (en) High speed incrementer/decrementer
CN111313890B (zh) 一种高性能近似全加器门级单元
US6675182B1 (en) Method and apparatus for performing rotate operations using cascaded multiplexers
US7277909B2 (en) High speed adder
US6631393B1 (en) Method and apparatus for speculative addition using a limited carry
KR100252766B1 (ko) 고속으로 동작하는 스티키 신호 생성기
US7240085B2 (en) Faster shift value calculation using modified carry-lookahead adder
US7461118B2 (en) Arithmetic logic unit with merged circuitry for comparison, minimum/maximum selection and saturation for signed and unsigned numbers
JP3418711B2 (ja) スティッキービット値予測回路及びこれを備えた半導体装置
US20040015534A1 (en) Method for adding one to a binary number
US20040001505A1 (en) Circuit for adding one to a binary number
US20040078417A1 (en) Complementary pass gate logic implementation of 64-bit arithmetic logic unit using propagate, generate, and kill
Ilamathi High-Speed And Area-Efficient 16, 64-Bit Digital Comparator
KR100226757B1 (ko) 병렬제산 연산장치
WO2004044731A2 (en) Device and method for performing shift/rotate operations
US7231414B1 (en) Apparatus and method for performing addition of PKG recoded numbers

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20101102

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee