KR100779400B1 - Semiconductor device and method for fabricating the same - Google Patents

Semiconductor device and method for fabricating the same Download PDF

Info

Publication number
KR100779400B1
KR100779400B1 KR1020060131448A KR20060131448A KR100779400B1 KR 100779400 B1 KR100779400 B1 KR 100779400B1 KR 1020060131448 A KR1020060131448 A KR 1020060131448A KR 20060131448 A KR20060131448 A KR 20060131448A KR 100779400 B1 KR100779400 B1 KR 100779400B1
Authority
KR
South Korea
Prior art keywords
silicon substrate
layer
film
gate electrode
high dielectric
Prior art date
Application number
KR1020060131448A
Other languages
Korean (ko)
Inventor
현지원
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020060131448A priority Critical patent/KR100779400B1/en
Application granted granted Critical
Publication of KR100779400B1 publication Critical patent/KR100779400B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

A semiconductor device and a manufacturing method of the same are provided to improve characteristics of a transistor by preventing a shifting effect of a flat band voltage. A high dielectric layer is formed on a silicon substrate(101). An insulating layer(102) is formed on a boundary between the high dielectric layer and the silicon substrate by heat-treating the silicon substrate. A conductive layer is formed on the silicon substrate. A gate electrode(104) is formed by removing selectively the high dielectric layer and the insulating layer. An insulating layer sidewall(106) is formed at both sides of the gate electrode. A source/drain impurity region(107) is formed on a surface of the silicon substrate of both sides of the gate electrode. A metal silicide layer(108) is formed on the silicon substrate. A stress buffering layer(109) and an interlayer dielectric are formed on the semiconductor substrate. A high melting point metal layer is formed on a front surface of the semiconductor substrate.

Description

반도체 소자 및 그 제조방법{SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}Semiconductor device and manufacturing method therefor {SEMICONDUCTOR DEVICE AND METHOD FOR FABRICATING THE SAME}

도 1a 내지 도 1f는 종래 기술에 의한 반도체 소자의 제조방법을 나타낸 공정단면도1A to 1F are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art.

도 2a 내지 도 2g는 본 발명에 의한 반도체 소자 및 그 제조방법을 나타낸 공정 단면도2A to 2G are cross-sectional views illustrating a semiconductor device and a method of manufacturing the same according to the present invention.

본 발명에서는 반도체 소자 및 그 제조방법에 관해 개시된다.Disclosed is a semiconductor device and a method of manufacturing the same.

반도체소자의 집적도가 향상되면서 트랜지스터의 크기가 점차 작아질 것이 요구되어 왔으나, 소오스/드레인의 접합깊이를 무한정 얕게 할 수 없다는 제약성이 있다.Although the size of transistors has been required to decrease gradually as the degree of integration of semiconductor devices is improved, there is a limitation that the depth of source / drain junctions cannot be made infinitely shallow.

이것은 채널의 길이가 종래의 장채널(Long channel)에서 0.5㎛ 이하의 단채널(short channel)로 감소함에 따라, 소오스/드레인의 공핍영역이 채널속으로 침투하여 유효 채널 길이가 줄어들고, 문턱전압(Threshold voltage)이 감소함으로써, 모스 트랜지스터에서 게이트 제어의 기능이 상실되는 단채널 효과(Short Channel Effect)가 발생하기 때문이다.This is because as the channel length decreases from the conventional long channel to a short channel of 0.5 μm or less, the depletion region of the source / drain penetrates into the channel, thereby reducing the effective channel length and reducing the threshold voltage. This is because the threshold voltage decreases, resulting in a short channel effect in which the gate control function is lost in the MOS transistor.

이러한 단채널 효과를 방지하기 위해서는, 게이트 절연막의 두께를 감소시켜야 하고, 소오스/드레인간의 채널 즉 게이트아래의 공핍영역의 최대 폭(Maximum width of depletion)을 감소시켜야 하고, 실리콘 기판내의 불순물 농도를 감소시켜야한다. To prevent this short channel effect, the thickness of the gate insulating film should be reduced, the channel width between source / drain, i.e., the maximum width of depletion under the gate, and the impurity concentration in the silicon substrate should be reduced. Should

그러나 무엇보다도 얕은 접합(Shallow Junction)을 형성시켜야 한다는 점이 중요하다. 이를 위하여 반도체 소자의 제조공정에서 이온주입 장비 및 후속되는 열처리 공정에서 얕은 접합을 실현할 수 있는 방법에 대한 모색이 계속되고있다. But above all, it is important to form a shallow junction. To this end, the search for a method capable of realizing shallow bonding in ion implantation equipment and subsequent heat treatment in semiconductor device manufacturing processes continues.

또한 모스 트랜지스터(MOS Transistor)는 저농도 드레인(LDD: Light Doped Drain, 이하 'LDD'라 칭함) 구조로 대표된다고 할 수 있다.In addition, the MOS transistor may be represented by a light doped drain (LDD) structure.

이하, 첨부된 도면을 참고하여 종래 기술에 의한 반도체 소자의 제조방법을 설명하면 다음과 같다.Hereinafter, a method of manufacturing a semiconductor device according to the prior art will be described with reference to the accompanying drawings.

도 1a 내지 도 1f는 종래 기술에 의한 반도체 소자의 제조방법을 나타낸 공정단면도이다.1A to 1F are cross-sectional views illustrating a method of manufacturing a semiconductor device according to the prior art.

도 1a에 도시한 바와 같이, 액티브 영역과 소자 분리 영역으로 정의된 실리콘 기판(21)의 소자 분리 영역에 LOCOS 또는 STI(Shallow Trench Isolation) 공정을 통해 소자 격리막(22)을 형성한다.As shown in FIG. 1A, the device isolation layer 22 is formed through a LOCOS or shallow trench isolation (STI) process in the device isolation region of the silicon substrate 21 defined as the active region and the device isolation region.

이어, 상기 실리콘 기판(21)을 고온에서 열산화하여 상기 실리콘 기판(21)상에 게이트 산화막(23)을 형성한다.Subsequently, the silicon substrate 21 is thermally oxidized at a high temperature to form a gate oxide film 23 on the silicon substrate 21.

도 1b에 도시한 바와 같이, 상기 실리콘 기판(21)의 액티브 영역에 트랜지스 터의 채널(channel)을 형성하기 위해 n형 또는 p형 불순물 이온을 선택적으로 주입하여 n-웰 또는 p-웰(도시되지 않음)을 형성하고, 약 1050 ~ 1200℃의 온도에서 고온 열처리를 실시한다.As shown in FIG. 1B, n-type or p-type impurity ions may be selectively implanted to form a channel of a transistor in an active region of the silicon substrate 21 to form an n-well or p-well ( Not shown), and a high temperature heat treatment is performed at a temperature of about 1050 to 1200 ° C.

이어, 상기 게이트 산화막(23)상에 폴리 실리콘층을 증착하고, 포토 및 식각 공정을 통해 상기 폴리 실리콘층 및 게이트 산화막(23)을 선택적으로 식각하여 게이트 전극(24)을 형성한다.Subsequently, a polysilicon layer is deposited on the gate oxide layer 23, and the polysilicon layer and the gate oxide layer 23 are selectively etched through a photo and etching process to form a gate electrode 24.

그리고, 상기 게이트 전극(24)을 마스크로 이용하여 실리콘 기판(21)의 전면에 n형 또는 p형 불순물 이온을 주입하여 상기 게이트 전극(24)의 양측의 실리콘 기판(21)의 표면내에 LDD(Lightly Doped Drain) 영역(25)을 형성한다.Then, using the gate electrode 24 as a mask, n-type or p-type impurity ions are implanted into the entire surface of the silicon substrate 21, so that LDD (in the surface of the silicon substrate 21 on both sides of the gate electrode 24) is implanted. Lightly Doped Drain) region 25 is formed.

도 1c에 도시한 바와 같이, 상기 실리콘 기판(21)의 전면에 LPCVD법으로 절연막을 증착하고, 전면에 에치백(etch back) 공정을 실시하여 상기 게이트 전극(24)의 양측면에 절연막 측벽(26)을 형성한다.As shown in FIG. 1C, an insulating film is deposited on the entire surface of the silicon substrate 21 by an LPCVD method, and an etch back process is performed on the entire surface of the silicon substrate 21 to form an insulating film sidewall 26 on both sides of the gate electrode 24. ).

이어, 상기 게이트 전극(24) 및 절연막 측벽(26)을 마스크로 이용하여 전면에 n형 또는 p형의 고농도 불순물 이온을 주입하여 상기 게이트 전극(24) 양측의 실리콘 기판(21) 표면내에 소오스/드레인 불순물 영역(27)을 형성하고, 약 1000 ~ 1050℃의 온도에서 열처리를 실시한다.Subsequently, n-type or p-type high concentration impurity ions are implanted into the front surface by using the gate electrode 24 and the insulating film sidewall 26 as a mask, so that the source / silicon substrates on both sides of the gate electrode 24 are formed. The drain impurity region 27 is formed, and heat treatment is performed at a temperature of about 1000 to 1050 캜.

도 1d에 도시한 바와 같이, 상기 실리콘 기판(21)에 금속 불순물, 유기 오염물, 자연 산화막과 같은 다양한 대상물을 제거하기 위하여 세정 공정을 실시한다.As shown in FIG. 1D, a cleaning process is performed on the silicon substrate 21 to remove various objects such as metal impurities, organic contaminants, and natural oxide films.

이어, 상기 세정 공정이 완료된 실리콘 기판(21)을 스퍼터 장비의 스퍼터 챔버(도시되지 않음)로 이동하여 코발트(Cobalt) 등의 금속막(28)을 실리콘 기판(21) 전면에 스퍼터링하여 형성한다.Subsequently, the silicon substrate 21 having the cleaning process completed is moved to a sputter chamber (not shown) of the sputtering equipment to form a metal film 28 such as cobalt on the entire surface of the silicon substrate 21.

도 1e에 도시한 바와 같이, 상기 실리콘 기판(21)을 빠른 열처리(RTP: Rapid Thermal Process) 장비 또는 전기로에 넣고 400 ~ 600℃의 열처리를 실시하여 상기 게이트 전극(24) 및 소오스 및 드레인 불순물 영역(27)이 형성된 실리콘 기판(21)의 표면에 금속 실리사이드막(29)을 형성한다.As shown in FIG. 1E, the silicon substrate 21 is placed in a rapid thermal process (RTP) device or an electric furnace, and subjected to heat treatment at 400 to 600 ° C. to provide the gate electrode 24 and source and drain impurity regions. A metal silicide film 29 is formed on the surface of the silicon substrate 21 on which the 27 is formed.

여기서, 상기 금속 실리사이드막(29)은 상기 열처리 공정시에 게이트 전극(24) 및 실리콘 기판(21)의 실리콘 이온과 금속막(28)의 금속 이온이 반응하여 형성되고, 상기 절연막 측벽(26) 및 소자 격리막(22)상에서는 반응이 이루어지지 않고 금속막(28)의 형태로 잔류하고 있다.Here, the metal silicide layer 29 is formed by reacting silicon ions of the gate electrode 24 and the silicon substrate 21 with metal ions of the metal layer 28 during the heat treatment process, and the insulating film sidewall 26. And no reaction occurs on the device isolation film 22 and remains in the form of a metal film 28.

도 1f에 도시한 바와 같이, 상기 금속 실리사이드막(29)의 형성에 이용되지 않고 남은 금속막(28)을 제거한 후 상기 실리콘 기판(21)을 소정온도에서 어닐링하여 금속 실리사이드막(29)의 상을 안정화시킴으로써 낮은 저항의 금속 실리사이드막(29)을 완성한다.As shown in FIG. 1F, the silicon substrate 21 is annealed at a predetermined temperature after removing the remaining metal film 28 that is not used to form the metal silicide film 29. The metal silicide film 29 of low resistance is completed by stabilizing.

그러나 상기와 같은 종래 기술에 의한 반도체 소자의 제조방법에 있어서 다음과 같은 문제점이 있었다.However, there is a problem in the method of manufacturing a semiconductor device according to the prior art as described above.

즉, 폴리 실리콘 게이트는 고온 공정에 유리하고 전체 공정 호환성과 소자 제작 후 전기적 특성이 우수하나, 소자의 초고집적화 및 규격감소가 진행됨에 따라 높은 저항, 게이트 감소(gate depletion) 현상으로 인한 게이트 산화막의 두께 증가 그리고 폴리 실리콘 게이트의 도펀트(dopant)의 확산으로 인한 문턱전압 변동 등이 문제가 되고 있다.In other words, polysilicon gates are advantageous for high temperature processes and have excellent overall process compatibility and excellent electrical characteristics after device fabrication.However, as the ultra-high integration and size reduction of devices progress, high resistance and gate depletion of the gate oxide film Increasing thickness and threshold voltage fluctuations due to diffusion of dopants in polysilicon gates are problematic.

한편, 최근 반도체의 대용량화와 고직접화 경향에 따라 MOSFET의 미세화가 진행되고 있다. 미세화가 진행됨에 따라 게이트 절연막의 박막화를 진행시키면 터널전류에 의한 게이트 리크 전류의 증대 등의 문제가 나타난다. On the other hand, in recent years, the miniaturization of MOSFETs is progressing due to the trend of increasing capacity and high directivity of semiconductors. As the miniaturization progresses, thinning of the gate insulating film causes problems such as an increase in the gate leakage current due to the tunnel current.

이 문제를 해결하기 위해서 기존에 쓰고 있는 SiO2를 대체하여 HfO2, Ta2O5, Al2O3 등의 고유전율 재료를 사용한 게이트 절연막을 사용하여 물리적 막 두께를 확보하면서 적은 EOT(Equivalent Oxide Thickness)를 실현시키는 시도가 이루어지고 있다. In order to solve this problem, a gate insulating film using a high dielectric constant material such as HfO 2 , Ta 2 O 5 , and Al 2 O 3 is used instead of the existing SiO 2 to secure a physical film thickness while reducing the EOT (Equivalent Oxide). Attempts have been made to realize thickness.

또한 이런 고유전율(high k dielectric)과 호환성이 있다는 장점을 가진 FUSI(Fully Silicided Poly Silicon)가 기존의 폴리 실리콘을 대체하는 게이트로 개발되고 있다. In addition, Fully Silicided Poly Silicon (FUSI), which has the advantage of being compatible with high k dielectrics, is being developed as a gate to replace conventional polysilicon.

그러나 고율전율을 갖는 게이트 절연막과 FUSI 게이트를 사용한 트랜지스터가 장점만을 가진 것은 아니다. 고율전율을 갖는 게이트 절연막과 실리콘 사이의 계면층(interfacial layer)에 계면 쌍극자(interfacial dipole)가 형성되어 이동도 퇴화(mobility degradation)를 야기하며, 게이트 절연막과 FUSI 게이트 사이에 생성된 픽스 전하(fixed charge)가 니켈(Ni)과 같은 FUSI 물질의 확산(diffusion)을 방해하여 폴리 실리콘(poly silicon)이 완전히 실리사이드(fully silicide)화 되지 못하게 되어 플랫 밴드 전압(flat band voltage)이 쉬프트(shift)되는 문제점이 있다.However, a transistor using a gate insulating film and a FUSI gate having a high rate of conductivity is not the only advantage. An interfacial dipole is formed in the interfacial layer between the gate insulating film and silicon having a high rate of conductivity, causing mobility degradation, and a fixed charge generated between the gate insulating film and the FUSI gate. The charge prevents the diffusion of FUSI materials such as nickel (Ni), which prevents polysilicon from fully silicide and shifts the flat band voltage. There is a problem.

본 발명은 픽스 전하(Fixed charge)를 제거함과 동시에 플랫 밴드 전압이 쉬프트되는 현상을 방지하여 트랜지스터의 특성을 향상시키도록 한 반도체 소자 및 그 제조방법을 제공하는데 그 목적이 있다.SUMMARY OF THE INVENTION An object of the present invention is to provide a semiconductor device and a method of manufacturing the same, which improve the characteristics of a transistor by removing a fixed charge and preventing a flat band voltage from shifting.

본 발명에 따른 반도체 소자의 제조방법은 실리콘 기판상에 고유전막을 형성하는 단계; 상기 고유전막이 형성된 실리콘 기판을 열처리하여 상기 고유전막과 실리콘 기판의 계면에 절연막을 형성하는 단계; 상기 실리콘 기판에 도전층을 형성하고 상기 도전층, 고유전막 및 절연막을 선택적으로 제거하여 게이트 전극을 형성하는 단계; 상기 게이트 전극 양측면에 절연막 측벽을 형성하는 단계; 상기 게이트 전극 양측의 실리콘 기판 표면내에 소오스/드레인 불순물 영역을 형성하는 단계; 상기 게이트 전극 및 소오스/드레인 불순물 영역이 형성된 실리콘 기판에 금속 실리사이드막을 형성하는 단계; 상기 게이트 전극 상부의 금속 실리사이드막 높이로 반도체 기판상에 스트레스 완화층 및 층간 절연층을 형성하는 단계; 및 상기 반도체 기판의 전면에 고융점 금속막을 증착하고 열처리하는 단계를 포함하여 형성함을 특징으로 한다.A method of manufacturing a semiconductor device according to the present invention includes the steps of forming a high dielectric film on a silicon substrate; Heat-treating the silicon substrate on which the high dielectric film is formed to form an insulating film at an interface between the high dielectric film and the silicon substrate; Forming a conductive layer on the silicon substrate, and selectively removing the conductive layer, the high dielectric layer, and the insulating layer to form a gate electrode; Forming sidewalls of an insulating film on both sides of the gate electrode; Forming a source / drain impurity region in a surface of a silicon substrate on both sides of the gate electrode; Forming a metal silicide layer on the silicon substrate on which the gate electrode and the source / drain impurity region are formed; Forming a stress relaxation layer and an interlayer insulating layer on the semiconductor substrate at a height of the metal silicide layer on the gate electrode; And depositing and heat-treating a high melting point metal film on the entire surface of the semiconductor substrate.

본 발명에 따른 반도체 소자는 실리콘 기판상에 형성된 고유전막; 상기 고유전막과 실리콘 기판의 계면에 형성된 절연막; 상기 고유전막의 상측에 형성되어 실리사이드화된 게이트 전극; 상기 게이트 전극 양측면에 형성된 절연막 측벽; 상기 게이트 전극 양측의 실리콘 기판 표면내에 형성된 소오스/드레인 불순물 영역; 상기 소오스/드레인 불순물 영역에 형성된 금속 실리사이드막; 및 상기 게이트 전극 의 양측의 반도체 기판상에 형성된 스트레스 완화층 및 층간 절연층이 포함되어 구성되는 것을 특징으로 한다.A semiconductor device according to the present invention comprises a high dielectric film formed on a silicon substrate; An insulating film formed at an interface between the high dielectric film and a silicon substrate; A gate electrode formed on the high dielectric layer and silicided; Sidewalls of insulating layers formed on both sides of the gate electrode; Source / drain impurity regions formed in a surface of the silicon substrate on both sides of the gate electrode; A metal silicide film formed on the source / drain impurity region; And a stress relaxation layer and an interlayer insulating layer formed on the semiconductor substrates on both sides of the gate electrode.

이하, 첨부된 도면을 참고하여 본 발명에 의한 반도체 소자 및 그 제조방법을 보다 상세히 설명하면 다음과 같다.Hereinafter, a semiconductor device and a method of manufacturing the same according to the present invention will be described in detail with reference to the accompanying drawings.

도 2a 내지 도 2g는 본 발명에 의한 반도체 소자 및 그 제조방법을 나타낸 공정단면도이다.2A to 2G are cross-sectional views showing a semiconductor device and a method of manufacturing the same according to the present invention.

도 2a에 도시한 바와 같이, 실리콘 기판(101)상에 게이트 절연막(102)으로 HfO2막을 N2 분위기에서 MOCVD(Metal Organic Chemical Vapor Deposition) 방식으로 약 20Å의 두께로 증착한다.As shown in FIG. 2A, an HfO 2 film is deposited on the silicon substrate 101 with a gate insulating film 102 at a thickness of about 20 kPa in a N 2 atmosphere by MOCVD (Metal Organic Chemical Vapor Deposition) method.

여기서, 상기 게이트 절연막(102)을 MOCVD 방식으로 증착할 때 전구(前驅) 물질로 Hf[OC(CH3)(C2H5)2]4, Hf(mp)4 (Hafnium 3-Methyl-3-pentoxide)사용하기 때문에 추가적인 산소 소스(oxygen source)를 필요로 하지 않으며 증착온도는 500 ~ 600℃으로 한다.Here, when the gate insulating layer 102 is deposited by MOCVD, a precursor material is Hf [OC (CH 3 ) (C 2 H 5 ) 2 ] 4 , Hf (mp) 4 (Hafnium 3-Methyl-3 Because no pentoxide is used, no additional oxygen source is required and the deposition temperature is between 500 and 600 ° C.

한편, 상기 본 발명의 실시예에서는 게이트 절연막(102)으로 HfO2막을 예로 들고 있지만, 이에 한정하지 않고 유전율이 높은 고유전막의 하나를 사용할 수 있다.Meanwhile, although the HfO 2 film is used as the gate insulating film 102 in the embodiment of the present invention, one of the high dielectric constants having a high dielectric constant is not limited thereto.

도 2b에 도시한 바와 같이, 상기 실리콘 기판(101)과 그 위에 형성된 게이트 절연막(102) 사이에 존재하는 스트레스를 제거하기 위해 H2(5%)/N2분위기, 450℃, 1000℃ 및 500℃의 온도로 순차적으로 RTA(Rapid Thermal Annealing)를 실시한다. As shown in FIG. 2B, H 2 (5%) / N 2 atmosphere, 450 ° C., 1000 ° C., and 500 to remove stress existing between the silicon substrate 101 and the gate insulating film 102 formed thereon. Rapid thermal annealing (RTA) is performed sequentially at a temperature of ℃.

이때 상기 게이트 절연막(102)을 구성하는 HfO2와 실리콘 기판(101) 사이에 약 10Å 정도로 형성되는 산화막(103)이 스트레스 버퍼(stress buffer) 및 산화막 계면 쌍극자(interface dipole)를 줄여주는 역할을 하게 된다. In this case, an oxide film 103 formed between HfO 2 and the silicon substrate 101 constituting the gate insulating film 102 may reduce a stress buffer and an oxide interface dipole. do.

하지만 SiO2/HfO2에 존재하는 계면 쌍극자가 완전히 제거되지 않을 경우 트랜지스터 채널 이동도(transistor channel mobility)의 저하를 유발하기 때문에 700 ~ 800℃의 온도로 NH3 플라즈마 처리를 실시한다. However, when the interface dipoles present in SiO 2 / HfO 2 are not completely removed, the transistor channel mobility is lowered. Thus, NH 3 plasma treatment is performed at a temperature of 700 to 800 ° C.

여기서, 상기 NH3 플라즈마 처리의 또 다른 중요한 목적은 HfO2막과 위에 증착 될 폴리 실리콘 사이에 형성되는 산소 빈공간(oxygen vacancy)과 같은 픽스 전하를 제거하기 위함이다.Here, another important purpose of the NH 3 plasma treatment is to remove the fix charge, such as oxygen vacancy, formed between the HfO 2 film and the polysilicon to be deposited thereon.

그리고 부가적으로 B(Boron)및 P(Phosphorous)의 침투(penetration)를 방지하는 역할도 하게 된다In addition, it also plays a role in preventing penetration of B (Boron) and P (Phosphorous).

도 2c에 도시한 바와 같이, 상기 게이트 절연막(102)상에 LPCVD(Low Pressure Chemical Vapor Deposition)를 이용하여 500 ~ 600℃의 온도로 폴리 실리콘막을 1800 ~ 2000Å 두께로 형성한다.As shown in FIG. 2C, a polysilicon film is formed on the gate insulating film 102 at a temperature of 500 to 600 ° C. by using low pressure chemical vapor deposition (LPCVD).

이어서, 포토 및 RIE(Reactive Ion Etching) 식각 공정을 통해 상기 폴리 실리콘막을 선택적으로 제거하여 게이트 전극(104)을 형성한다.Subsequently, the polysilicon layer is selectively removed through a photo and reactive ion etching (RIE) etching process to form the gate electrode 104.

그리고 상기 게이트 전극(104)을 마스크로 이용하여 상기 실리콘 기판(101)에 소오스/드레인(source/drain)용 불순물 이온을 주입하여 상기 게이트 전극(104) 양측의 실리콘 기판(101) 표면내에 LDD 영역(105)을 형성한다.Source / drain impurity ions are implanted into the silicon substrate 101 using the gate electrode 104 as a mask, so that an LDD region is formed in the surface of the silicon substrate 101 on both sides of the gate electrode 104. Form 105.

도 2d에 도시한 바와 같이, 상기 게이트 전극(104)을 포함한 실리콘 기판(101)의 전면에 절연막을 형성한 후 에치백 공정을 실시하여 상기 게이트 전극(104) 양측면에 절연막 측벽(106)을 형성한다.As shown in FIG. 2D, an insulating film is formed on the entire surface of the silicon substrate 101 including the gate electrode 104 and then an etch back process is performed to form insulating film sidewalls 106 on both sides of the gate electrode 104. do.

이어서, 상기 게이트 전극(104) 및 절연막 측벽(106)을 마스크로 이용하여 상기 실리콘 기판(101)의 전면에 소오스 및 드레인용 불순물 이온을 주입하여 소오스 및 드레인 불순물 영역(107)을 형성한다.Subsequently, the source and drain impurity regions 107 are formed by implanting source and drain impurity ions onto the silicon substrate 101 using the gate electrode 104 and the insulating film sidewall 106 as a mask.

도 2e에 도시한 바와 같이, 상기 게이트 전극(104)을 포함한 실리콘 기판(101)의 전면에 고융점 금속막을 증착하고, 열처리 공정을 실시하여 상기 고융점 금속막의 금속 이온과 게이트 전극(104)의 실리콘 이온을 반응시키어 금속 실리사이드막(108)을 형성한다.As shown in FIG. 2E, a high melting point metal film is deposited on the entire surface of the silicon substrate 101 including the gate electrode 104, and a heat treatment process is performed to obtain the metal ions of the high melting point metal film and the gate electrode 104. Silicon ions are reacted to form the metal silicide film 108.

여기서, 상기 고융점 금속막은 PVD(Physical Vapor Deposition) 또는 CVD(Chemical Vapor Deposition) 방식으로 코발트, 니켈, 티타늄, 텅스텐, 탄탈륨, 몰리브덴 등을 증착한다.Here, the high melting point metal film deposits cobalt, nickel, titanium, tungsten, tantalum, molybdenum, or the like by physical vapor deposition (PVD) or chemical vapor deposition (CVD).

도 2f에 도시한 바와 같이, 상기 게이트 전극(104)을 포함한 실리콘 기판(101)의 전면에 각 막들 사이에서 발생하는 스트레스 완화(stress relief)를 위해 SiN 라이너층(109)을 LPCVD방식으로 500 ~ 600℃의 온도로 2000 ~ 2500Å정도 증착한다.As shown in FIG. 2F, the SiN liner layer 109 may be 500 to LPCVD for stress relief occurring between the films on the entire surface of the silicon substrate 101 including the gate electrode 104. Deposit at 2000 ~ 2500Å at 600 ℃.

이어서, 상기 SiN 라이너층(109)상에 APCVD(Atmospheric Pressure CVD)으로 450℃ ~ 550℃의 온도로 5000 ~ 6000Å 정도의 BPSG(110)를 증착한다.Subsequently, BPSG 110 of about 5000 to 6000 Pa is deposited on the SiN liner layer 109 at an temperature of 450 ° C to 550 ° C by APCVD (Atmospheric Pressure CVD).

도 2g에 도시한 바와 같이, 상기 게이트 전극(104) 상부의 금속 실리사이드 막(108)의 표면이 노출되도록 전면에 CMP 공정을 실시하여 상기 SiN 라이너층(109) 및 BPSG(110)를 선택적으로 제거한다.As shown in FIG. 2G, the SiN liner layer 109 and the BPSG 110 are selectively removed by performing a CMP process on the entire surface of the metal silicide layer 108 on the gate electrode 104 to expose the surface thereof. do.

이어서, 상기 CMP 후 희석된 HF와 IPA 혼합 용액으로 상부를 세정한 후 PVD(Physical Vapor Deposition)법을 이용하여 니켈막(도시되지 않음)을 500Å정도의 두께로 증착한다.Subsequently, after the CMP, the upper part is washed with a diluted HF and IPA mixed solution, and then a nickel film (not shown) is deposited to a thickness of about 500 kV using a physical vapor deposition (PVD) method.

여기서, 본 발명의 실시예에서는 니켈막을 예로 설명하고 있지만, 고융점 금속막 즉, 코발트, 티타늄, 텅스텐, 탄탈륨, 몰리브덴 등으로 형성할 수 있다.Here, although the nickel film is described as an example in the embodiment of the present invention, the nickel film may be formed of a high melting point metal film, that is, cobalt, titanium, tungsten, tantalum, molybdenum, or the like.

그리고 상기 니켈막이 형성된 실리콘 기판(101)에 450℃, 1000℃, 및 500℃의 온도로 순차적으로 RTA(Rapid Thermal Annealing)를 실시한다. 이때 상기 니켈막은 게이트 전극(104) 상부에 형성된 금속 실리사이드막(108)과 반응하기 시작하여 완전한 실리사이드화가 이루어지도록 다시 RTA를 통해 열처리를 실시한다. In addition, rapid thermal annealing (RTA) is sequentially performed at the temperatures of 450 ° C., 1000 ° C., and 500 ° C. on the silicon substrate 101 on which the nickel film is formed. At this time, the nickel film starts to react with the metal silicide film 108 formed on the gate electrode 104 and is then heat treated again through RTA to complete silicide formation.

여기서, 상기 열처리 반응에 의해 고유전막 상부까지 폴리 실리콘층을 완전한 실리사이드화시킨다. 이때 상기 스텝에서 HfOS2와 폴리 실리콘막 사이에 형성되어 있는 산소 결핍층과 같은 픽스 전하를 제거해 주기 때문에 완전하게 실리사이드화된 게이트를 형성할 수가 있다.Here, the polysilicon layer is completely silicided to the upper portion of the high dielectric film by the heat treatment reaction. In this step, since the fixed charge such as the oxygen depletion layer formed between the HfOS 2 and the polysilicon film is removed, a fully silicided gate can be formed.

한편, 상기 금속 실리사이드막(108)과 반응하지 않은 니켈막을 제거한다.Meanwhile, the nickel film not reacted with the metal silicide film 108 is removed.

이상에서 설명한 본 발명은 상술한 실시예 및 첨부된 도면에 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.The present invention described above is not limited to the above-described embodiment and the accompanying drawings, and it is common in the art that various substitutions, modifications, and changes can be made without departing from the technical spirit of the present invention. It will be evident to those who have knowledge of.

이상에서 설명한 바와 같이 본 발명에 의한 반도체 소자의 제조방법은 다음과 같은 효과가 있다.As described above, the method for manufacturing a semiconductor device according to the present invention has the following effects.

즉, 고유전율을 갖는 게이트 절연막을 형성한 후 전면에 열처리 공정을 실시함으로써 이후에 증착되는 게이트 물질과 계면에서 생기는 픽스 전하를 제거하여 폴리 실리콘을 고유전막의 상부까지 완전히 실리사이드화 할 수 있으며, 플랫 밴드 전압이 쉬프트되는 현상을 방지하여 트랜지스터의 특성을 향상시킬 수 있다.That is, by forming a gate insulating film having a high dielectric constant and performing a heat treatment process on the entire surface, it is possible to completely silicide the polysilicon to the upper portion of the high dielectric film by removing the fixed charge generated at the interface with the gate material that is subsequently deposited. Transistor characteristics can be improved by preventing the band voltage from shifting.

Claims (10)

실리콘 기판상에 고유전막을 형성하는 단계;Forming a high dielectric film on a silicon substrate; 상기 고유전막이 형성된 실리콘 기판을 열처리하여 상기 고유전막과 실리콘 기판의 계면에 절연막을 형성하는 단계;Heat-treating the silicon substrate on which the high dielectric film is formed to form an insulating film at an interface between the high dielectric film and the silicon substrate; 상기 실리콘 기판에 도전층을 형성하고 상기 도전층, 고유전막 및 절연막을 선택적으로 제거하여 게이트 전극을 형성하는 단계;Forming a conductive layer on the silicon substrate, and selectively removing the conductive layer, the high dielectric layer, and the insulating layer to form a gate electrode; 상기 게이트 전극 양측면에 절연막 측벽을 형성하는 단계;Forming sidewalls of an insulating film on both sides of the gate electrode; 상기 게이트 전극 양측의 실리콘 기판 표면내에 소오스/드레인 불순물 영역을 형성하는 단계;Forming a source / drain impurity region in a surface of a silicon substrate on both sides of the gate electrode; 상기 게이트 전극 및 소오스/드레인 불순물 영역이 형성된 실리콘 기판에 금속 실리사이드막을 형성하는 단계;Forming a metal silicide layer on the silicon substrate on which the gate electrode and the source / drain impurity region are formed; 상기 게이트 전극 상부의 금속 실리사이드막 높이로 반도체 기판상에 스트레스 완화층 및 층간 절연층을 형성하는 단계; 및Forming a stress relaxation layer and an interlayer insulating layer on the semiconductor substrate at a height of the metal silicide layer on the gate electrode; And 상기 반도체 기판의 전면에 고융점 금속막을 증착하고 열처리하는 단계를 포함하여 형성함을 특징으로 하는 반도체 소자의 제조방법.And depositing and heat-treating a high melting point metal film on the entire surface of the semiconductor substrate. 제 1 항에 있어서, The method of claim 1, 상기 절연막을 형성하기 위한 열처리는 H2(5%)/N2분위기에서 450℃, 1000℃, 500℃의 온도로 순차적으로 실시하는 것을 특징으로 하는 반도체 소자의 제조방법.The heat treatment for forming the insulating film is a semiconductor device manufacturing method, characterized in that carried out sequentially at a temperature of 450 ℃, 1000 ℃, 500 ℃ in H 2 (5%) / N 2 atmosphere. 제 1 항에 있어서, The method of claim 1, 상기 고유전막과 실리콘 기판 사이에 절연막을 형성하고 상기 실리콘 기판을 700 ~ 800℃의 온도로 NH3 플라즈마 처리를 실시하는 단계를 더 포함하여 형성함을 특징으로 하는 반도체 소자의 제조방법.And forming an insulating film between the high dielectric film and the silicon substrate and subjecting the silicon substrate to a NH 3 plasma treatment at a temperature of 700 to 800 ° C. 제 1 항에 있어서, The method of claim 1, 상기 스트레스 완화층은 LPCVD방식으로 500 ~ 600℃의 온도에서 2000 ~ 2500Å 두께를 갖는 SiN 라이너층인 것을 특징으로 하는 반도체 소자의 제조방법.The stress relaxation layer is a semiconductor device manufacturing method, characterized in that the SiN liner layer having a thickness of 2000 ~ 2500 ~ at a temperature of 500 ~ 600 ℃ by LPCVD method. 제 1 항에 있어서, The method of claim 1, 상기 층간 절연층은 APCVD 방식으로 450℃ ~ 550℃의 온도로 5000 ~ 6000Å 두께를 갖는 BPSG인 것을 특징으로 하는 반도체 소자의 제조방법.The interlayer insulating layer is a method of manufacturing a semiconductor device, characterized in that the BPSG having a thickness of 5000 ~ 6000 Å at a temperature of 450 ℃ ~ 550 ℃ by APCVD method. 제 1 항에 있어서, The method of claim 1, 상기 고유전막은 N2 분위기에서 MOCVD 방식으로 20Å의 두께로 증착한 HfO2막인 것을 특징으로 하는 반도체 소자의 제조방법.The high dielectric film is a semiconductor device manufacturing method characterized in that the HfO 2 film deposited to a thickness of 20Å by MOCVD method in N 2 atmosphere. 실리콘 기판상에 형성된 고유전막;A high dielectric film formed on a silicon substrate; 상기 고유전막과 실리콘 기판의 계면에 형성된 절연막;An insulating film formed at an interface between the high dielectric film and a silicon substrate; 상기 고유전막의 상측에 형성되어 실리사이드화된 게이트 전극;A gate electrode formed on the high dielectric layer and silicided; 상기 게이트 전극 양측면에 형성된 절연막 측벽;Sidewalls of insulating layers formed on both sides of the gate electrode; 상기 게이트 전극 양측의 실리콘 기판 표면내에 형성된 소오스/드레인 불순물 영역;Source / drain impurity regions formed in a surface of the silicon substrate on both sides of the gate electrode; 상기 소오스/드레인 불순물 영역에 형성된 금속 실리사이드막; 및A metal silicide film formed on the source / drain impurity region; And 상기 게이트 전극의 양측의 반도체 기판상에 형성된 스트레스 완화층 및 층간 절연층이 포함되어 구성되는 것을 특징으로 하는 반도체 소자.And a stress relaxation layer and an interlayer insulating layer formed on the semiconductor substrate on both sides of the gate electrode. 제 7항에 있어서,The method of claim 7, wherein 상기 고유전막은 HfO2막인 것을 특징으로 하는 반도체 소자.The high dielectric film is a semiconductor device, characterized in that the HfO 2 film. 제 7항에 있어서,The method of claim 7, wherein 상기 스트레스 완화층은 SiN 라이너층인 것을 특징으로 하는 반도체 소자.The stress relief layer is a semiconductor device, characterized in that the SiN liner layer. 제 7항에 있어서,The method of claim 7, wherein 상기 절연막은 산화막인 것을 특징으로 하는 반도체 소자.The insulating film is a semiconductor device, characterized in that the oxide film.
KR1020060131448A 2006-12-20 2006-12-20 Semiconductor device and method for fabricating the same KR100779400B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020060131448A KR100779400B1 (en) 2006-12-20 2006-12-20 Semiconductor device and method for fabricating the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020060131448A KR100779400B1 (en) 2006-12-20 2006-12-20 Semiconductor device and method for fabricating the same

Publications (1)

Publication Number Publication Date
KR100779400B1 true KR100779400B1 (en) 2007-11-23

Family

ID=39080819

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060131448A KR100779400B1 (en) 2006-12-20 2006-12-20 Semiconductor device and method for fabricating the same

Country Status (1)

Country Link
KR (1) KR100779400B1 (en)

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060030896A (en) * 2003-07-11 2006-04-11 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Pecvd silicon-rich oxide layer for reduced uv charging in an eeprom

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20060030896A (en) * 2003-07-11 2006-04-11 어드밴스드 마이크로 디바이시즈, 인코포레이티드 Pecvd silicon-rich oxide layer for reduced uv charging in an eeprom

Similar Documents

Publication Publication Date Title
US7202539B2 (en) Semiconductor device having misfet gate electrodes with and without GE or impurity and manufacturing method thereof
KR20050045737A (en) Semiconductor device having different gate dielectric layers and method for manufacturing the same
US6849546B1 (en) Method for improving interlevel dielectric gap filling over semiconductor structures having high aspect ratios
US7732280B2 (en) Semiconductor device having offset spacer and method of forming the same
KR100818433B1 (en) Mos transistor with fully silicide gate structure and method for manufacturing thereof
US20020168828A1 (en) Method of reducing threshold voltage shifting of a gate
JP2008205065A (en) Semiconductor device and manufacturing method therefor
JP4745187B2 (en) Manufacturing method of semiconductor device
US7119017B2 (en) Method for improving interlevel dielectric gap filling over semiconductor structures having high aspect ratios
KR100685898B1 (en) method for manufacturing of semiconductor device
KR100779400B1 (en) Semiconductor device and method for fabricating the same
KR100628225B1 (en) method for manufacturing of semiconductor device
KR100398035B1 (en) Method of manufacturing a transistor in a semiconductor divice
KR100617051B1 (en) method for manufacturing of semiconductor device
TW201214575A (en) Metal gate transistor and method for fabricating the same
KR100486825B1 (en) Method of manufacturing a semiconductor device
KR100539159B1 (en) Transistor in a semiconductor device and a method of manufacturing the same
KR100940440B1 (en) Method of manufacturing a semiconductor device
KR20000054890A (en) Method for field effect transistor using selective epitaxial growth
KR100611786B1 (en) Method for fabrication of mos transistor
KR20030048214A (en) Method for fabricating semiconductor device having dual metal gate electrode
KR100412141B1 (en) Method for forming gate electrode in semiconductor device
KR100668850B1 (en) Method for forming gate of semiconductor device
KR100565755B1 (en) Method for manufacturing of semiconductor device
KR100728995B1 (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20111020

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee