KR100539159B1 - Transistor in a semiconductor device and a method of manufacturing the same - Google Patents

Transistor in a semiconductor device and a method of manufacturing the same Download PDF

Info

Publication number
KR100539159B1
KR100539159B1 KR10-2003-0044940A KR20030044940A KR100539159B1 KR 100539159 B1 KR100539159 B1 KR 100539159B1 KR 20030044940 A KR20030044940 A KR 20030044940A KR 100539159 B1 KR100539159 B1 KR 100539159B1
Authority
KR
South Korea
Prior art keywords
insulating film
oxide film
zirconium
hafnium
silicon
Prior art date
Application number
KR10-2003-0044940A
Other languages
Korean (ko)
Other versions
KR20050004674A (en
Inventor
류두열
Original Assignee
매그나칩 반도체 유한회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 매그나칩 반도체 유한회사 filed Critical 매그나칩 반도체 유한회사
Priority to KR10-2003-0044940A priority Critical patent/KR100539159B1/en
Publication of KR20050004674A publication Critical patent/KR20050004674A/en
Application granted granted Critical
Publication of KR100539159B1 publication Critical patent/KR100539159B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Abstract

본 발명은 반도체 소자의 트랜지스터 및 그 제조 방법에 관한 것으로, 게이트 절연막을 질화물 계열의 절연막과 하프늄이나 지르코늄이 함유된 고유전 절연막의 적층 구조로 형성함으로써, 게이트 산화막의 전기적 두께를 보다 더 감소시키면서, 게이트 절연막을 통한 누설 전류를 감소시킬 수 있고, nMOS 트랜지스터의 경우에는 게이트 산화막 내로 유입되는 핫 캐리어에 의해 소자의 신뢰성이 저하되는 것을 방지하고, pMOS 트랜지스터의 경우에는 게이트에 주입된 불순물이 채널 영역으로 확산되는 것을 방지할 수 있는 반도체 소자의 트랜지스터 및 그 제조 방법이 개시된다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a transistor of a semiconductor device and a method of manufacturing the same, wherein the gate insulating film is formed into a laminated structure of a nitride-based insulating film and a high dielectric insulating film containing hafnium or zirconium, thereby further reducing the electrical thickness of the gate oxide film. The leakage current through the gate insulating film can be reduced, and in the case of the nMOS transistor, the reliability of the device is prevented from being degraded by the hot carrier flowing into the gate oxide film.In the case of the pMOS transistor, impurities injected into the gate are transferred to the channel region. Disclosed are a transistor of a semiconductor device and a method of manufacturing the same that can prevent diffusion.

Description

반도체 소자의 트랜지스터 및 그 제조 방법{Transistor in a semiconductor device and a method of manufacturing the same} Transistor in a semiconductor device and a method of manufacturing the same

본 발명은 반도체 소자의 트랜지스터 및 그 제조 방법에 관한 것으로, 특히 게이트 절연막의 전기적인 두께를 감소시키고 게이트 절연막을 통하는 누설 전류가 발생되는 것을 방지하기 위한 반도체 소자의 트랜지스터 및 그 제조 방법에 관한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a transistor of a semiconductor device and a method of manufacturing the same, and more particularly to a transistor of a semiconductor device and a method of manufacturing the same for reducing the electrical thickness of the gate insulating film and preventing leakage current through the gate insulating film.

일반적으로, 반도체 소자의 트랜지스터는 게이트 전극과, 게이트 전극 양 가장자리의 반도체 기판에 형성된 소오스/드레인으로 이루어지며, 게이트 전극과 반도체 기판 사이에는 게이트 산화막이 형성된다. In general, a transistor of a semiconductor device includes a gate electrode and a source / drain formed on a semiconductor substrate at both edges of the gate electrode, and a gate oxide film is formed between the gate electrode and the semiconductor substrate.

도 1a 내지 도 1e는 종래 기술에 따른 반도체 소자의 트랜지스터 제조 방법을 설명하기 위한 소자의 단면도들이다. 1A to 1E are cross-sectional views of devices for describing a method of manufacturing a transistor of a semiconductor device according to the prior art.

도 1a를 참조하면, nMOS 트랜지스터가 형성될 nMOS 영역과 pMOS 트랜지스터가 형성될 pMOS 영역이 각각 정의된 반도체 기판(101)의 소자 분리 영역에 소자 분리막(102)을 형성한 후 이온 주입 공정으로 nMOS 영역의 반도체 기판(101)에는 p웰(103a)을 형성하고, pMOS 영역의 반도체 기판(101)에는 n웰(103b)을 각각 형성한다. 한편, p웰(103a)과 n웰(103b)에는 후속 공정에서 형성될 트랜지스터의 문턱 전압을 조절하기 위한 이온 주입 공정이 각각 실시된다. Referring to FIG. 1A, an nMOS region is formed by an ion implantation process after forming an isolation layer 102 in an isolation region of a semiconductor substrate 101 in which an nMOS region in which an nMOS transistor is to be formed and a pMOS region in which a pMOS transistor is to be formed are defined, respectively. The p well 103a is formed in the semiconductor substrate 101 of the semiconductor substrate 101, and the n well 103b is formed in the semiconductor substrate 101 of the pMOS region, respectively. On the other hand, the p well 103a and the n well 103b are each subjected to an ion implantation process for adjusting the threshold voltage of the transistor to be formed in a subsequent process.

도 1b를 참조하면, 반도체 기판(101)에 형성된 자연 산화막이나 잔존 산화막을 불산 계열의 세정액으로 제거한 후, nMOS 영역과 pMOS 영역을 포함한 전체 구조 상부에 게이트 산화막(104) 및 폴리실리콘층(105)을 순차적으로 형성한다. 이때, 게이트 산화막(104)은 수소와 산소 가스를 사용하여 성장시킬 수도 있다. Referring to FIG. 1B, after removing the natural oxide film or the remaining oxide film formed on the semiconductor substrate 101 with a hydrofluoric acid-based cleaning solution, the gate oxide film 104 and the polysilicon layer 105 are disposed on the entire structure including the nMOS region and the pMOS region. To form sequentially. In this case, the gate oxide film 104 may be grown using hydrogen and oxygen gas.

도 1c를 참조하면, 패터닝 공정을 통해 게이트 산화막(도 1b의 104) 및 폴리실리콘층(도 1b의 105)을 패터닝하여 nMOS 영역과 pMOS 영역에 각각 게이트 산화막(104) 및 게이트 전극(106a 및 106b)을 형성한다. 이후, 식각 손상을 보상해주기 위하여 어닐링 공정을 실시할 수도 있다. Referring to FIG. 1C, the gate oxide film 104 and the polysilicon layer 105 in FIG. 1B are patterned through a patterning process to form the gate oxide film 104 and the gate electrodes 106a and 106b in the nMOS region and the pMOS region, respectively. ). Thereafter, an annealing process may be performed to compensate for the etching damage.

이어서, LDD 구조의 소오스/드레인을 형성하기 위하여 nMOS 영역과 pMOS 영역에 소정의 패턴으로 형성된 게이트 전극(106a 및 106b) 양 가장자리의 반도체 기판(101)에 저농도 이온 주입 공정으로 저농도 불순물 영역(107a 및 107b)을 각각 형성한다. 여기서, nMOS 영역에는 n타입 불순물을 주입하여 저농도 불순물 영역(107a)을 형성하고, pMOS 영역에는 p타입 불순물을 주입하여 저농도 불순물 영역(107b)을 형성한다.Subsequently, in order to form the source / drain of the LDD structure, a low concentration impurity region 107a and a low concentration ion implantation process are applied to the semiconductor substrate 101 at both edges of the gate electrodes 106a and 106b formed in a predetermined pattern in the nMOS region and the pMOS region. 107b), respectively. Here, n-type impurities are implanted into the nMOS region to form a low concentration impurity region 107a, and p-type impurities are implanted into the pMOS region to form a low concentration impurity region 107b.

도 1d를 참조하면, 게이트 전극(106a 및 106b)의 양 측면에 절연막 스페이서를 형성하기 위한 제1 절연막(108) 및 제2 절연막(109)을 전체 상부에 순차적으로 형성한다. 이후, 전면 식각 공정으로 제1 및 제2 절연막(108 및 109)을 게이트 전극(106a 및 106b)의 양 측면에만 잔류시켜 제1 및 제2 절연막(108 및 109)으로 이루어진 절연막 스페이서(110)를 형성한다. Referring to FIG. 1D, the first insulating film 108 and the second insulating film 109 for sequentially forming the insulating film spacers on both side surfaces of the gate electrodes 106a and 106b are sequentially formed on the entire top. Subsequently, the first and second insulating layers 108 and 109 are left only on both sides of the gate electrodes 106a and 106b by the entire surface etching process, thereby forming the insulating layer spacer 110 including the first and second insulating layers 108 and 109. Form.

상기에서, 제1 절연막(108)은 저압 실리콘 산화물(LP-TEOS)로 형성하며, 제2 절연막(109)은 실리콘 질화물(Si3N4)로 형성한다. 이때, 제1 절연막(108)은 폴실리콘층으로 이루어진 게이트 전극(106a 및 106b)과 실리콘 질화물로 이루어진 제2 절연막(109)이 직접 접촉할 경우 스트레스가 발생되는 것을 방지해주는 버퍼 산화막의 역할을 한다.In the above description, the first insulating layer 108 is formed of low pressure silicon oxide (LP-TEOS), and the second insulating layer 109 is formed of silicon nitride (Si 3 N 4 ). In this case, the first insulating film 108 serves as a buffer oxide film that prevents stress from occurring when the gate electrodes 106a and 106b made of the polysilicon layer and the second insulating film 109 made of silicon nitride directly contact each other. .

이후, 소오스/드레인을 형성하기 위하여 게이트 전극(106a 및 106b)의 양측면에 형성된 절연막 스페이서(110) 가장자리의 반도체 기판(101)에 고농도 이온 주입 공정으로 고농도 불순물 영역(111a 내지 111d)을 저농도 불순물 영역(107a 및 107b)보다 깊게 형성한다. 여기서, nMOS 영역에는 n타입 불순물을 주입하여 고농도 불순물 영역(111a 및 111b)을 형성하고, pMOS 영역에는 p타입 불순물을 주입하여 고농도 불순물 영역(111c 및 111d)을 각각 형성한다. 이로써, 저농도 불순물 영역(107a 및 107b)과 고농도 불순물 영역(111a 내지 111d)으로 이루어진 LDD 구조의 소오스/드레인이 nMOS 영역(112a 및 112b)과 pMOS 영역(112c 및 112d)에 각각 형성된다. Thereafter, the high concentration impurity regions 111a to 111d are formed by the high concentration ion implantation process into the semiconductor substrate 101 at the edge of the insulating film spacer 110 formed on both sides of the gate electrodes 106a and 106b to form the source / drain. It is formed deeper than 107a and 107b. Here, n-type impurities are implanted into the nMOS region to form high concentration impurity regions 111a and 111b, and p-type impurities are implanted into the pMOS region to form high concentration impurity regions 111c and 111d, respectively. As a result, a source / drain of an LDD structure composed of the low concentration impurity regions 107a and 107b and the high concentration impurity regions 111a to 111d is formed in the nMOS regions 112a and 112b and the pMOS regions 112c and 112d, respectively.

도 1e를 참조하면, 게이트 전극(106a 및 106b) 및 소오스/드레인(112a 내지 112d)의 상부 표면에 실리사이드층(113)을 형성한다. 이로써, 일반적인 트랜지스터가 제조된다. Referring to FIG. 1E, silicide layers 113 are formed on the top surfaces of the gate electrodes 106a and 106b and the sources / drains 112a through 112d. In this way, a general transistor is manufactured.

상기의 방법으로 트랜지스터를 제조할 때, 구동 능력은 높이고 소비 전력은 감소시키기 위하여 게이트 산화막을 두께를 낮추고 있다. 종래의 게이트 절연막으로 적용되고 있는 열 산화막은 15Å 정도까지 두께를 낮추어 형성할 수 있으나, 게이트 절연막의 낮은 물리적 두께로 인하여 열 산화막을 통한 누설 전류가 급격하게 증가되는 문제점이 발생된다. 이러한 이유로 열 산화막을 게이트 절연막에 적용하는 데에는 한계가 있다. When fabricating a transistor by the above method, the gate oxide film is made thin in order to increase driving capability and reduce power consumption. The thermal oxide film applied as a conventional gate insulating film may be formed to have a thickness lowered to about 15 mA, but the leakage current through the thermal oxide film is rapidly increased due to the low physical thickness of the gate insulating film. For this reason, there is a limit in applying the thermal oxide film to the gate insulating film.

또한, 후속 공정인 저농도 이온 주입 공정과 고농도 이온 주입 공정에서 pMOS 영역의 플로팅 게이트 전극에 주입된 보론이 후속 열처리 공정에서 게이트 산화막을 통과하여 채널 영역으로 침투해 채널 영역의 도핑 농도를 변화시키고 문턱전압을 변화시켜 소자의 신뢰성을 저하시킨다. 이로 인하여, 후속 열처리 공정의 온도를 일정 온도 이상으로 높이는데 어려움이 있다. 하지만, 일정 온도 이상으로 높이지 못하면 소오스/드레인의 접합 깊이가 감소하여 누설 전류가 증가될 수 있다. 또한, 게이트 전극 내부로 주입된 이온들이 충분하게 활성화되지 못하여 게이트 전극 내부에 불순물 농도가 감소하는 절연 영역이 발생되는데, 이로 인해 게이트 산화막의 전기적인 두께가 증가되어 문턱 전압이 높아지는 문제점이 발생될 수 있다. In addition, in the subsequent low concentration ion implantation process and the high concentration ion implantation process, boron injected into the floating gate electrode of the pMOS region penetrates into the channel region through the gate oxide layer in the subsequent heat treatment process to change the doping concentration of the channel region and the threshold voltage. To decrease the reliability of the device. Because of this, there is a difficulty in raising the temperature of the subsequent heat treatment process above a certain temperature. However, failure to increase the temperature above a certain temperature may reduce the junction depth of the source / drain and increase the leakage current. In addition, since the ions implanted into the gate electrode are not sufficiently activated, an insulating region in which the impurity concentration decreases is generated in the gate electrode, which may cause an increase in the electrical thickness of the gate oxide layer and thus increase a threshold voltage. have.

한편, nMOS 트랜지스터의 경우에는, 소오스에서 드레인으로 이동하는 전자/정공이 전계로부터 실리콘 기판과 게이트 산화막 계면의 에너지 장벽보다 높은 어너지를 얻어 게이트 산화막 내로 유입(핫 캐리어)될 수 있으며, 이로 인해 문턱 전압이 감소하고 소자의 신뢰성이 저하되는 문제점이 발생될 수 있다.On the other hand, in the case of the nMOS transistor, electrons / holes moving from the source to the drain may be introduced into the gate oxide film (hot carrier) by obtaining a higher energy than the energy barrier of the silicon substrate and the gate oxide film interface from the electric field, thereby causing a threshold voltage. This may cause a problem of reducing and decreasing the reliability of the device.

따라서, 본 발명은 상기의 문제점을 해결하기 위하여 게이트 절연막을 질화물 계열의 절연막과 하프늄이나 지르코늄이 함유된 고유전 절연막의 적층 구조로 형성함으로써, 게이트 산화막의 전기적 두께를 보다 더 감소시키면서, nMOS 트랜지스터의 경우에는 게이트 산화막 내로 유입되는 핫 캐리어에 의해 소자의 신뢰성이 저하되는 것을 방지하고, pMOS 트랜지스터의 경우에는 게이트에 주입된 불순물이 채널 영역으로 확산되는 것을 방지할 수 있는 반도체 소자의 트랜지스터 제조 방법을 제공하는데 그 목적이 있다. Therefore, in order to solve the above problems, the present invention forms a gate insulating film in a laminated structure of a nitride-based insulating film and a high dielectric insulating film containing hafnium or zirconium, thereby further reducing the electrical thickness of the gate oxide film, In this case, the reliability of the device can be prevented from being degraded by hot carriers flowing into the gate oxide film, and in the case of a pMOS transistor, a transistor manufacturing method of a semiconductor device can be prevented from diffusing into the channel region. Its purpose is to.

본 발명의 실시예에 따른 반도체 소자의 트랜지스터는 반도체 기판 상부에 소정의 패턴으로 형성된 게이트 전극과, 게이트 전극 양 가장자리의 반도체 기판에 형성된 소오스/드레인, 및 게이트 전극 및 반도체 기판 사이에 형성되며, 질화물 계열의 절연막과 하프늄 또는 지르코늄 함유 고유전 절연막의 적층 구조로 이루어진 게이트 절연막을 포함한다. A transistor of a semiconductor device according to an embodiment of the present invention is formed between a gate electrode formed in a predetermined pattern on a semiconductor substrate, a source / drain formed on a semiconductor substrate at both edges of the gate electrode, and between the gate electrode and the semiconductor substrate. And a gate insulating film formed of a laminated structure of a series insulating film and a hafnium or zirconium-containing high dielectric film.

상기에서, 질화물 계열의 절연막은 질화산화막이다. 한편, 하프늄 또는 지르코늄 함유 고유전 절연막은 하프늄 산화막 및 하프늄 실리콘 산화막의 적층 구조로 이루어지거나, 지르코늄 산화막 및 지르코늄 실리콘 산화막의 적층 구조로 이루어진다.In the above, the nitride series insulating film is a nitride oxide film. On the other hand, the hafnium or zirconium-containing high-k dielectric insulating film has a laminated structure of a hafnium oxide film and a hafnium silicon oxide film, or a laminated structure of a zirconium oxide film and a zirconium silicon oxide film.

본 발명의 다른 실시예에 따른 반도체 소자의 트랜지스터의 제조 방법은 반도체 기판에 질화물 계열의 제1 절연막을 형성하는 단계와, 제1 절연막 상부에 하프늄 또는 지르코늄을 포함하는 고유전율의 제2 절연막을 형성하는 단계와, 제2 절연막 상에 게이트 전극 물질층을 형성하는 단계와, 식각 공정으로 게이트 전극 물질층, 제2 및 제1 절연막을 패터닝하여 제1 및 제2 절연막으로 이루어진 게이트 절연막과 게이트 전극을 형성하는 단계, 및 소오스/드레인을 형성하는 단계를 포함한다. According to another aspect of the present invention, there is provided a method of manufacturing a transistor of a semiconductor device, including forming a nitride-based first insulating film on a semiconductor substrate, and forming a second insulating film having a high dielectric constant including hafnium or zirconium on the first insulating film. Forming a gate electrode material layer on the second insulating film, patterning the gate electrode material layer, the second and first insulating films by an etching process, and forming a gate insulating film and a gate electrode formed of the first and second insulating films. Forming, and forming a source / drain.

상기에서, 제1 절연막은 오존수 산화막을 형성한 후, 오존수 산화막을 플라즈마 질화처리하여 질화산화막으로 형성할 수 있다. 이때, 플라즈마 질화 처리는 상온에서 5mTorr 내지 100Torr의 압력과 100W 내지 1000W의 바이어스를 인가하고 질소 분위기로 10초 내지 1분 동안 실시하는 것이 바람직하다. In the above description, the first insulating film may be formed as an nitride oxide film by plasma-nitriding the ozone water oxide film after forming the ozone water oxide film. At this time, the plasma nitridation treatment is preferably applied at a temperature of 5mTorr to 100Torr and a bias of 100W to 1000W for 10 seconds to 1 minute in a nitrogen atmosphere.

제2 절연막은 하프늄이나 지르코늄이 함유된 제3 절연막과, 실리콘/하프늄이나 실리콘/지르코늄이 함유된 제4 절연막의 적층 구조로 형성할 수 있다. 여기서, 제3 절연막은 하프늄 산화막나 지르코늄 산화막으로 형성할 수 있으며, 원자층 증착법이나 플라즈마 증착법을 이용하여 10 내지 140Å의 두께로 형성하는 것이 바람직하다. 또한, 제4 절연막은 하프늄 실리콘 산화막나 지르코늄 실리콘 산화막으로 형성할 수 있으며, 원자층 증착법이나 플라즈마 증착법을 이용하여 2Å 이하의 두께로 형성하는 것이 바람직하다. The second insulating film can be formed in a stacked structure of a third insulating film containing hafnium or zirconium and a fourth insulating film containing silicon / hafnium or silicon / zirconium. Here, the third insulating film can be formed of a hafnium oxide film or a zirconium oxide film, and is preferably formed to a thickness of 10 to 140 kPa using an atomic layer deposition method or a plasma deposition method. The fourth insulating film can be formed of a hafnium silicon oxide film or a zirconium silicon oxide film, and is preferably formed to a thickness of 2 kPa or less using an atomic layer deposition method or a plasma deposition method.

이하, 첨부된 도면을 참조하여 본 발명의 바람직한 실시예를 설명하기로 한다. 그러나, 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 본 발명의 범위가 다음에 상술하는 실시예에 한정되는 것은 아니다. 단지 본 실시예는 본 발명의 개시가 완전하도록 하며 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명의 범위는 본원의 특허 청구 범위에 의해서 이해되어야 한다. Hereinafter, with reference to the accompanying drawings will be described a preferred embodiment of the present invention. However, the present invention is not limited to the embodiments disclosed below, but may be implemented in various forms, and the scope of the present invention is not limited to the embodiments described below. Only this embodiment is provided to complete the disclosure of the present invention and to fully inform those skilled in the art, the scope of the present invention should be understood by the claims of the present application.

한편, 어떤 막이 다른 막 또는 반도체 기판의 '상'에 있다라고 기재되는 경우에 상기 어떤 막은 상기 다른 막 또는 반도체 기판에 직접 접촉하여 존재할 수 있고, 또는 그 사이에 제3의 막이 개재되어질 수도 있다. 또한 도면에서 각 층의 두께나 크기는 설명의 편의 및 명확성을 위하여 과장되었다. 도면 상에서 동일 부호는 동일한 요소를 지칭한다.On the other hand, when a film is described as being "on" another film or semiconductor substrate, the film may exist in direct contact with the other film or semiconductor substrate, or a third film may be interposed therebetween. In the drawings, the thickness or size of each layer is exaggerated for clarity and convenience of explanation. Like numbers refer to like elements on the drawings.

도 2a 내지 도 2g는 본원 발명의 실시예에 따른 반도체 소자의 트랜지스터 제조 방법을 설명하기 위한 소자의 단면도들이다.2A to 2G are cross-sectional views of devices for describing a method of manufacturing a transistor of a semiconductor device according to an embodiment of the present invention.

도 2a를 참조하면, nMOS 트랜지스터가 형성될 nMOS 영역과 pMOS 트랜지스터가 형성될 pMOS 영역이 각각 정의된 반도체 기판(201)의 소자 분리 영역에 소자 분리막(202)을 형성한 후 이온 주입 공정으로 nMOS 영역의 반도체 기판(201)에는 p웰(203a)을 형성하고, pMOS 영역의 반도체 기판(201)에는 n웰(203b)을 각각 형성한다. 한편, p웰(203a)과 n웰(203b)에는 후속 공정에서 형성될 트랜지스터의 문턱 전압을 조절하기 위한 이온 주입 공정이 각각 실시된다. Referring to FIG. 2A, after forming an isolation layer 202 in an isolation region of a semiconductor substrate 201 in which an nMOS region in which an nMOS transistor is to be formed and a pMOS region in which a pMOS transistor is to be formed are defined, an nMOS region is formed by an ion implantation process. The p well 203a is formed in the semiconductor substrate 201 of the semiconductor substrate 201, and the n well 203b is formed in the semiconductor substrate 201 of the pMOS region, respectively. On the other hand, the p well 203a and the n well 203b are each subjected to an ion implantation process for adjusting the threshold voltage of the transistor to be formed in a subsequent process.

도 2b를 참조하면, 반도체 기판(201)에 형성된 자연 산화막이나 잔존 산화막을 암모니아수와 불산 계열의 세정액으로 제거한 후, 산화막(204)을 형성한다. 여기서, 세정 공정은 NH4OH, H2O2 및 H2O가 약 1:1:5의 비율로 혼합된 혼합 용액을 이용한 1차 세정 공정과 불산 용액을 이용한 2차 세정 공정으로 진행한다. 한편, 산화막(204)은 오존수 세정으로 오존수 산화막을 성장시켜 형성하며, 3Å 이하의 두께로 형성한다.Referring to FIG. 2B, an oxide film 204 is formed after removing the natural oxide film or the remaining oxide film formed on the semiconductor substrate 201 with ammonia water and a hydrofluoric acid-based cleaning solution. Here, the washing process proceeds to a first washing process using a mixed solution in which NH 4 OH, H 2 O 2 and H 2 O are mixed at a ratio of about 1: 1: 5, and a second washing process using a hydrofluoric acid solution. On the other hand, the oxide film 204 is formed by growing an ozone water oxide film by washing with ozone water, and having a thickness of 3 kPa or less.

도 2c를 참조하면, 산화막(도 2b의 204)을 질화산화막(205)으로 형성한다. 여기서, 질화산화막(205)은 산화막(도 2b의 204)을 질화처리하여 형성할 수 있다. 이때, 질화처리는 플라즈마 질화 방법으로 실시할 수 있으며, 플라즈마 질화 방법은 상온에서 5mTorr 내지 100Torr의 압력과 100W 내지 1000W의 바이어스를 인가하고 질소 분위기로 10초 내지 1분 동안 실시하는 것이 바람직하다. Referring to FIG. 2C, an oxide film (204 of FIG. 2B) is formed of a nitride oxide film 205. The nitride oxide film 205 can be formed by nitriding an oxide film (204 in Fig. 2B). In this case, the nitriding treatment may be performed by a plasma nitriding method, and the plasma nitriding method may be performed at a normal temperature for 10 seconds to 1 minute by applying a pressure of 5 mTorr to 100 Torr and a bias of 100 W to 1000 W.

이어서, 질화산화막(205) 상부에 고유전 절연막(206)을 형성한다. 고유전 절연막(206)은 하프늄이나 지르코늄이 함유된 절연막으로 형성할 수 있으며, 좀 더 구체적으로 예를 들면 하프늄 산화막(HfO2)나 지르코늄 산화막(ZrO2)으로 형성할 수 있다. 이때, 고유전 절연막(206)은 10 내지 140Å의 두께로 형성하며, 원자층 증착법(Automic Layer Deposition; ALD)이나 플라즈마 증착법으로 형성한다.Next, a high dielectric insulating film 206 is formed over the nitride oxide film 205. The high dielectric insulating film 206 may be formed of an insulating film containing hafnium or zirconium, and more specifically, may be formed of, for example, a hafnium oxide film (HfO 2 ) or a zirconium oxide film (ZrO 2 ). At this time, the high-k dielectric layer 206 is formed to a thickness of 10 to 140Å, and is formed by atomic layer deposition (ALD) or plasma deposition.

계속해서, 고유전 절연막(206) 상부에 실리콘 함유 고유전 절연막(207)을 형성한다. 실리콘 함유 고유전 절연막(207)은 실리콘/하프늄이나 실리콘지르코늄이 함유된 절연막으로 형성할 수 있으며, 좀 더 구체적으로 예를 들면 하프늄 실리콘산화막(HfSiO2)나 지르코늄 실리콘산화막(ZrSiO2)으로 형성할 수 있다. 이때, 실리콘 함유 고유전 절연막(207)은 2Å 이하의 두께로 형성하며, 원자층 증착법(Automic Layer Deposition; ALD)이나 플라즈마 증착법으로 형성한다.Subsequently, a silicon-containing high dielectric insulating film 207 is formed over the high dielectric insulating film 206. The silicon-containing high dielectric insulating film 207 may be formed of an insulating film containing silicon / hafnium or silicon zirconium, and more specifically, for example, a hafnium silicon oxide film (HfSiO 2 ) or a zirconium silicon oxide film (ZrSiO 2 ). Can be. In this case, the silicon-containing high-k dielectric layer 207 is formed to a thickness of 2 Å or less, and is formed by atomic layer deposition (ALD) or plasma deposition.

이로써, 질화산화막(205), 고유전 절연막(206) 및 실리콘 함유 고유전 절연막(207)의 적층 구조로 이루어진 게이트 절연막(208)이 형성된다. As a result, a gate insulating film 208 having a stacked structure of the nitride oxide film 205, the high dielectric insulating film 206, and the silicon-containing high dielectric insulating film 207 is formed.

일반적으로, 고유전 절연막은 열안정성이 좋지 않아 실리콘과 반응하여 결함을 발생시키는데, 본 발명에서는 반도체 기판(201)과 고유전 절연막(206) 사이에 경계막으로 불순물이나 핫 캐리어 침투에 대한 저항성이 우수한 플라즈마 질화산화막(205)을 형성하여 이러한 문제점을 해결할 수 있다. 또한, 실리콘 함유 고유전 절연막(207)은 고유전 절연막(206)과 후속 공정에서 형성될 게이트 전극 물질(예를 들면, 폴리실리콘) 사이의 열안정성을 확보하기 위한 경계층이다. 이로써, 고유전 절연막(206)은 하부의 반도체 기판(201)이나 상부의 게이트 전극 물질과의 열안정성을 모두 확보할 수 있다.In general, the high dielectric insulating film is poor in thermal stability and reacts with silicon to generate defects. In the present invention, the semiconductor layer 201 and the high dielectric insulating film 206 have a resistance against impurities or hot carrier penetration as a boundary film. This problem can be solved by forming an excellent plasma nitride oxide film 205. In addition, the silicon-containing high dielectric insulating film 207 is a boundary layer for securing thermal stability between the high dielectric insulating film 206 and the gate electrode material (eg, polysilicon) to be formed in a subsequent process. As a result, the high dielectric insulating film 206 can secure both thermal stability with the lower semiconductor substrate 201 and the upper gate electrode material.

도 2d를 참조하면, 게이트를 형성하기 위한 폴리실리콘층(209)을 형성한다. 이때, 폴리실리콘층(209)은 600Å 내지 2000Å의 두께로 형성한다. Referring to FIG. 2D, a polysilicon layer 209 for forming a gate is formed. At this time, the polysilicon layer 209 is formed to a thickness of 600 kPa to 2000 kPa.

도 2e를 참조하면, 식각 공정으로 폴리실리콘층(도 2d의 209) 및 게이트 절연막(208)을 패터닝하여 nMOS 영역과 pMOS 영역에 각각 게이트 산화막(208) 및 게이트 전극(210a 및 210b)을 형성한다. 이때, 식각 공정은 HBr 가스가 포함된 비등방성 건식각 방식으로 진행한다. 이후, 식각 손상을 보상해주기 위하여 산소 분위기에서 어닐링 공정을 실시할 수도 있다. Referring to FIG. 2E, a polysilicon layer (209 of FIG. 2D) and a gate insulating layer 208 are patterned by an etching process to form gate oxide layers 208 and gate electrodes 210a and 210b in the nMOS region and the pMOS region, respectively. . At this time, the etching process is performed by an anisotropic dry etching method containing HBr gas. Thereafter, the annealing process may be performed in an oxygen atmosphere to compensate for the etching damage.

이어서, LDD 구조의 소오스/드레인을 형성하기 위하여 nMOS 영역과 pMOS 영역에 소정의 패턴으로 형성된 게이트 전극(210a 및 210b) 양 가장자리의 반도체 기판(201)에 저농도 이온 주입 공정으로 저농도 불순물 영역(211a 및 211b)을 각각 형성한다. 여기서, nMOS 영역에는 n타입 불순물을 주입하여 저농도 불순물 영역(211a)을 형성하고, pMOS 영역에는 p타입 불순물을 주입하여 저농도 불순물 영역(211b)을 형성한다.Subsequently, in order to form the source / drain of the LDD structure, a low concentration impurity region 211a and a low concentration ion implantation process are applied to the semiconductor substrate 201 at both edges of the gate electrodes 210a and 210b formed in a predetermined pattern in the nMOS region and the pMOS region. 211b), respectively. Here, n-type impurities are implanted into the nMOS region to form a low concentration impurity region 211a, and p-type impurities are implanted into the pMOS region to form a low concentration impurity region 211b.

도 2f를 참조하면, 게이트 전극(210a 및 210b)의 양 측면에 절연막 스페이서를 형성하기 위한 제1 절연막(212) 및 제2 절연막(213)을 전체 상부에 순차적으로 형성한다. 이후, 전면 식각 공정으로 제1 및 제2 절연막(212 및 213)을 게이트 전극(210a 및 210b)의 양 측면에만 잔류시켜 제1 및 제2 절연막(212 및 213)으로 이루어진 절연막 스페이서(214)를 형성한다. Referring to FIG. 2F, the first insulating layer 212 and the second insulating layer 213 for sequentially forming insulating layer spacers on both side surfaces of the gate electrodes 210a and 210b are sequentially formed on the entire upper portion. Subsequently, the first and second insulating layers 212 and 213 are left only on both sides of the gate electrodes 210a and 210b by the entire surface etching process, thereby forming the insulating layer spacer 214 including the first and second insulating layers 212 and 213. Form.

상기에서, 제1 절연막(212)은 저압 실리콘 산화물(LP-TEOS)로 형성하며, 제2 절연막(213)은 실리콘 질화물(Si3N4)로 형성한다. 이때, 제1 절연막(212)은 폴실리콘층으로 이루어진 게이트 전극(210a 및 210b)과 실리콘 질화물로 이루어진 제2 절연막(213)이 직접 접촉할 경우 스트레스가 발생되는 것을 방지해주는 버퍼 산화막의 역할을 한다.In the above description, the first insulating layer 212 is formed of low pressure silicon oxide (LP-TEOS), and the second insulating layer 213 is formed of silicon nitride (Si 3 N 4 ). In this case, the first insulating film 212 serves as a buffer oxide film that prevents stress from occurring when the gate electrodes 210a and 210b made of the polysilicon layer and the second insulating film 213 made of silicon nitride directly contact each other. .

이후, 소오스/드레인을 형성하기 위하여 게이트 전극(210a 및 210b)의 양측면에 형성된 절연막 스페이서(214) 가장자리의 반도체 기판(201)에 고농도 이온 주입 공정으로 고농도 불순물 영역(215a 내지 215d)을 저농도 불순물 영역(211a 및 211b)보다 깊게 형성한다. 여기서, nMOS 영역에는 n타입 불순물을 주입하여 고농도 불순물 영역(215a 및 215b)을 형성하고, pMOS 영역에는 p타입 불순물을 주입하여 고농도 불순물 영역(215c 및 215d)을 각각 형성한다. 이로써, 저농도 불순물 영역(211a 및 211b)과 고농도 불순물 영역(215a 내지 215d)으로 이루어진 LDD 구조의 소오스/드레인(216a 및 216b)이 nMOS 영역(216a 및 216b)과 pMOS 영역(216c 및 216d)에 각각 형성된다. Thereafter, the high concentration impurity regions 215a to 215d are formed by the high concentration ion implantation process into the semiconductor substrate 201 at the edge of the insulating film spacer 214 formed on both sides of the gate electrodes 210a and 210b to form the source / drain. It is formed deeper than 211a and 211b. Here, n-type impurities are implanted into the nMOS region to form high concentration impurity regions 215a and 215b, and p-type impurities are implanted into the pMOS region to form high concentration impurity regions 215c and 215d, respectively. Thus, the source / drain 216a and 216b of the LDD structure including the low concentration impurity regions 211a and 211b and the high concentration impurity regions 215a to 215d are formed in the nMOS regions 216a and 216b and the pMOS regions 216c and 216d, respectively. Is formed.

도 2g를 참조하면, 게이트 전극(210a 및 210b) 및 소오스/드레인(216a 내지 216d)의 상부 표면에 접촉 저항을 낮추기 위하여 실리사이드층(217)을 형성한다.Referring to FIG. 2G, silicide layers 217 are formed on upper surfaces of the gate electrodes 210a and 210b and the source / drains 216a to 216d to lower contact resistance.

실리사이드층(217)을 형성하는 방법을 설명하면 다음과 같다. 먼저, 게이트 전극(210a 및 210b) 및 소오스/드레인(216a 내지 216d) 표면의 자연 산화막을 제거하고 전체 상부에 금속층(도시되지 않음) 및 캡핑층(도시되지 않음)을 순차적으로 형성한 후 1차 열처리 공정으로 게이트 전극(210a 및 210b) 및 소오스/드레인(216a 내지 216d)의 실리콘 성분과 금속층의 금속 성분을 반응시켜 실리사이드층(217)을 형성한다. 이후, 캡핑층과 미반응 금속층을 제거한 후 2차 열처리 공정을 실시하여 실리사이드층(217)의 막질을 향상시킨다. A method of forming the silicide layer 217 will be described below. First, the natural oxide film on the surfaces of the gate electrodes 210a and 210b and the source / drains 216a to 216d are removed, and then a metal layer (not shown) and a capping layer (not shown) are sequentially formed on the entire upper portion. The silicide layer 217 is formed by reacting the silicon components of the gate electrodes 210a and 210b and the sources / drains 216a to 216d with the metal components of the metal layer by a heat treatment process. Thereafter, after removing the capping layer and the unreacted metal layer, a second heat treatment process is performed to improve the quality of the silicide layer 217.

이로써, 질화산화막(205) 및 고유전 절연막(206 및 207)의 적층 구조로 이루어진 게이트 절연막(208)을 포함하는 트랜지스터가 제조된다. As a result, a transistor including a gate insulating film 208 having a laminated structure of the nitride oxide film 205 and the high dielectric insulating films 206 and 207 is manufactured.

도 3은 게이트 산화막에 따라 누설 전류 특성을 비교한 특성 그래프이다.3 is a characteristic graph comparing leakage current characteristics according to a gate oxide film.

도 3을 참조하면, 동일한 두께에서 열산화막에 비하여 고유전 절연막(HfO2, ZrO2)의 누설 전류 특성이 더 우수한 것을 알 수 있다. 따라서, 본 발명은 게이트 산화막을 질화산화막 및 고유전 절연막의 적층 구조로 형성함으로써, 보다 더 우수한 누설 전류 특성을 얻을 수 있다.Referring to FIG. 3, it can be seen that the leakage current characteristics of the high-k dielectric films HfO 2 and ZrO 2 are superior to the thermal oxide film at the same thickness. Accordingly, in the present invention, even better leakage current characteristics can be obtained by forming the gate oxide film in a stacked structure of a nitride oxide film and a high dielectric insulating film.

상술한 바와 같이, 본 발명은 본 발명은 게이트 산화막을 질화산화막/하프늄 또는 지르코늄 함유 산화막의 적층 구조로 형성함으로써, 게이트 산화막의 전기적 두께를 보다 더 감소시키면서, 게이트 절연막을 통한 누설 전류를 감소시킬 수 있고, nMOS 트랜지스터의 경우에는 게이트 산화막 내로 유입되는 핫 캐리어에 의해 소자의 신뢰성이 저하되는 것을 방지하고, pMOS 트랜지스터의 경우에는 게이트에 주입된 불순물이 채널 영역으로 확산되는 것을 방지할 수 있다. As described above, the present invention is to form a gate oxide film in a laminated structure of oxide oxide / hafnium or zirconium-containing oxide film, it is possible to reduce the leakage current through the gate insulating film while further reducing the electrical thickness of the gate oxide film In the case of the nMOS transistor, the reliability of the device can be prevented from being deteriorated by the hot carrier flowing into the gate oxide film, and in the case of the pMOS transistor, the impurities injected into the gate can be prevented from diffusing into the channel region.

또한, 이를 통해, 후속 열 공정을 충분히 높은 온도에서 실시할 수 있기 때문에, 소오스/드레인을 깊게 형성할 수 있어 누설 전류를 최소화할 수 있다. 그리고, 게이트 전극 내부로 주입된 이온들을 충분히 활성화시킬 수 있어 게이트 전극 내부에 불순물 농도가 감소하는 절연 영역이 발생되는 것을 방지할 수 있으며, 이로 인해 게이트 산화막의 전기적인 두께가 증가되어 문턱 전압이 높아지는 문제점을 해결할 수 있다. In addition, this allows subsequent thermal processes to be carried out at sufficiently high temperatures so that the source / drain can be deeply formed to minimize leakage currents. In addition, it is possible to sufficiently activate the ions implanted into the gate electrode to prevent the generation of an insulating region in which the impurity concentration is reduced inside the gate electrode, thereby increasing the electrical thickness of the gate oxide film to increase the threshold voltage The problem can be solved.

도 1a 내지 도 1e는 종래 기술에 따른 반도체 소자의 트랜지스터 제조 방법을 설명하기 위한 소자의 단면도들이다. 1A to 1E are cross-sectional views of devices for describing a method of manufacturing a transistor of a semiconductor device according to the prior art.

도 2a 내지 도 2g는 본 발명의 실시예에 따른 반도체 소자의 트랜지스터 그 제조 방법을 설명하기 위한 소자의 단면도들이다. 2A to 2G are cross-sectional views of devices for describing a method of manufacturing a transistor of a semiconductor device according to an embodiment of the present invention.

도 3은 게이트 산화막에 따라 누설 전류 특성을 비교한 특성 그래프이다.3 is a characteristic graph comparing leakage current characteristics according to a gate oxide film.

<도면의 주요 부분에 대한 부호의 설명><Explanation of symbols for main parts of the drawings>

101, 201 : 반도체 기판 102, 202 : 소자 분리막101, 201: semiconductor substrate 102, 202: device isolation film

103a, 203a : p웰 103b, 203b : n웰103a, 203a: p well 103b, 203b: n well

204 : 산화막 205 : 질화산화막204: oxide film 205: nitride oxide film

206 : 고유전 절연막 207 : 실리콘 함유 고유전 절연막206: high dielectric insulating film 207: silicon-containing high dielectric insulating film

104, 208 : 게이트 절연막 105, 209 : 폴리실리콘층104, 208: gate insulating film 105, 209: polysilicon layer

106a, 106b, 210a, 210b : 게이트 전극106a, 106b, 210a, 210b: gate electrode

107a, 107b, 211a, 211b : 저농도 불순물 영역107a, 107b, 211a, 211b: low concentration impurity regions

108, 212 : 버퍼 산화막 109, 213 : 질화막108, 212: buffer oxide film 109, 213: nitride film

110, 214 : 절연막 스페이서 113, 217 : 실리사이드층110, 214: insulating film spacer 113, 217: silicide layer

111a, 111b, 111c, 111d, 215a, 215b, 215c, 215d : 고농도 불순물 영역111a, 111b, 111c, 111d, 215a, 215b, 215c, 215d: high concentration impurity regions

112a/112b, 216a/216b : N형 소오스/드레인112a / 112b, 216a / 216b: N-type source / drain

112c/112d, 216c/216d : P형 소오스/드레인112c / 112d, 216c / 216d: P type source / drain

Claims (11)

반도체 기판 상부에 소정의 패턴으로 형성된 게이트 전극;A gate electrode formed in a predetermined pattern on the semiconductor substrate; 상기 게이트 전극 양 가장자리의 상기 반도체 기판에 형성된 소오스/드레인; 및 Source / drain formed on the semiconductor substrate at both edges of the gate electrode; And 상기 게이트 전극 및 상기 반도체 기판 사이에 형성되며, 플라즈마처리되어 형성된 질화산화막, 하프늄 또는 지르코늄 함유 제1 고유전 절연막 및 실리콘하프늄 또는 실리콘지르코늄 함유 제2 고유전 절연막의 적층 구조로 이루어진 게이트 절연막을 포함하는 것을 특징으로 하는 반도체 소자의 트랜지스터.A gate insulating film formed between the gate electrode and the semiconductor substrate, the gate insulating film including a stacked structure of a nitride oxide film, a hafnium or zirconium-containing first high dielectric insulating film, and a silicon hafnium or silicon zirconium-containing second high dielectric insulating film; A transistor of a semiconductor device, characterized in that. 삭제delete 제 1항에 있어서,The method of claim 1, 상기 게이트 절연막은 질화막, 하프늄 산화막 및 하프늄 실리콘 산화막이 적층되어 형성되거나, 질화막, 지르코늄 산화막 및 지르코늄 실리콘 산화막이 적층되어 형성된 것을 특징으로 하는 반도체 소자의 트랜지스터.And the gate insulating film is formed by stacking a nitride film, a hafnium oxide film, and a hafnium silicon oxide film, or a stack of a nitride film, a zirconium oxide film, and a zirconium silicon oxide film. 반도체 기판에 오존수 산화막을 형성한 후, 상기 오존수 산화막을 플라즈마 질화처리하여 질화산화막인 제1 절연막을 형성하는 단계;Forming an ozone water oxide film on the semiconductor substrate and then plasma-nitriding the ozone water oxide film to form a first insulating film which is a nitride oxide film; 상기 제1 절연막 상부에 하프늄 또는 지르코늄 함유 고유전율의 절연막 및 실리콘하프늄 또는 실리콘지르코늄 함유 고유전율의 절연막이 적층 형성된 제2 절연막을 형성하는 단계; Forming a second insulating film on which the insulating film of hafnium or zirconium-containing high dielectric constant and the insulating film of silicon hafnium or silicon zirconium-containing high dielectric constant are stacked on the first insulating film; 상기 제2 절연막 상에 게이트 전극 물질층을 형성하는 단계;Forming a gate electrode material layer on the second insulating film; 식각 공정으로 상기 게이트 전극 물질층, 상기 제2 및 제1 절연막을 패터닝하여 상기 제1 및 제2 절연막으로 이루어진 게이트 절연막과 게이트 전극을 형성하는 단계; 및 Patterning the gate electrode material layer, the second and first insulating layers by an etching process to form a gate insulating layer and a gate electrode formed of the first and second insulating layers; And 소오스/드레인을 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.And forming a source / drain. 삭제delete 제 4 항에 있어서,The method of claim 4, wherein 상기 플라즈마 질화 처리는 상온에서 5mTorr 내지 100Torr의 압력과 100W 내지 1000W의 바이어스를 인가하고 질소 분위기로 10초 내지 1분 동안 실시하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.The plasma nitridation treatment is a transistor manufacturing method of a semiconductor device, characterized in that the pressure is applied at a temperature of 5mTorr to 100Torr and a bias of 100W to 1000W for 10 seconds to 1 minute in a nitrogen atmosphere. 삭제delete 제 4 항에 있어서, 상기 하프늄 또는 지르코늄 함유 고유전율의 절연막은The insulating film of claim 4, wherein the hafnium or zirconium-containing high dielectric constant 하프늄 산화막이나 지르코늄 산화막으로 형성하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.A hafnium oxide film or a zirconium oxide film, the transistor manufacturing method of the semiconductor element characterized by the above-mentioned. 제 8 항에 있어서, 상기 하프늄 또는 지르코늄 함유 고유전율의 절연막은 The method of claim 8, wherein the hafnium or zirconium-containing high dielectric constant insulating film 10 내지 140Å의 두께로 형성하며, 원자층 증착법이나 플라즈마 증착법으로 형성하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.It is formed in the thickness of 10-140 micrometers, and is formed by the atomic layer deposition method or the plasma deposition method. The transistor manufacturing method of the semiconductor element characterized by the above-mentioned. 제 4 항에 있어서, 상기 실리콘하프늄 또는 실리콘지르코늄 함유 고유전율의 절연막은 The insulating film of claim 4, wherein the silicon hafnium or silicon zirconium-containing high dielectric constant 하프늄 실리콘 산화막이나 지르코늄 실리콘 산화막으로 형성하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.A hafnium silicon oxide film or a zirconium silicon oxide film, the transistor manufacturing method of the semiconductor element characterized by the above-mentioned. 제 10 항에 있어서, 상기 실리콘하프늄 또는 실리콘지르코늄 함유 고유전율의 절연막은 The insulating film of claim 10, wherein the silicon hafnium or silicon zirconium-containing high dielectric constant 2Å 이하의 두께로 형성하며, 원자층 증착법이나 플라즈마 증착법으로 형성하는 것을 특징으로 하는 반도체 소자의 트랜지스터 제조 방법.A method of manufacturing a transistor of a semiconductor device, characterized in that it is formed with a thickness of 2 GHz or less and formed by atomic layer deposition or plasma deposition.
KR10-2003-0044940A 2003-07-03 2003-07-03 Transistor in a semiconductor device and a method of manufacturing the same KR100539159B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR10-2003-0044940A KR100539159B1 (en) 2003-07-03 2003-07-03 Transistor in a semiconductor device and a method of manufacturing the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR10-2003-0044940A KR100539159B1 (en) 2003-07-03 2003-07-03 Transistor in a semiconductor device and a method of manufacturing the same

Publications (2)

Publication Number Publication Date
KR20050004674A KR20050004674A (en) 2005-01-12
KR100539159B1 true KR100539159B1 (en) 2005-12-26

Family

ID=37219309

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2003-0044940A KR100539159B1 (en) 2003-07-03 2003-07-03 Transistor in a semiconductor device and a method of manufacturing the same

Country Status (1)

Country Link
KR (1) KR100539159B1 (en)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100754012B1 (en) * 2006-09-04 2007-09-03 포항공과대학교 산학협력단 Method for forming high dielectric thin layer
KR100920553B1 (en) * 2007-11-28 2009-10-08 주식회사 동부하이텍 Method for fabricating semiconductor device

Also Published As

Publication number Publication date
KR20050004674A (en) 2005-01-12

Similar Documents

Publication Publication Date Title
KR100440263B1 (en) Transistor in a semiconductor device and a method of manufacturing the same
JP4271920B2 (en) Semiconductor device CMOS and method of manufacturing the same
KR100839359B1 (en) Method for manufacturing pmos transistor and method for manufacturing cmos transistor
JP2004158487A (en) Method of manufacturing semiconductor device
KR100473735B1 (en) Method of manufacturing a semiconductor device
JP2009252895A (en) Semiconductor device and method of manufacturing the same
JP4040602B2 (en) Semiconductor device
JP2005158998A (en) Manufacturing method of semiconductor device
KR100540341B1 (en) Fabricating method of semiconductor device
KR100539159B1 (en) Transistor in a semiconductor device and a method of manufacturing the same
KR100945648B1 (en) Transistor in a semiconductor device and a method of manufacturing the same
KR100507377B1 (en) Method of manufacturing a semiconductor device
KR20030034956A (en) method for manufacturing of semiconductor device
KR100940440B1 (en) Method of manufacturing a semiconductor device
KR100607818B1 (en) Method of manufacturing a transistor in a semiconductor device
KR100486825B1 (en) Method of manufacturing a semiconductor device
KR100557631B1 (en) A method for forming a transistor of a semiconductor device
KR100806136B1 (en) Method for fabricating semiconductor device having meta-gate electrode
KR20050004676A (en) Method of manufacturing a transistor in a semiconductor device
KR20030048214A (en) Method for fabricating semiconductor device having dual metal gate electrode
KR100412141B1 (en) Method for forming gate electrode in semiconductor device
KR100548525B1 (en) Method for manufacturing transistor of semiconductor device
KR101006511B1 (en) Method of manufacturing semiconductor device
KR100629169B1 (en) Method for manufacturing a semiconductor device
KR100481396B1 (en) Method of manufacturing a semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20121121

Year of fee payment: 8

FPAY Annual fee payment

Payment date: 20131118

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20141119

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20151118

Year of fee payment: 11

FPAY Annual fee payment

Payment date: 20161118

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20171117

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20181120

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20191119

Year of fee payment: 15