KR100640955B1 - 반도체 소자의 금속배선 및 그 형성방법 - Google Patents

반도체 소자의 금속배선 및 그 형성방법 Download PDF

Info

Publication number
KR100640955B1
KR100640955B1 KR1020040115517A KR20040115517A KR100640955B1 KR 100640955 B1 KR100640955 B1 KR 100640955B1 KR 1020040115517 A KR1020040115517 A KR 1020040115517A KR 20040115517 A KR20040115517 A KR 20040115517A KR 100640955 B1 KR100640955 B1 KR 100640955B1
Authority
KR
South Korea
Prior art keywords
layer
interlayer insulating
insulating film
film
forming
Prior art date
Application number
KR1020040115517A
Other languages
English (en)
Other versions
KR20060076898A (ko
Inventor
한재원
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020040115517A priority Critical patent/KR100640955B1/ko
Priority to US11/296,477 priority patent/US7397122B2/en
Publication of KR20060076898A publication Critical patent/KR20060076898A/ko
Application granted granted Critical
Publication of KR100640955B1 publication Critical patent/KR100640955B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

본 발명은 제1절연층 및 제2절연층; 상기 제1절연층 및 제2절연층 사이에 형성되며 소정 형상의 홀이 형성된 층간절연막; 상기 층간절연막의 홀에 순서대로 형성된 배리어 금속층, 구리 씨드층, 및 구리층; 상기 층간절연막 및 제2절연층 사이에 형성된 캡핑층을 포함하여 구성되며, 이때, 상기 캡핑층은 음전하로 대전된 절연물질로 이루어진 것을 특징으로 하는 반도체 소자의 금속배선 및 그 형성방법에 관한 것으로서,
본 발명에 따르면, 층간절연막 및 제2절연층 사이에 형성되는 캡핑층을 음전하로 대전된 절연물질로 형성함으로써, 캡핑층과 구리 사이의 계면에서 EM 특성이 향상된다.
EM, 캡핑층

Description

반도체 소자의 금속배선 및 그 형성방법{metal line for semiconductor and method of forming the same}
도 1은 종래 듀얼 다마신을 이용한 금속배선의 단면도이다.
도 2a 내지 도 2j는 본 발명의 제1실시예에 따른 반도체 소자의 금속배선을 형성하는 공정단면도이다.
도 3a 내지 도 3f는 본 발명의 제2실시예에 따른 반도체 소자의 금속배선을 형성하는 공정단면도이다.
<도면의 주요부의 부호에 대한 설명>
100: 제1절연층 200: 제1층간절연막, 층간절연막
250: 제2층간절연막 300: 배리어금속층
320: 구리씨드층 340: 구리층
400: 캡핑층 500: 제2절연층
본 발명은 반도체 소자의 금속배선에 관한 것으로서, 특히 EM 특성이 향상된 금속 배선에 관한 것이다.
종래에는 반도체 소자의 금속배선으로 알루미늄이 주로 사용되었다. 그 이유는 전기전도성이 좋고, 산화막과의 접착력이 뛰어날 뿐만 아니라 성형하기 쉽기 때문이다. 그러나, 상기 알루미늄은 전기적 물질이동, 힐록(Hillock) 및 스파이크(Spike) 등의 문제점을 가지고 있어 그 사용에 한계가 있었다.
따라서, 전도성이 우수한 물질인 구리(Cu), 금(Au), 은(Ag), 코발트(Co), 크롬(Cr), 니켈(Ni) 등을 대상으로 금속배선의 대체 재료에 대한 연구가 진행되었고, 이러한 물질들 중 비저항이 작고, 신뢰성이 우수하며, 생산원가가 저렴한 구리가 현재 널리 사용되고 있다.
그런데, 구리는 패터닝하기가 어려워 구리를 이용하여 종래의 방법으로 금속배선을 형성하는데는 어려움이 있다. 따라서, 절연막에 트렌치(trench)를 형성한 후 구리를 매립하여 배선층을 형성하는 소위 다마신 방법을 이용하고 있는데, 그 중에서 트렌치(trench)와 비아홀(via hole)을 함께 형성하는 듀얼 다마신이 주로 이용되고 있다.
이하, 도면을 참조로 종래 듀얼 다마신을 이용한 금속배선에 대해서 설명하기로 한다.
도 1은 종래 듀얼 다마신을 이용한 금속배선의 단면도이다.
도 1에서 알 수 있듯이, 제1절연층(10) 상에는 층간절연막(20)이 형성되어 있다. 상기 층간절연막(20)은 비아홀 및 비아홀보다 폭이 넓은 트렌치가 형성되어 있다.
그리고, 층간절연막(20)의 비아홀과 트렌치에는 배리어 금속층(30), 구리 씨 드층(32), 및 구리층(34)이 순서대로 형성되어 있다.
그리고, 전면에 캡핑층(40)이 형성되어 있고, 상기 캡핑층(40) 위에 제2절연층(50)이 형성되어 있다.
여기서, 상기 캡핑층(40)은 주로 산화막이나 질화막 등의 절연막으로 이루어져있다.
그러나, 이와 같은 종래의 다마신을 이용한 금속배선은 상기 배리어 금속층(30)과 구리(32, 34)의 계면(A 영역)에서의 저항보다 캡핑층(40)과 구리(32, 34)의 계면(B 영역)에서의 저항이 높게 된다. 따라서, 전류 흐름의 차이가 발생되고, 캡핑층(40)과 구리 (32, 34)의 계면에서 EM(electro migration) 특성이 저하되는 단점이 있다.
본 발명은 상기 종래의 문제점을 해결하기 위해서 고안된 것으로서, 본 발명은 캡핑층과 구리의 계면에서 EM 특성이 향상된 금속 배선 및 그 제조방법을 제공하는 것을 목적으로 한다.
본 발명은 상기 목적을 달성하기 위해서, 제1절연층 및 제2절연층; 상기 제1절연층 및 제2절연층 사이에 형성되며 소정 형상의 홀이 형성된 층간절연막; 상기 층간절연막의 홀에 순서대로 형성된 배리어 금속층, 구리 씨드층, 및 구리층; 상기 층간절연막 및 제2절연층 사이에 형성된 캡핑층을 포함하여 구성되며, 이때, 상기 캡핑층은 음전하로 대전된 절연물질로 이루어진 것을 특징으로 하는 반도체 소자의 금속배선을 제공한다.
즉, 본 발명은 층간절연막 및 제2절연층 사이에 형성되는 캡핑층을 음전하로 대전된 절연물질로 형성함으로써, 캡핑층과 구리 사이의 계면에서 EM 특성이 향상되도록 한 것이다.
보다 구체적으로 설명하면, 구리 배선 내의 자유전자들은 대전된 절연물질로 이루어진 캡핑층으로부터 척력의 정전기력을 받아 캡핑층으로부터 멀어진다. 따라서 구리와 캡핑층 계면으로 흐르는 전류는 거의 없고 대부분의 전류는 배리어 금속과 구리 사이의 계면이나 또는 구리의 그레인 경계(Grain Boundary)로만 흐르게 되어 EM 특성이 향상된다.
이때, 상기 층간절연막의 홀은 비아홀 및 트렌치로 이루어져 듀얼 다마신 구조를 이룰 수도 있고, 트렌치로 이루어져 싱글 다마신 구조를 이룰 수도 있다.
또한, 상기 캡핑층은 음전하로 대전된 산화막 또는 질화막인 것이 바람직하다.
본 발명은 또한 상기 층간절연막 및 제2절연층 사이에 음전하로 대전된 절연물질로 이루어진 캡핑층을 형성하여 캡핑층과 구리 사이의 계면에서 EM 특성이 향상되는 반도체소자의 금속배선 형성방법을 제공한다.
이하, 첨부된 도면을 참고로 본 발명의 바람직한 실시예에 대해서 설명하기로 한다.
제1실시예
도 2a 내지 도 2j는 본 발명의 제1실시예에 따른 반도체 소자의 금속배선을 형성하는 공정단면도이다. 본 발명의 제1실시예는 비아홀 및 트렌치가 형성된 듀얼 다마신 구조에 관한 것이다.
우선, 도 2a와 같이, 제1절연층(100) 상에 제1층간절연막(200) 및 제2층간절연막(250)을 차례로 형성한다.
그리고, 상기 제2층간절연막(250) 상에 비아홀 형상으로 패터닝된 제1감광막(600)을 형성한다.
상기 제1절연층(100), 제1층간절연막(200) 및 제2층간절연막(250)의 재료 및 형성방법은 당업자에게 공지된 방법에 의해 다양하게 변경될 수 있다.
상기 제1감광막(200)은 광조사 및 현상으로 구성된 포토리소그래피 공정으로 형성하는 것이 바람직하다.
그 후, 도 2b와 같이, 상기 제1감광막(600)을 마스크로 하여 제2층간절연막(250)을 식각한다.
식각에 의해 비아홀 형상으로 제2층간절연막(250)이 식각된다.
그 후, 도 2c와 같이, 상기 제1감광막(600)을 애쉬처리하여 제거한다.
상기 제1감광막(600)의 애쉬처리는 산소플라즈마를 이용하는 것이 바람직하다.
그 후, 도 2d와 같이, 상기 제2층간절연막(250) 상에 트렌치 형상으로 패터닝된 제2감광막(650)을 형성한다.
상기 제2감광막(650)은 상기 제1감광막(600)과 마찬가지로 포토리소그래피 공정으로 형성하는 것이 바람직하다.
상기 트렌치 형상은 상기 비아홀 형상에 비해 그 폭이 크게 형성된다.
그 후, 도 2e와 같이, 상기 제2감광막(650)을 마스크로 하여, 상기 제2층간절연막(250) 및 제1층간절연막(200)을 식각한다.
식각에 의해 상기 제2층간절연막(250)에는 트렌치가 형성되고, 상기 제1층간절연막(200)에는 비아홀이 형성되게 된다.
그 후, 도 2f와 같이, 상기 제2감광막(650)을 애쉬처리하여 제거한다.
상기 제2감광막(650)의 애쉬처리는 산소플라즈마를 이용하는 것이 바람직하다.
그 후, 도 2g와 같이, 상기 비아홀 및 트렌치가 형성된 제1층간절연막(200) 및 제2층간절연막(250) 전면에 배리어금속층(300), 구리 씨드층(320), 및 구리층(340)을 연속해서 형성한다.
상기 배리어금속층(300)은 탄탈, 질화탄탈, 티타늄 또는 질화티타늄으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
상기 구리층(350)은 전기도금법을 이용하여 형성할 수 있으나, 이에 한정되는 것은 아니다.
그 후, 도 2h와 같이, 상기 제2층간절연막(250) 상부의 배리어금속층(300), 구리 씨드층(320), 및 구리층(340)을 제거한다.
상기 제거공정은 화학적인 제거가공과 기계적인 제거가공을 하나의 가공방법으로 혼합한 CMP(Chemical Mechanical Polishing)방법을 이용하는 것이 바람직하다.
그 후, 도 2i와 같이, 상기 제2층간절연막(250)을 포함한 전면에 음전하로 대전된 절연물질로 이루어진 캡핑층(400)을 형성한다.
상기 캡핑층(400)은 절연물질층을 형성한 후 절연물질층을 음전하로 대전하여 형성할 수도 있고, 음전하로 대전된 절연물질을 제조한 후 상기 제2층간절연막(250)을 포함한 전면에 그 물질을 형성할 수도 있다.
그 후, 도 2j와 같이, 상기 캡핑층(400) 위에 제2절연층(500)을 형성하여 반도체 소자의 금속배선을 완성한다.
본 발명은 이와 같이 도 2a 내지 도 2j에 따라 제조된 반도체 소자의 금속배선을 제공한다. 즉, 도 2j에서 알 수 있듯이, 제1절연층(100) 및 제2절연층(500); 상기 제1절연층(100) 및 제2절연층(500) 사이에 형성되며 비아홀이 형성된 제1층간절연막(200) 및 트렌치가 형성된 제2층간절연막(250); 상기 제1 및 제2층간절연막(200, 250)의 비아홀 및 트렌치에 순서대로 형성된 배리어 금속층(300), 구리 씨드층(320), 및 구리층(340); 상기 제2층간절연막(250) 및 제2절연층(500) 사이에 형성되며, 음전하로 대전된 캡핑층(400)을 포함하여 구성되는 반도체 소자의 금속배선을 제공한다.
제2실시예
도 3a 내지 도 3f는 본 발명의 제2실시예에 따른 반도체 소자의 금속배선을 형성하는 공정단면도이다. 본 발명의 제2실시예는 트렌치가 형성된 싱글 다마신 구조에 관한 것이다.
우선, 도 3a와 같이, 제1절연층(100) 상에 층간절연막(200)을 형성한다.
그리고, 상기 층간절연막(200) 상에 트렌치 형상으로 패터닝된 감광막(600)을 형성한다.
상기 제1절연층(100), 층간절연막(200)의 재료 및 형성방법은 당업자에게 공지된 방법에 의해 다양하게 변경될 수 있다.
상기 감광막(600)은 광조사 및 현상으로 구성된 포토리소그래피 공정으로 형성하는 것이 바람직하다.
그 후, 도 3b와 같이, 상기 감광막(600)을 마스크로 하여 층간절연막(200)을 식각한다. 식각에 의해 트렌치 형상으로 층간절연막(200)이 식각된다.
그리고, 상기 감광막(600)을 애쉬처리하여 제거한다. 상기 감광막(600)의 애쉬처리는 산소플라즈마를 이용하는 것이 바람직하다.
그 후, 도 3c와 같이, 상기 트렌치가 형성된 층간절연막(200) 전면에 배리어금속층(300), 구리 씨드층(320), 및 구리층(340)을 연속해서 형성한다.
상기 배리어금속층(300)은 탄탈, 질화탄탈, 티타늄 또는 질화티타늄으로 이루어질 수 있으나, 이에 한정되는 것은 아니다.
상기 구리층(350)은 전기도금법을 이용하여 형성할 수 있으나, 이에 한정되는 것은 아니다.
그 후, 도 3d와 같이, 상기 층간절연막(200) 상부의 배리어금속층(300), 구리 씨드층(320), 및 구리층(340)을 제거한다.
상기 제거공정은 화학적인 제거가공과 기계적인 제거가공을 하나의 가공방법 으로 혼합한 CMP(Chemical Mechanical Polishing)방법을 이용하는 것이 바람직하다.
그 후, 도 3e와 같이, 상기 층간절연막(200)을 포함한 전면에 음전하로 대전된 절연물질로 이루어진 캡핑층(400)을 형성한다.
상기 캡핑층(400)은 절연물질층을 형성한 후 절연물질층을 음전하로 대전하여 형성할 수도 있고, 음전하로 대전된 절연물질을 제조한 후 상기 제2층간절연막(250)을 포함한 전면에 그 물질을 형성할 수도 있다.
그 후, 도 3f와 같이, 상기 캡핑층(400) 위에 제2절연층(500)을 형성하여 반도체 소자의 금속배선을 완성한다.
본 발명은 이와 같이 도 3a 내지 도 3f에 따라 제조된 반도체 소자의 금속배선을 제공한다. 즉, 도 3f에서 알 수 있듯이, 제1절연층(100) 및 제2절연층(500); 상기 제1절연층(100) 및 제2절연층(500) 사이에 형성되며 트렌치가 형성된 층간절연막(200); 상기 층간절연막(200)의 트렌치에 순서대로 형성된 배리어 금속층(300), 구리 씨드층(320), 및 구리층(340); 상기 층간절연막(200) 및 제2절연층(500) 사이에 형성되며, 음전하로 대전된 캡핑층(400)을 포함하여 구성되는 반도체 소자의 금속배선을 제공한다.
이상 본 발명의 바람직한 실시예에 대해서 설명하였는데, 본 발명이 상기 실시예에 한정되는 것은 아니고, 당업자에게 자명한 범위 내에서 변경될 수 있을 것이다.
상기 구성에 의한 본 발명에 따르면, 층간절연막 및 제2절연층 사이에 형성되는 캡핑층을 음전하로 대전된 절연물질로 형성함으로써, 캡핑층과 구리 사이의 계면에서 EM 특성이 향상된다.

Claims (8)

  1. 제1절연층 및 제2절연층;
    상기 제1절연층 및 제2절연층 사이에 형성되며 소정 형상의 홀이 형성된 층간절연막;
    상기 층간절연막의 홀에 순서대로 형성된 배리어 금속층, 구리 씨드층, 및 구리층;
    상기 층간절연막 및 제2절연층 사이에 형성된 캡핑층을 포함하여 구성되며,
    이때, 상기 캡핑층은 음전하로 대전된 절연물질로 이루어진 것을 특징으로 하는 반도체 소자의 금속배선.
  2. 제1항에 있어서, 상기 홀은 트렌치로 이루어진 것을 특징으로 하는 반도체 소자의 금속배선.
  3. 제1항에 있어서, 상기 홀은 비아홀 및 트렌치로 이루어진 것을 특징으로 하는 반도체 소자의 금속배선.
  4. 제1항에 있어서, 상기 캡핑층은 음전하로 대전된 산화막 또는 질화막인 것을 특징으로 하는 반도체 소자의 금속배선.
  5. 제1절연층 상에 제1층간절연막 및 제2층간절연막을 차례로 형성하는 공정;
    상기 제2층간절연막 상에 비아홀 형상으로 패터닝된 제1감광막을 형성하는 공정;
    상기 제1감광막을 마스크로 하여 제2층간절연막을 식각하는 공정;
    상기 제1감광막을 애쉬처리하여 제거하는 공정;
    상기 제2층간절연막 상에 트렌치 형상으로 패터닝된 제2감광막을 형성하는 공정;
    상기 제2감광막을 마스크로 하여, 상기 제2층간절연막 및 제1층간절연막을 식각하는 공정; 및
    상기 제2감광막을 애쉬처리하여 제거하는 공정;
    상기 비아홀 및 트렌치가 형성된 제1층간절연막 및 제2층간절연막 전면에 배리어금속층, 구리 씨드층, 및 구리층을 연속해서 형성하는 공정;
    상기 제2층간절연막 상부의 배리어금속층, 구리 씨드층, 및 구리층을 제거하는 공정;
    상기 제2층간절연막을 포함한 전면에 음전하로 대전된 절연물질로 이루어진 캡핑층을 형성하는 공정; 및
    상기 캡핑층 위에 제2절연층을 형성하는 공정을 포함하여 이루어진 반도체 소자의 금속배선 형성방법.
  6. 제1절연층 상에 층간절연막을 형성하는 공정;
    상기 층간절연막 상에 트렌치 형상으로 패터닝된 감광막을 형성하는 공정;
    상기 감광막을 마스크로 하여 상기 층간절연막을 식각하여 트렌치를 형성하는 공정;
    상기 제1감광막을 애쉬처리하여 제거하는 공정;
    상기 트렌치가 형성된 층간절연막 전면에 배리어금속층, 구리 씨드층, 및 구리층을 연속해서 형성하는 공정;
    상기 층간절연막 상부의 배리어금속층, 구리 씨드층, 및 구리층을 제거하는 공정;
    상기 층간절연막을 포함한 전면에 음전하로 대전된 절연물질로 이루어진 캡핑층을 형성하는 공정; 및
    상기 캡핑층 위에 제2절연층을 형성하는 공정을 포함하여 이루어진 반도체 소자의 금속배선 형성방법.
  7. 제5항 또는 제6항에 있어서,
    상기 음전하로 대전된 절연물질로 이루어진 캡핑층을 형성하는 공정은 절연물질층을 형성한 후 절연물질층을 음전하로 대전하는 공정으로 이루어진 것을 특징으로 하는 금속배선 형성방법.
  8. 제5항 또는 제6항에 있어서,
    상기 음전하로 대전된 절연물질로 이루어진 캡핑층을 형성하는 공정은 음전 하로 대전된 절연물질을 제조한 후 상기 층간절연막을 포함한 전면에 상기 절연물질을 형성하는 것을 특징으로 하는 금속배선 형성방법.
KR1020040115517A 2004-12-29 2004-12-29 반도체 소자의 금속배선 및 그 형성방법 KR100640955B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020040115517A KR100640955B1 (ko) 2004-12-29 2004-12-29 반도체 소자의 금속배선 및 그 형성방법
US11/296,477 US7397122B2 (en) 2004-12-29 2005-12-08 Metal wiring for semiconductor device and method for forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020040115517A KR100640955B1 (ko) 2004-12-29 2004-12-29 반도체 소자의 금속배선 및 그 형성방법

Publications (2)

Publication Number Publication Date
KR20060076898A KR20060076898A (ko) 2006-07-05
KR100640955B1 true KR100640955B1 (ko) 2006-11-02

Family

ID=36612282

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020040115517A KR100640955B1 (ko) 2004-12-29 2004-12-29 반도체 소자의 금속배선 및 그 형성방법

Country Status (2)

Country Link
US (1) US7397122B2 (ko)
KR (1) KR100640955B1 (ko)

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7244677B2 (en) * 1998-02-04 2007-07-17 Semitool. Inc. Method for filling recessed micro-structures with metallization in the production of a microelectronic device
US6994776B2 (en) * 1998-06-01 2006-02-07 Semitool Inc. Method and apparatus for low temperature annealing of metallization micro-structure in the production of a microelectronic device
US6531777B1 (en) * 2000-06-22 2003-03-11 Advanced Micro Devices, Inc. Barrier metal integrity testing using a dual level line to line leakage testing pattern and partial CMP
US6555909B1 (en) * 2001-01-11 2003-04-29 Advanced Micro Devices, Inc. Seedless barrier layers in integrated circuits and a method of manufacture therefor
US7026235B1 (en) * 2002-02-07 2006-04-11 Cypress Semiconductor Corporation Dual-damascene process and associated floating metal structures
US6977218B2 (en) * 2003-07-17 2005-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating copper interconnects
US6884720B1 (en) * 2003-08-25 2005-04-26 Lsi Logic Corporation Forming copper interconnects with Sn coatings
US6998343B1 (en) * 2003-11-24 2006-02-14 Lsi Logic Corporation Method for creating barrier layers for copper diffusion
US7223692B2 (en) * 2004-04-30 2007-05-29 Taiwan Semiconductor Manufacturing Co., Ltd Multi-level semiconductor device with capping layer for improved adhesion
US7446033B2 (en) * 2005-01-25 2008-11-04 Samung Electronics Co., Ltd. Method of forming a metal interconnection of a semiconductor device, and metal interconnection formed by such method

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
1020020089777 *
1020040058974 *

Also Published As

Publication number Publication date
US7397122B2 (en) 2008-07-08
KR20060076898A (ko) 2006-07-05
US20060141767A1 (en) 2006-06-29

Similar Documents

Publication Publication Date Title
CN108074911B (zh) 跳孔结构
TWI462188B (zh) 具有通觸點的半導體裝置及相關的製造方法
KR100720531B1 (ko) 반도체 소자의 금속배선 및 그의 형성방법
US10950497B2 (en) Electrical connection for semiconductor devices
US10515823B2 (en) Via connection to a partially filled trench
TWI545694B (zh) 形成積體電路之內連線結構的方法及半導體裝置
TW201017848A (en) Multi-layer thick metallization structure for a microelectronic device, integrated circuit containing same, and method of manufacturing an integrated circuit containing same
US20100171219A1 (en) Extended liner for localized thick copper interconnect
EP1429382A3 (en) Via formation for damascene metal conductors in an integrated circuit
US8860147B2 (en) Semiconductor interconnect
US20040121584A1 (en) Method of manufacturing a semiconductor device
US20020195714A1 (en) Method for forming conductor reservoir volume for integrated circuit interconnects
KR100640955B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
KR100393967B1 (ko) 반도체 소자의 금속배선 형성방법
US6265306B1 (en) Resist flow method for defining openings for conductive interconnections in a dielectric layer
KR101107746B1 (ko) 반도체 소자의 금속배선 형성방법
KR100552815B1 (ko) 반도체 소자의 듀얼 다마신 배선 형성 방법
KR100639073B1 (ko) 선택적 다마신을 이용한 반도체 금속 배선의 형성방법
CN102124553A (zh) 包含要求金属层与衬底之间的电压阈值的工艺的用于制造集成电子电路的工艺
JP2006253729A (ja) 半導体集積回路装置の製造方法
KR100835422B1 (ko) 반도체 소자의 금속배선 형성방법
KR100884987B1 (ko) 반도체 소자의 구리배선 형성방법
KR100268900B1 (ko) 반도체소자의금속배선및그형성방법
KR100207474B1 (ko) 돌출형 텅스텐-플러그를 구비한 배선막 구조 및그 제조방법
KR100640953B1 (ko) 반도체 소자의 금속배선 형성방법 및 세정액 조성물

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110920

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20120926

Year of fee payment: 7

LAPS Lapse due to unpaid annual fee