KR100274228B1 - 집적회로구조상에 얇은 중합체 층을 형성하기 위한 방법 및 장치 - Google Patents

집적회로구조상에 얇은 중합체 층을 형성하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR100274228B1
KR100274228B1 KR1019970000927A KR19970000927A KR100274228B1 KR 100274228 B1 KR100274228 B1 KR 100274228B1 KR 1019970000927 A KR1019970000927 A KR 1019970000927A KR 19970000927 A KR19970000927 A KR 19970000927A KR 100274228 B1 KR100274228 B1 KR 100274228B1
Authority
KR
South Korea
Prior art keywords
chamber
deposition
gas
deposition chamber
xylene
Prior art date
Application number
KR1019970000927A
Other languages
English (en)
Other versions
KR970060375A (ko
Inventor
시바라마크리쉬난 비스웨스와렌
뱅 씨. 누엔
게야스리 라오
스투아르도 로블스
개리 퐁
빅센트 램
페터 더블유. 리
메이 창
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US08/583,888 external-priority patent/US5958510A/en
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR970060375A publication Critical patent/KR970060375A/ko
Application granted granted Critical
Publication of KR100274228B1 publication Critical patent/KR100274228B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B05SPRAYING OR ATOMISING IN GENERAL; APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05DPROCESSES FOR APPLYING FLUENT MATERIALS TO SURFACES, IN GENERAL
    • B05D1/00Processes for applying liquids or other fluent materials
    • B05D1/60Deposition of organic layers from vapour phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

본 발명의 방법 및 장치는 반도체 기판에 얇은 중합체 층을 형성하기위해 제시된다. 일실시예에서, 상기 방법 및 장치는 안정한 디-p-크실렌의 기화, 가스 이합체 물질을 반응성 단량체로 전환 및, 낮은 유전 상수의 중합 파릴렌 물질을 형성하기위해 p-크실렌 단량체와 공 중합할수 있는 가스 형태로서 하나 또는 그 이상의 중합 물질에 의해 초래하는 가스 p-크실렌 단량체의 선택적 결합을 포함한다. 또한 상기 중합 가스를 상기 증착 챔버로 분배하고, 상기 가스가 중합된 유전체 재료를 형성하기위해 응축되는 온도이하로 기판을 냉각하고, 그 벽에 중합된 잔류물의 현성 및 축적을 방지하기위해 상기 증착 챔버의 벽을 가열하고, 또한 상기 증착 챔버에서 방출되는 반응하지 않은 단량체 증기를 재포획하는 장치가 개시된다. 장치에는 증착 챔버에서 반응성 단량체의 유동 속도 또는 나머지 시간을 모두 제어하고 뿐만아니라 증착 챔버의 압력을 제어하는 증착 챔버의 다운 스트림이 추가로 제공된다. 상기 장치가 플라즈마 에칭 챔버로 기능을 하도록 전기 바이어스를 위한 부가의 설치가 이루어지는데, 상기 플라즈마 에칭 챔버는, 증착들간에 상기 챔버의 원상태 플라즈마 세척을 위해, 중합 예비 물질의 크래킹 강화를 위해, 그리고 가스상태에서 중합을 방지하는데 충분한 열을 제공하기위해 존재한다.

Description

집적회로구조상에 얇은 중합체 층을 형성하기 위한 방법 및 장치
본 발명은 기판상에 얇은 중합체 층을 형성하기위한 방법 및 장치에 관한 것이다. 특히, 본 발명은 파릴렌과 같이 낮은 유전 상수를 가진 중합체 또는 중합 물질을 증착하고, 이러한 층을 집적회로를 갖는 기판상의 금속사이에 증착하기위한 방법 및 장치에 관한 것이다.
집적회로구조의 구성에서, 장치의 기하학적 형상은 끊임없이 감소되어 장치사이의 기생 캐패시턴스의 증가를 초래한다. 집적회로에서 같은 층이나 인접한 층상의 메탈 상호 접속부간의 기생 캐패시턴스는 메탈라인 또는 상호 접속부간에 누화와, 응답시간의 감소를 초래할수 있다. 유전체 재료에 의해 분리된 메탈 상호 접속부간의 기생 캐패시턴스는 유전체 재료의 두께를 증가시키거나 유전체 재료의 유전 상수를 낮춤으로서 저하시킬수 있다. 하지만, 유전체 재료의 두께 증가는 장치와 기하학적 형상의 감소 목적에 역행하는 것이다.
결과적으로, 같은 층이나 인접한 층상에서 메탈 상호 접속부간의 기생 캐피시턴스를 감소시키기 위한 그 한가지는 메탈라인이나 상호 접속부간에 사용되는 재료를 현재 사용되는 재료(예를 들어, 실리콘 산화물; SiO2)보다 더 낮은 유전 상수를 가진 재료로 변경해야만한다. 쟁등에 의해서 1995년6월에 진공 및 기술 간행물에 공표된 "서브-쿼터-미크론 응용을 위해 매립된 낮은 유전 상수 중합체를 가진 평탄화된 다중 레벨 상호 접속부 장치"에서 파릴렌과 같은 낮은 유전 상수 중합 물질을 이용하는것과, 집적회로 구조의 조밀하게 이격된 컨덕터 라인이나 다른 중요영역사이에 실리콘 산화물(SiO2)을 치환하는 것에 대하여 기술하고 있다. p-크실렌에 기초하고 p-크실렌 단량체로 치환되는 열가소성 중합체 및 호성 중합체에 대한 일반적 명칭인 파릴렌은 집적회로에서 사용하는데 알맞은 물리적, 화학적, 전기적및 열적특성을 갖는 것으로 알려져 있다. 결과로 생기는 반응성 단량체의 증착 및 중합으로 이어지는 안정한 이합체의 초기 분해에 의한 중합체의 형성 및 증착은 폴리머 사이언스 지(중합체 화학 26권 제2593-2971(1988년)페이지, A파트)에 공표된 "서브 주위 온도에서 파릴렌-C)에서 아소크 케이.사머에 의해 논의되었다. 부가해서 낮은 유전 상수를 갖는 중합체 재료의 특성은 중합체 과학 및 엔지니어링 사전에서 2호 ,17권, 900-1024(1984년)페이지에 " 크실렌 중합체" 로 알,올손에 의해 공표되어 있다.
그러나, 집적회로구조의 구성에서 유전체 재료를 종래의 실리콘 산화물(SiO2)에서 중합체 재료로 변경하는것은 중합체 증착과정에서 제어되어야만 하는 여러 개의 부가적인 변수를 포함하기 때문에 단일층상의 인접한 메탈라인이나 상호 접속부사이 또는 메탈 접속부의 인접층사이에 SiO2유전체 재료를 형성하기위해 사용되는 종래의 방법 및 장치를 이용해서는 성취할수 없다.
결과적으로, 집적회로와 같은 기판상에 중합체를 증착하기 위한 대응하는 장치와 제어 가능한 처리 기술이 필요하다.
본 발명은 기판상의 메탈 상호 접속부 사이와 메탈 상호 접속부의 층 사이에 중합체 층을 형성하기 위한 방법 및 장치에 관한 것으로, 특히 본 발명의 장치 및 방법은 바람직하게 실리콘 산화물보다 더 낮은 유전 상수를 갖는 중합체(또는 중합물질)의 증착을 위해 제공된다.
제1도는 본 발명의 중합체 증착 장치의 개략적인 다이어그램.
제2도는 상기 증착 챔버에 반응성 기체를 공급하기 위한 매니폴드, 기화기, 분해 챔버를 나타내는데, 이 도면은 제1도 장치의 부분 단면도.
제3도는 상기 챔버를 관통하는 기체 및 가스와의 접촉으로 표면영역을 증가시키기 위해 분해챔버내에 위치된 중공관을 나타내는데, 이 도면은 제1도 및 제2도에 나타낸 분해 챔버의 수평 단면도.
제4도는 비정렬된(non-aligned) 홀을 가진 일련의 디스크를 이용하는 제1도 및 제2도의 분해 챔버의 다른 구조를 나타내는 수직 단면도.
제5도는 아래에 놓인 디스크의 개구와 함께 개구의 비정렬을 나타내는 제4도에 나타낸 디스크의 평면도.
제6도는 본 발명의 일실시예에 따라 사용된 예비적인 CVD처리 챔버의 단면도.
제7도는 제6도의 예시적인 CVD 처리 챔버의 시스템 모니터 도면.
제8도는 제6도의 예시적인 CVD 처리 챔버와 관련하여 사용된 처리 제어 컴퓨터 프로그램의 순서도.
제9도는 제6도의 웨이퍼 지지부의 평면도.
제10도는 상기 처리 챔버로 부터 방출하는 가스/기체의 처리를 나타내는 제1도에 나타낸 장치의 수직 단면도.
제11도는 본 발명의 일실시예에 따른 처리를 나타내는 순서도.
제12도는 기화기에서 증착 챔버로 중합 물질을 이송시키기위한 캐리어 가스 운반 시스템의 개략도.
〈도면의 주요부분에 대한 부호의 설명〉
30 : 분해 챔버 61 : RF 발생기
63 : RF 네트워크 70 : 히터
100, 184 : 냉각기 130 : 터보 펌프
150 : 터보 펌프 200 : 기판 지지대
본 발명의 방법 및 장치는 반응성 크실렌 단량체와 임의로 캐리어 가스를 화학 기상 증착(CVD)챔버와 같이 전체 압력 30 millitorr 내지 5 torr에서 동작되는 증착 챔버내로 연속적으로 유입하고 제품 상에 파릴렌 층을 형성하도록 제품 상에 단량체를 기판에 응축시키기 위해 제공된다. 캐리어 가스는 바람직하게 크실렌 화합물을 기화시키기 위해 기화기를 통해 버블링되고, 결합된 캐리어 가스와 크실렌 화합물은 크실렌을 반응성 단량체로 분해하기 위해 반응로를 통과하게 된다.
본 발명은 일반적으로 기판 표면상에 얇은 중합체 막을 형성하기위한 방법 및 장치를 포함한다. 다양한 목적의 처리 챔버는, 기판에 중합체의 기상 증착이 플라즈마 에칭 및 인-슈트 플라즈마 세척에 의해 성취될수 있도록 제공된다. 본 발명의 방법 및 장치는 컴퓨터로 제어할수 있으며, 컴퓨터로 제어되는 다중 -챔버 집적 회로 처리 시스템에 이용될수 있다.
본 발명은 기판상의 메탈 상호 접속부사이에 그리고 메탈 상호 접속부사이에 중합체 층을 형성하기위한 방법 및 장치를 추가로 제공한다. 특히, 본 발명의 장치 및 방법은 실리콘 산화물의 유전 상수보다 낮은 유전 상수를 알맞게 갖는 중합체의 증착을 위해 제공된다. 본 발명의 장치는 어떤 중합체(또는 중합 유전체 재료), 예를 들면, 크실렌, 테트라프루오르에틸렌, 폴리테트라프루오르에틸렌,나프탈렌이나 폴리 나프탈렌을 포함하고 기판상에서 증착(그리고 에칭)과 관련하여 이용될수 있다. 중합체는 본 발명에 따른 집적회로에 사용하기위해 실리콘 산화물보다 낮은 유전 상수 뿐만아니라 알맞은 물리적, 화학적, 전기적 및 열적 특성을 갖는 것이 바람직하다. 실시예에서, 본 발명의 방법 및 장치는 p-크실렌 및 캐리어 가스를 CVD 또는 플라즈마 에칭 첨버와 같이 전체 압력 30milltorr 또는 5torr에서 동작되는 처리챔버에 연속적으로 제공하고, 또한 두께 0.05 미크론 내지 150 미크론을 갖는 파릴렌 층을 형성하도록 기판에 반응성 p-크실렌의 응축을 제공한다.
상기 장치는 기판상에 얇은 중합체층을 증착하기위한 증착 챔버와, 중합 물질을 기화시키고 기화기내에서 기화되지 않은 중합 물질을 통해 캐리어 가스를 버블링하는 버블링 수단을 선택적으로 갖는 기화기와, 상기 캐리어 가스와 상기 기화기로부터 상기 증착 챔버로 기화된 중합체 물질을 펌핑하기위한 펌핑 수단을 포함한다.
증착챔버는 일반적으로 상기 챔버내에 반응 물질을 제공하는 가스 입구와, 챔버를 비우고 상기 챔버내의 압력을 제어하는 가스 출구 및 상기 가스 입구에 대해 기판을 위치시키기위해 상기 챔버의 하부에 위치된 이동가능 기판 지지부재를 포함한다. 챔버는 중합체의 증착속도를 강화시키기위해 챔버내에서 전기장을 발생시키기위한 DC 바이어스와, 중합 물질의 열적 크랙킹에 도울을 주기위해 플라즈마의 발생을 용이하게하고, 챔버를 에칭과 다른 제조 과정에 이용될수 있도록 하는 RF 바이어스를 바람직하게 포함하고 있다. 자석 조립체는 중합 물질의 증착속도를 강화하기위해 신뢰성 있는 챔버내에서 기판표면에 자기장을 제공한다.
본 발명의 방법 및 장치는 p-크실렌의 안정한 이합체와같이 단량체의 기화 또는 승화를 포함하며, 또한 적당할때 안정한 이합체를 반응성 p-크실렌 단량체로 변환하는것을 포함한다. 또한 상기 방법 및 장치는 공단량체의 증착과 p-크실렌 단량체의 유도체를 포함한다.
본 발명의 장치 및 방법은 반응성 단량체를 증착챔버내에 분배하는것, 수용가능한 증착 온도이하로 기판을 냉각시키는것, 잔류 축적을 방지하기위해 증착 챔버의 벽을 가열하는것, 챔버내에서 가스 반응 물질의 체류시간과 압력을 제어하는 것을 추가로 포함한다. 상기 장치 및 방법은 증착 챔버에서 방출되는(반응하지 않는 단량체 증기와 같은)증착되지 않은 증기를 재포획하는것을 추가로 포함한다.
상기 장치는 기판의 에칭 및 원 상태 플라즈마 세척이 동일한 증착 챔버에서 성취될수 있을때 컴퓨터로 제어되는 다중-챔버 집적 회로 처리 시스템과 바람직하게 결합될수 있다. 상기 챔버에 제공된 RF바이어스는 챔버에 제공된 예비적 중합 물질의 열적 클랙킹과 챔버 벽의 열을 강화시키고 가스 상태에서 일어나는 중합도(degree of polymerization)를 감소시키는 인-시튜 플라즈마 세척을 가능케 한다.
본 발명에서 반응성 중합 물질의 연속적 공급은 가스 입구를 통해 챔버에 공급될수 있다는것을 주목하자. 헬륨이나 아르곤 같은 불활성 캐리어 가스는 챔버에 반응성 중합 물질을 공급하기위해 바람직하게 이용된다. 이 불활성 가스와 RF바이어스는 어떤 응용에서 처리 챔버내에 플라즈마를 형성하기위해 이용될수 있다. 본 발명의 이러한 측면과 다른 측면에 대해서는 상세히 후술하겠다.
여기서, 이용되는바와같이, "파릴렌"이란 말은 열 가요성 중합체 또는 p-크실렌(CH2C6H4CH2)이나 p-크실렌의 유도에 기초한 중합체의 일반적인 명칭이다. 치환되지 않은 p-크실렌 중합체는 화학식 -(CH2-C6H4-CH2-)n-을 가진다. 여기서 n은 단량체 유닛으로 분자의 수를 나타내며, 파릴렌에 주어지는 n은 평균 약 5000개이며 바람직한 수로 평균 분자량은 약 500,000이다. 중합체는 양측단에 단량체를 부가함으로서 성장하며 쉽게 식별되지 않는 말단기를 가진 파릴렌 분자는 큰 분자량으로 주어진다. 말단기는 특성에 영향을 주지 않는 것으로 알려져 있다. "파릴렌"이라는 말은 또한 단량체 또는 중합체를 할로겐화함으로써 생성된 파릴렌 중합체의 염소화 또는 불소화 형태이다.
파릴렌 중합체를 제조하기 위한 전형적인 초기 재료는 안정한 시클릭 이합체, 디-p-크실렌 또는 고체 형태와 이용할수 있는 할로겐화유도체이다. 이합체는 기화되거나 승화되어야만 하며, 그리고 나서 처리될 중합을 위한 반응성 단량체로 분해된다. 이합체는 유니온 카바이드와같은 조합체로부터 상업적으로 이용할수 있다. 대개 고체 이합체는 조정이 용이하도록 미립자 형태, 예를들면 분말형태로 이용할수 있다. 그러나 이합체 팰럿은 팩킹된 배드와 관련하여 이용될수 있고 또는 예비적 재료는 이합체의 연속적 이동이 용이하도록 캐리어 유동체에서 액화되거나 용해될 수 있다.
도 1의 일실시예를 보면, 기화기(10)가 디-p-크실렌 또는 대체 디-p-크실렌과 같은 단량체를 가열 및 기화 또는 승화시키기 위해 제공된다. 바라트론으로부터 이용하는 것과 같이 가열된 압력 게이지(도시하지 않음)는 미립자 고체 또는 액체 이합체의 연속적 공급이 기화기(10)에 제공되는가를 보증하기 위해 기화기의 압력을 모니터하도록 기화기에 위치된다. 압력 게이지는 물질이 상기 게이지에 증착되지 않고 게이지가 작동 못하도록 바람직하게 가열된다.
이때, 디-p-크실렌 또는 기화된 이합체의 선택 혼합물 및 캐리어 가스와 같이 기화된 이합체는 기화기(10)로부터 게이트 밸브(20)를 통해 열분해 또는 분해챔버로 통과한다. 이때 상기 챔버내에서 기화된 이합체는 p-크실렌과 같이 반응성 단량체로 적어도 부분적으로 분해된다. 초기 중합 물질이 반응성 종류를 생성하기 위해 기화 또는 분해를 요하지 않는 단량체 또는 오리고머(oligomer)일때 기화 및 분해 챔버는 제거되거나 무시될수 있다는것을 인식해야 한다.
도 1 및 도 2를 보면, 기화 챔버 또는 기화기(10)의 일실시예는 액체 또는 고체 중합 물질을 증착챔버에 유입하거나 액체 또는 고체 중합 물질을 또다른 단량체와 혼합하기 전, 액체 또는 고체 중합 물질을 기화 또는 승화시키기위해 초기 재료를 가열하는것을 나타낸다.
기화기(10)는 메탈 플랜지(12a 및 12b)를 가진 스테인레스 스틸 또는 알루미늄이 함유된 메탈 실린더를 포함할수 있다. 메탈 플랜지(12a)는 후술하는바와같이, 기화기(10)에 비-반응성 가스가 흐를수 있도록 가스 입구부(15)를 가진 커버(14)를 구비하고 있다. 기화기(10)의 출구부를 가진 플랜지(12b)는 후술하는바와같이 기화기(10)를 분해 챔버(30)로부터 분리하는 게이트 밸브(20)의 매칭 플랜지(22a)와 결합된다.
도 2에 도시한바와같이 기화기(10)내에는 디-p-크실렌과 같은 중합 초기 재료를 위치시키기 위한 봉쇄용기(18)가 있다. 기화기(10)의 내부 표면에 정지할수 있는 봉쇄 용기(18)는 비 반응성 물질, 대개 세라믹 물질로 이루어지며, 바람직하게는 수정을 함유한다. 선택적으로, 봉쇄용기(18)에는 봉쇄용기(18)의 내부와 외부로의 가열된 가스의 흐름을 용이하게 하기 위해 상기 용기의 상부 중간에 여러 개의 개구(도시하지않음)가 추가로 제공됨으로서, 캐리어 가스가 흐를 때에 고체 P-크실렌 이합체의 기화 및 캐리어 가스 흐름으로의 이합체 증기의 비말동반에 기여하게 된다.
기화기(10)의 압력은 대기압에서 유지될수 있다. 그러나 전체 장치(기화, 분해, 및 증착 챔버)는 30milliTorr 내지 약5 torr의 압력에서 바람직하게 유지된다. 비-대체 디-p-크실렌에 대하여, 압력은 약 100milliTorr 내지 약1 Torr가 바람직한 범위이다. 다른 단량체 및 중합체에 대하여, 전체 압력은 Torr 내지 약 5 Torr가 바람직한 범위이다. 5 Torr까지 총 압력의 증가는 중합체의 증착속도를 증가시키며 또한 증착 챔버에 제공되는 단량체 또는 중합체의 양을 더 잘 제어할수 있게 한다. 캐리어 가스는 어떤 불활성 가스, 바람직하게는 헬륨, 아르곤 또는 니트로겐, 가장 바람직하게는 헬륨이 될수 있다.
도 1 및 도 2를 보면, 기화기(10)는 예를들면, 동일한 가열을 위해 기화기(10) 둘레에 감겨진 가열 코일(15)과 같은 어떤 편리한 수단에 의해 가열될수 있다. 다음으로, 가열 코일은 이를 중합 물질의 기화 온도로 가열하기위해 기화기 챔버(10)에 충분한 열을 제공하기 위해 조정할수 있는 외부 전력 전원(11)에 연결된다. 상기 가열 온도는 물질을 반응성 단량체로 분해할 수 있는 온도 이하는 제외한다. 와트로우 965 온도 제어기(Watlow 965 Temperature Controller)와 같은 외부 가열 제어기는 소정의 온도를 유지하기위해 가열 코일과 관련하여 이용될수 있다.
이미 설명한 압력범위내에서 작동될때 기화기(10)의 온도는 대개 증착을 위해 요구되는 압력에서 물질이 기화하지 않은 최소 온도에서 기화된 물질이 작동 압력에서 분해되는 온도 이하의 최대 온도까지 변화할수 있다. 기화기의 작동 온도는 기화되는 물질에 따라 변화할수 있는 반면, 온도는 약 100℃ 내지 약 200℃로 바람직하게 유지된다.
니트로겐, 아르곤 또는 헬륨과 같은 비-반응성 캐리어 가스는 커버(14)내의 가스 입구부(16)를 통해 기화기(10)로 선택적으로 유입되며, 그 다음에 열 방사에 의해 가열되거나 기화기로부터 전도되어 챔버(10)를 떠나 게이트 밸브(20)를 통해 분해 챔버(30)를 통과하는 단량체를 기화시킨다. 그러나 처리는 캐리어 가스와 같이 부가 가스를 이용하지 않고 기화된 반응물, 예를들어 파릴렌 이합체만을 이용하여 수행될수 있다.
기화기(10)에 대한 다른 실시예는 자동 온도 조절 오븐(306)이 예를들어 기화되지 않은 디-p-크릴렌 및 기화된 디-p-크릴렌을 함유하는 기화기(10)를 가열하는 도 12에 나타내었다. 캐리어 가스는 미터링 펌프 또는 니들 밸브와 같은 유량 제어기(302)를 통과하고 기화기(10)내에서 기화되지 않은 디-p-크실렌을 통해 버블링된다. 이때 결합되는 캐리어 가스 및 기화된 디-p-크실렌은 러프(rough) 펌프(150)에 의해 분해 챔버(30)와 증착 챔버(60)로 통과하게 된다. 유량계(도시않됨)는 기화기(10)에 남는 전체 질량을 측정하기 위해 기화기(10) 및 증착 챔버(60)사이의 어느 곳이든 위치될수 있다. 이때 디-p-크실렌의 흐름 속도는 기화기(10)에 남는 매체의 질량으로부터 기화기(10)로 보내진 캐리어 가스 매체를 감산해서 산정할수 있다.
기회기(10)에 이어지는 제1 밸브(20)는 수동적으로 작동되는 반면 자동적으로 작동되며, 기화 챔버(10)에서 온도와 압력을 감지하고 기화챔버(10)가 어떤 온도에 도달한 후에만 밸브(20)를 개방하는 밸브 제어기(21)에 바람직하게 연결되는 데, 상기 온도에서, 중합 물질은 제1 밸브(20)를 통해 기화 챔버(10)로부터 흐르는 가스가 기화된 중합 물질 뿐만 아니라 기화 챔버(10)를 통해 흐르는 선택적인 비-반응 캐리어 가스를 함유하기 위해 기화된다. 제2플랜지(22b)는 분해 챔버(30)의 제1 플랜지(32a)에 연결된 반대 단부에서 제2플랜지(24b)를 가진 도관(26)상의 제1 플랜지(24a)에 제1밸브(20)를 연결시킨다.
플랜지된 메탈관(26)은 반응성 단량체가 중합을 개시하지 않도록 충분히 높은 온도로 반응성 단량체를 유지하기 위해 도관(26)둘레에 감싸인 가열 테이프와 같은 외부 히터로 바람직하게 가열된다. 전형적으로 이것은 적어도 약 120℃의 온도가 된다.
이때 활성 단량체를 함유하는 가스/증기 흐름은 분해 챔버(30) 외부로 플랜지(42a-c)를 가진 티이(tee)(44)를 통과하는데, 플랜지(42a-c)에서 증기는 도관(46: 도 1에 도시함)으로부터 기화된 형태로 공 단량체와 선택적으로 결합된다. 이때 기화된 단량체와 선택적 공 단량체는 플랜지(40a,4Ob)를 가진 제2 게이트 밸브(40)를 통해 도관(48)으로 흐르며, 상기 도관(48)은 입구부(50)를 가진 밸브(40)를 기판 처리 챔버(60)에 연결시키며, 상기 기판 처리 챔버(60)에서 단량체는 반도체 기판과 같은 기판상에 증착되고 중합된다. 이때 온도는 냉각기(184)에 연결된 지지부재(180)에 의해 제어되는 것이 바람직하다. 여기서 중합물질의 부가적인 기화 및/또는 분해는 불필요하며, 중합 물질은 챔버(60)와의 직접 교류를 위해 티이(44)에 유입되며, 기화기(10) 및 분해 챔버(30)는 제거될수 있다.
증착 챔버(60)의 벽은 기화된 중합 물질의 증착 및 중합을 방지하기 위해 충분히 높은 온도 유지되는 것이 바람직하다. 일실시예에서 챔버 벽 온도는 히터 제어기(71)의 제어하에 히터(70)로 유지된다. 이때 나머지 갓,/증기 혼합물은 챔버(60)내의 압력을 조정하는 밸브 제어기(81)의 제어하에 증착챔버(60)로부터 드로틀밸브(80)를 통과하고, 그 다음에 냉각기(100)에 연결된 콜드 트랩(90)을 통과한다. 이때 나머지 가스는 밸브 제어기(121)에 의해 제어되는 게이트 밸브(12b)를 통해 러프 펌프(150)를 통과한다. 챔버 벽은 챔버 내에서 발생되는 플라즈마를 사용하여 어떤 다른 가열 수단에 의해 가열될수 있다는것이 예측된다.
에칭을 위해 챔버(60)사용이 요구될 때 또는 챔버(60)의 인-시튜 세척이 수행될때, 선택적 콜드 트랩(90)으로부터의 가스는 밸브 제어기(111)에 의해 제어되는 게이트 밸브(110; 차단된 게이트 밸브를 가짐)를 통해 터보 펌프(130)를 통과하고나서 밸브 제어기(141)에 의해 제어되는 격리 밸브(140)를 통해 러프 펌프(130)를 대신 통과할수 있다. 챔버(60)내에서 증착동안에 밸브(110 및 140)는 전형적으로 차단된다.
일실시예에서, 상기 장치에는 챔버(60)내에서 플라즈마가 발생하도록 RF네트워크(63)를 통해 챔버(60)와 결합되는 RF발생기(61)가 제공될수 있다. 플라즈마는 안정한 이합체를 반응성 종류로 변환하는데 충분한 열을 발생함으로서 일정한 예비적 분해를 강화하기위해 이용될 수있다. 또한 플라즈마는 이곳의 중합을 방지하기 위해 챔버 벽에 충분한 열과 가스 상태에서 중합을 방지하기위해 처리가스의 충분한 열을 제공할수 있다. 더욱이, RF발생기는 기판의 에칭이나 챔버 벽(60)의 인-시튜 세척이 수행될수 있도록 챔버의 집적화를 가능케 한다.
상기 챔버는 중합 물질의 증착속도를 강화시키는 전기장을 기판에 제공하도록 전기 바이어스를 포함할수 있다는것을 주목하자. 챔버 벽은 접지되고 DC바이어스는 기판 지지부재(180)에 인가되는 것이 바람직하다. 전기장에 부가하여, 챔버 벽내에 또는 챔버 벽에 관하여 자기자 코일 또는 영구 자석을 위치시켜 챔버내에 자기장을 발생시킬수 있다. 또한 전기장과 자기장은 반응성 중합 물질이 편극될수 있기 때문에 기판에 중합 물질의 증착속도를 강화시킨다. 전기장 및/또는 자기장은 중합반응이 용이하도록 반응성 물질을 정렬하여 중합 과정에 도움을 준다는것이 이론화되어 있다.
본 발명에 의하면, 기판(200)이 폐쇄 시스템에서 바람직하게 처리된다는것에 주목해야 한다. 따라서, 증착후에 기판은 증착 챔버(60)로부터 제거될수 있고, 처리 챔버 사이에서 로드 로크 챔버내의 저장 영역에 위치되며, 또한 주변 온도에 도달하도록 허용된다. 이것은(수용할수 없는 회생을 초래하는)워밍업 동안에 주변 분위기에 기판의 노출이나 (파릴렌 증착 챔버에서 처리 속도를 감고시키는)워밍업 동안에 증착 챔버내에 증착된 기판을 남기지 않고 기판을 주변 온도에 이르도록 할 수 있다. 선택적으로 기판은 진공 환경으로부터 기판을 제거하지 않고 연속 처리중에 로드 로크 챔버로부터 다른 챔버로 이동될 수 있다. 예를들어 실리콘 이산화물(SiO2)층의 PECVD형성을 위해 또 다른 챔버로 이동된다.
기화된 중합 초기 물질의 분해가 반응성 단량체를 형성하는데 필요할 때, 마찬가지로 디-p-크실렌을 이용할때 기화 챔버로부터의 증기는 분해 챔버로 바람직하게 보내진다. 분해 챔버가 여러 방법으로 구성될수 있는 반면, 챔버는 신속하게 그리고 한결같이 기화된 재료를 가열하기위해 큰 표면 영역을 갖는 것이 바람직하다. 일실시예에서 분해 챔버(30)는, 분해 챔버(30)에 유입되는 이합체의 증기의 흐름을 제어하는데 이용되는 제1 게이트 밸브(20)와 도관(26)을 통해 연결된 제1 플랜지(32a)의 한측단에서 종료되는 메탈 실린더 벽(32)을 포함한다. 메탈 실린더(32)의 내부 표면은 이합체를 분해하는데 이용되는 온도로서 반응성 p-크실렌 증기의 오염을 방지하기위해 33에 도시한 수정과 선택적으로 결합될수 있다.
주변 메탈 실린더(32)는 실린더(32)를 가열하기위해 이곳에 매립된 히터 와이어(202)를 가진 원통형 세라믹(34)이다. 관통된 메탈(204)의 외층은 운영자로 하여금 뜨거운 세라믹로와의 접촉을 피하기 위해 양측을 차폐하고 뿐만아니라 메탈실드 및 세라믹 로(34)사이에 공기가 흐르도록 선택적으로 세라믹(34)에 둘러싸여 질 수 있다. 세라믹(34)의 히터 선은 400℃ 와 약 900℃사이의 온도, 바람직하게는 700℃이상의 온도를 유지하기 위해 외부 전원 공급 장치, 온도 제어기(31) 및 컴퓨터 제어 수단(340)에 연결된다. 400℃ 이상 및 바람직하게는 약 700℃이상의 온도가 반응성 단량체로의 안정한 이합체의 충분한 분해를 보증하는 것이 바람직한 반면, 최대 온도는 분해 챔버(30)에 형성된 단량체의 분해를 피하기 위해 약 900℃를 초과하지 못한다. 분해 온도는 이용되는 이합체 재료에 따라 변화할수 있다는것을 다시 인식해야 한다.
분해 챔버(30)는 기판 표면상에 원치 않은 입자의 증착이나 증착된 코팅에서 덩어리의 형성을 방지하기위해 반응성 단량체를 형성하도록 실린더(32)를 통과하는 동안 이합체의 충분한 양을 분해하는 것이 바람직하다. 분해되지 않은 이합체는 중합되지 않으며, 따라서 기판상에 이를 증착할때 코팅에 덩어리를 야기하고 표면상에 원치 않은 입자를 야기하며, 또는 증착 챔버를 통과하고 러프 진공 펌프(150)의 앞에서 증착 챔버(60)의 다운 스트림에 위치된 콜드 트랩(90)을 방해한다.
바람직하게 모두가 컴퓨터로 제어되는 제1 게이트 밸브(20)와 제2 게이트 밸브(40)의 용도는 기화기(30)가 증착 챔버(60)로 부터 고립되도록 할수 있는데, 이때 기판은 증착 챔버로부터 제거된다는 것을 주목하자. 즉 전체 시스템은 코팅될 증착 챔버로 또는 증착후 증착 챔버의 외부로 기판의 이동중에 차단시킬 필요가 있다. 히터 전력전원(11,13), 밸브 제어기(21,41,81,111,121,141), 히터 제어기(71) 및 냉각기 제어기(101,181)를 갖는 전체 시스템의 동작은 컴퓨터 제어 수단(340)에 의해 바람직하게 제어된다.
안정한 이합체 증기에 대해 높은 수준의 분해를 보증하기 의해, 이합체 증기는 분해 챔버(30)내에서 충분히 가열되는 것이 바람직하다. 이것은 기화된 이합체와 접촉하여 증착 챔버(30)내의 실린더(32)의 평면 영역을 증가시키거나, 분해 챔버내에서 기화된 이합체의 나머지 시간을 연장하거나 모두를 결합함으로서 성취될 수 있다. 전형적으로 분해 챔버내에서 기화된 이합체의 나머지 시간은 1-5분이다. 또한 이러한 동작은 제어 수단(340)에 의해 모드 제어될수 있다. 반응성 단량체로 이합체의 분해를 강화시키기위해, 플라즈마는 어떤 인접한 예비적인 물질을 분해하는데 충분한 열을 기판상의 연속적 증착 및 중합을 위한 반응성 물질에 제공하기 위해 증착 챔버내에 설치될 수 있다.
이제 도 3을 보면, 이 도면은 도 2의 바람직한 분해 챔버의 단면도로서 메탈 실린더(32)는 일련의 중공관(36)에 부가되거나 팩킹된다. 여기서 일련의 중공관(36)은 각각 메탈 실런더(32)의 중심축과 평행한 축을 갖는다. 중공관(36)은, 각각의 관(36)의 온도가 실린더(32) 벽의 온도가 되게 서로 열적으로 연통하도록 실린더(32)에 충분히 견고하게 팩킹된다. 따라서 실린더(32)내의 관(35)의 존재는 기화된 단량체와 접촉하여 실린더(32)내의 표면 영역을 크게 증가시키는 역할을 한다. 따라서, 기화된 이합체는 기화된 이합체가 분해 온도로 균일하게 가열되고 이에 따라 반응성 단량체로 분해되는 이합체의 양이 최소화 되도록 관(36)을 통해 또는 관(36)사이에서 채널링 된다. 각각의 중공관(36)은 수정으로 제조되거나, 적어도 수정 표면을 갖는 것이 바람직하다. 실린더(32)의 내부 직경(ID)이 약 1.5 내지 2인치 범위일 때, 작은 관(36)은 약3.0 내지 약 0.5인치의 외부 직경(OD)과 0.05인치의 벽 두께, 약 0.2 내지 약0.4인치의 내부 직경(ID)을 갖는다.
상술한 바와같이, 선택적 또는 보완으로서, 또한 분해 챔버(30)내에서 기화된 이합체의 나머지 시간이 증가될 수 있다. 분해 챔버내에서 나머지 시간의 연장은 기화된 이합체가 분해 챔버로 흐르는 흐름 속도를 조정하거나, 마찬가지로 캐리어 가스가 기화 챔버(10)로 흐르는 캐리어 가스의 흐름 속도를 조정하거나, 또는 게이트 밸브(20 및 40)를 조정하거나, 또는 제어 수단(340)의 제어하에 밸브 조정과 캐리어 가스 흐름 속도 조정의 결합에 의해 제공될수 있다. 또한 나머지 시간은 분해 챔버(30)의 길이, 즉 메탈 실린더(32) 길이 조정에 의해 제어될수 있고, 또한 후술하는 바와같이 실린더(32)내에 흐름 재지시 장치를 위치시켜 실린더(32)내에 수단 경로 길이를 증가시켜서 제어될수 있다.
이제 도 4 및 도 5를 보면, 분해 챔버의 다른 실시예는 실린더(32)의 중심축과 수직한 각 디스크의 면과 함께, 실린더(32)내에서 이격된 관계로 위치된 일련의 원형 디스크(38a-d)를 포함하여 도시하였다. 각각의 디스크에는 캐리어 가스/기화된 이합체 혼합물이 흐르는 개구가 제공된다 그러나, 도 4에 도시한 바와같이 인접한 디스크내의 개구는 실린더(32)를 통해 가스 혼합물의 진행 길이를 연장하기 위해 일부러 비 정렬된다. 따라서, 도 4에 도시한 디스크(38b)내의 개구는 디스크(38b)밑의 디스크(38a)에서 아래 놓이는 개구(39b)에 축방향으로 비정렬된다. 실제로 이러한 실시예는 (흐름 경로의 길이를 증가하는만큼)나머지 시간을 증가시키고 또한 가스 혼합물과 접촉하여 오는 실린더(32)내의 접촉영역을 증가시킨다. 그 이유는 실린더(32)와 동일한 온도에 있게 되는 각각의 디스크(38a-d)표면이 가스 혼합물과 접촉하기 때문이다.
도 1 및 도 2에 추가로 도시한 바와같이, 분해 챔버(30)로 부터 흐르는 가스는 메탈 티이(44)로 통과하며, 이때 반응성 단량체 가스는 공중합 물질과 선택적으로 결합될수 있다. 여기서 공중합 물질은 후술하는 바와같이, 반응성 파릴렌 단량체가 증착 챔버내에서 반응하고 중합하는 단량체 또는 이합체이다. 실린더(32)의 반대 단부상의 제 2플랜지(32b)는 분해 챔버(30) 및 티이(44) 사이의 연결을 제공하기 위해 티이(44)의 제 1플랜지(42a)와 연결된다. 티이(44)의 제 2플랜지(42b)는 제 2게이트 밸브(40)상의 제 1플랜지에 고정되는데, 상기 제 2게이트 밸브(40)는 후술하는 바와같이 증착 챔버(60)로의 증기의 흐름을 제어하기 위해서 밸브 제어기(41) 및 컴퓨터 제어 수단(340)에 의해 동작된다.
티이(44)의 제 3플랜지(42c)는 기화된 파릴렌 단량체와 함께 티이(44)내에서 혼합되는 공중합체의 기화된 소스로 이끌려지는 도관(46 : 도 1에 도시함)의 플랜지(도시안됨)에 고정되거나, 그렇지않으면 도 2에 도시한 바와같이 캡 또는 커버(47)는 플랜지(42c)에 고정될 수 있다. 이때 공중합체 증기의 각각의 소스는 분해챔버로부터 반응성 단량체와 혼합되지 않는다.
플랜지된 메탈 도관(26)과 같은 티이(44)는 반응성 단량체가 중합을 개시하지 못하도록 충분히 높은 온도로 반응성 단량체를 유지하기 위해 티이(44) 둘레에 감싸인 가열 테이프와 같은 외부 히터에 의해 바람직하게 가열된다. 대개, 이것은 적어도 약 150℃의 온도를 갖는다. 공중합 소스를 이용할 때, 이미 기술된 기화 챔버(10)와 유사한 제 2증기화 챔버는 중합물질을 기화시키는데 이용될 수 있다. 필요할 경우, 이미 기술된 분해 챔버(30)와 유사한 분해 챔버를 형성하는 추가 장치가 이용될 수 있다. 어떤 경우에, 가스 형태로서 공중합체 물질을 제공하는데 사용되는 장치는 티이(44)의 플랜지(42c)에 연결되고, 이에 의해 개개의 가스 반응성 공중합체 소스가 증착 챔버(60)로 유입하기 전에 티이(44)에 함께 결합될수 있게 된다.
부가의 실시예에서, 단량체, 이합체 및 다른 오리지머와 같은 다른 중합가스는 이들이 증착 챔버내에서 기판 표면상에 공중합체를 형성하고 증착할것이 요구되면 가열된 티이(44)내에서 가스 p-크실렌 단량체와 결합될 수 있다. 이러한 다른 중합 물질은 예를들면 란캐스터 신디시스 사에서 사용할 수 있는 비닐 바이페닐 단량체를 함유한다. 이러한 단량체를 가진 반응성 p-크실렌 단량체를 공중합하는 한가지 이유는 중합을 위해 약 400℃(중합된 p-크실렌만)에서 약 500℃ 내지 550℃ 사이에 이르는 증착중에 기판에 형성된 막의 은도 안정성을 증가시키기 위한 것이다. p-크실렌 반응성 단량체의 가스 흐름과 결합되는 공중합 단량체와 캐리어 가스의 양은 전체 단량체의 혼합물중 무게로 약 5% 내지 약 25%에 이르는 범위일수있지만, 전체 단량체 혼합물중 무게로 약 10%를 함유하는 부가된 공중합 단량체의 전형적인 양과 함께, 무게로 약 5% 내지 약 10%의 범위가 바람직하다.
도 1 및 도 2를 다시 보면, 티이(44)는 플랜지(42b 및 42a)를 통해 각각 제 2 게이트 밸브(40)에 연결되고, 또한 제 2게이트 밸브(40)는 도관(48)상의 게이트 밸브(40) 및 플랜지(48a)에서 플랜지(40b)를 통해 부가의 가열된 도관(48)에 연결된다. 상술한 바와같이, 도관(26) 및 티이(48)와 관련하여, 도관(48)은 응축을 피하기 위해 예를들어 가열 테이프에 의해 가열되는 것이 바람직하다. 다음으로 가열된 도관(48)은 플랜지(48b)를 통해 처리 챔버(60)의 입구부(50)에 연결된다.
처리 챔버(60)는 후술하는 바와같이 어떤 변경과 함께 상업적으로 이용 가능한 표준 진공 처리 챔버가 될 수 있다. 이런 진공 처리 챔버는 로드 로크 장치를 통해 반도체 기판 처리 장치내의 다른 진공 또는 폐쇄 챔버로 연결될 수 있는 산화물 에칭 챔버와, 기판을 분위기에 노출하지 않고 어플라이드 머티어리얼스사로부터 입수가능한 다중 챔버 5000 시리즈 처리 장치가 이용될 수 있다. 그러한 장치는 메이단등이 미국특허 4,951,601에서 논의하였고, 이러한 개시를 참고로 여기에 논의하였다. 파릴렌 증착에 대하여, 장치는 반응성 파릴렌 단량체의 중합 온도 이상의 온도, 즉 200℃ 이상의 온도, 반면에 반응성 단량체의 부가의 분해가 일어나는 온도 이하의 온도, 즉 약 750℃ 이하의 온도를 유지한다. 전형적으로 장치의 온도는 약 200℃ 내지 약 300℃의 범위내에서 유지된다. 본 발명의 방법이 수행될 수 있는 하나의 적당한 CVD 처리 챔버는 진공 챔버(62)를 가진 개략적인, 평행판의 화학 기상 증착 처리 챔버(60)의 수직 단면도인 도 6에 도시되어 있다. 챔버(60)는 가스 분배관내의 관층 홀을 통해 기판 지지부재(180)상에 정지해있는 웨이퍼(도시안됨)로 처리 가스를 분산시키기 위한 가스 분배관(52)을 포함한다. 일반적인, 각각의 처리 가스용 처리 가스 공급라인은 챔버로의 처리 가스 흐름을 자동 또는 수동으로 차단시키는데 이용될 수 있는 안전 차단 밸브(도시 안됨)와, 가스 공급라인을 통해 가스의 유량을 측정하는 유량 제어기(도시안됨)를 포함한다. 유독성 가스가 처리시에 이용될 때, 몇몇 안전 차단 밸브는 종래의 구성에서 가스 공급라인에 위치된다.
기판 지지부재(180)는 지지부재(180 ; 그리고지지 부재(180)의 상부 표면에 지지되는 웨이퍼)가 관(52)에 가까이 인접하는 하부 로딩/오프-로딩 위치 및 상부 처리 위치(64) 사이에서 제어가능하게 이동될수 있도록 상당히 열적으로 민감하고 지지 핑거(54)에 설치된다. 센터보드(도시안됨)는 웨이퍼의 위치에 관하여 정보를 제공하기 위한 센서를 포함한다.
지지부재(18) 및 웨이퍼가 처리 위치(64)에 있을 때, 이들은 환형 진공관(164)으로 배출되는 다수의 이격된 홀(162)을 갖는 배플 플레이트(160)에 의해 둘러싸여 있다. 처리중에 관(152)에 대한 가스 입구는 화살표(166)로 나타낸 웨이퍼 표면을 방사상으로 교차하여 균일하게 분출된다. 배출 시스템은 도 1에 나타낸 진공 펌프 시스템에 의해 포트(162)를 통해 원형 진공관(164)으로 배출된다. 관(52)에 도달되기 전에 증착 및 캐리어 가스는 가스 라인(168)을 통해 혼합 시스템(170)으로 입력된다. 이 혼합 시스템(17)에서 증착 및 캐리어 가스가 결합되고 나서 관(52)으로 전송된다.
이제 기판지지 부재(180)를 참조하면, 이동가능 기판 지지부재는 처리중에 가열되거나 냉각되도록 할 필요가 있다. 요구되는 중합체는 파릴렐과 같은 기판에 형성되며, 기판 지지대(180)는 단량체의 응축 온도 이하의 온도에서 유지되는데, 예를들면 p-크실렌에 대하여, 기판지지대(18)는 약 40℃를 초과하지 못하며, 냉각기 제어기(181)의 제어하에 냉각기(184)를 이용하면 약 40℃내지 약 +25℃의 범위내가 바람직하다. 가스 혼합물이 예를들어 냉각된 반도체 기판(200)의 표면에 접촉할 때 반응성 파릴렌 단량체의 중합이 개시되고 뿐만 아니라 다른 반응성 중합물질(존재하는 경우)과의 중합은 기판 표면에서, 예를들면 반도체 웨이퍼(200)의 표면에서 파릴렌 또는 파릴렌 공중합체의 요구되는 유전체 막의 형태를 형성한다.
온도 제어 시스템은 기판 지지대(180)에 제공될 수 있으며, 또한 지지대(180)는 기판 지지대(180)의 온도와 이곳에 설치된 기판을 제어하기 위해 냉각기 제어기(181)의 제어하에, 예를들어 냉각기(184)로부터 유체가 순환될 수 있는 중공통로가 제공될 수 있다. 기판은 도 9에 나타낸 쌍극 또는 단극 정전척(210)과 같은 어떤 종래의 기판 유지 수단에 의해 기판 지지대(180)에 유지될 수 있다. 헬륨과 같은 배면 가스는 기판 지지부재(180)와 처리용으로 이곳에 위치된 기판 사이의 열적 연통을 용이하게 하고 기판의 엣지와 배면에 반응성 물질의 증착을 방지하기 위해 정전척의 상부 표면에 제공된 채널을 통해 흐른다.
요구할 경우, 열은 수정 윈도우(280)를 통해 평행한 환형 패턴의 광(270)을 제공하는 외부 램프 모듈(260)에 의해 기판 지지대(180)에 분배될 수 있다. 챔버(60)내에서 수행되는 증착 처리는 열처리 또는 플라즈마 강화 열처리가 될 수 있다. 플라즈마 처리에서, 제어된 플라즈마는 RF 전원 공급 장치(61)로부터 분배관(52)에 인가된 RF 에너지에 의해 웨이퍼에(접지된 기판 지지부재 180과 함께) 인접 형성된다. 가스 분배관(52)은 또한 RF 전극이며 한편 기판 지지부재(180)는 접지된다. RF 전원 공급장치(61)는 챔버(60)에 유입된 반응성 종류의 분배를 강화하기 위해 단일 또는 혼합 주파수 RF 전력을 관(52)에 공급할 수 있다. 혼합된 주파수 RF 전원 공급장치는 전형적으로 13.56MHz의 고 RF 주파수(RF1)로 전력을 공급한다. 또한 360 KHz의 저 RF 주파수(RF2)로 전력을 공급한다.
전형적으로 챔버 라인닝, 가스 입구관 면판, 지지 핑거(54) 및 여러 개의 서로 다른 반응기 하드웨어중 어떤 하나 또는 모두는 알루미늄이나 양극 산화 처리된 알루미늄같은 재료로 만든다. CVD 장치의 예로는 본 발명의 양수인인 어플라이드 머티어리얼스 사에 양도되었고 왕(wang)등에 의해 발표된 "열적 CVD/PECVD 반응기 및, 실리콘 이산화물의 열화학 기상 증착 및 인-시튜 다중 스텝 편극 처리의 사용"이라는 명칭으로 미국특허 제 5,000,113 호에 기술되어있다.
가스 혼합 시스템(17) 및 RF 전원 공급 장치(61)는 제어 라인(360)을 통해 컴퓨터 제어 수단(340)에 의해 제어된다. 챔버는 메모리(380)에 기억된 시스템 제어 소프트웨어(바람직한 실시예에서 하드 디스크 드라이브) 매체 유량 제어기(MFCs), RF 발생기 및 램프 자석 구동기와같은 아날로그 어셈블리를 포함한다. 이제 도 1를 보면, 기화된 가스와 선택적 캐리어 가스의 혼합물이 챔버(60)에 흐른후에, 파릴렌 중합체는 예를들어, 반응성 p-크실렌 단량체의 응축 및 중합에 의해 기판(200)의 표면에 증착된다. 이때 선택적 캐리어 가스의 나머지와 비- 반응성 단량체 증기는 출구부(66 : 도 10참조)를 통해 챔버(60) 외부로 통과하고 나서 드로틀 밸브(80)를 통해 콜드 트랩(90)으로 통과한다. 드로틀 밸브(80)의 목적은 챔버(60)내의 요구된 압력을 유지하기 위한 것이다. 증착/중합 반응은 대개 약 30밀리토르(mmTorr) 내지 5토르로 증착 챔버(60)내의 압력을 유지할 동안 수행된다. 단량체가 비-대체 p-크실렌일 때, 압력은 30밀리토르와 1토르 사이에 유지된다. 그 이유는 약 1토르 이상의 압력이 비반응성 단량체를 함유하는 저 결정성막의 증착을 초래하기 때문이다. 증착 챔버(60)내의 압력이 세트 압력으로부터 편기할 때, 압력 센서에 연결되는 드로틀 밸브(80)는 압력이 떨어지도록 개방되거나 압력이 상승되도록 닫혀진다. 이제 도 10을 보면, 드로틀 밸브(80)는 요구할 경우 비 반응성 가스, 예를들면 아르곤, 헬륨 또는 니트로렌을 허용하기 위해 변경될 수 있고, 또한 챔버(60)로부터 드로틀 밸브(80)를 통해 콜드 트랩(90)에 흐르는 가스 스트림에 부가되도록 변경될 수 있다. 전형적으로 콜드 트랩(90)으로의 부가적인 가스 유동은 챔버 체적에 따라 분당 약 50 sccm의 유량을 갖는다. 또한 이러한 유량은 제어기(340)의 제어하에 있게 된다. 부가된 비반응성 가스의 목적은 증착 챔버(60)를 통해 캐리어 가스 및 반응성 단량체의 가스 스트링의 유동을 제어하고 지연시킨다. 즉 나머지 시간을 증가시키고, 챔버(60)를 통해 유동하는 가스 스트림으로부터 열을 더욱 완전히 추출하며, 중합의 더욱 완전한 반응을 위해 제공하며, 출구부(66)를 통해 콜드 트랩(90)에서 추출되어야 하는 챔버(60)에 남는 비반응성 중합 물질의 양은 부가로 최소화시킨다. 이때 드로틀 밸브(80)를 통과하는 증기 및 가스는 대기압에서 챔버(60)를 유지할 수 있는 진공 펌프(1500 : 제 1 도참조)에 차례로 연결되는 콜드 트랩(90)에 유입된다. 그러나, 비반응성 단량체 및 다른 공중합 물질이 진공 펌프(150)에 유입되지 않고 오히려 콜드 트랩(90)내의 가스 스트림으로부터 제거되는 것이 중요하다. 콜드 트랩(90)은 가스 스트림으로부터 어떤 단량체나 중합체를 트랩하고 제거하기 위해 드로틀 밸브(80)의 다운스트림측에 연결되는 예를 들어, 표준 노컬(Normal) 콜드 트랩과 같은 어떤 종래의 상업적 콜드 트랩을 포함할 수 있다.
콜드 트랩(90)의 다운 스트림측은 요구되는 낮은 압력을 유지하기 위해 가스 스트림내의 나머지 가스를 러프 진공 펌프(150)로 통과시키는 케이트 밸브(120)에 연결된다. 도 1 및 도 10에 도시한 바와같이, 콜드 트랩(90)은 게이트 밸브(110)를 통해 터보 펌프(130)에도 연결되며 격리 밸브(140)를 통해 러프 진공펌프(150)에도 연결된다. 챔버(60)가 이미 논의한 반응성 p-크실렌 단량체의 중합 증착을 위해 증착 챔버로 이용될 때 밸브(110 및 140)는 차단되며 밸브(120)는 러프 진공 펌프를 콜드 트랩(90)에 직접 연결하기 위해 개방된다. 그러나, 동일한 챔버가 플라즈마 에칭 챔버로 이용되거나 또는 고진공을 요하는 어떤 다른 처리를 위해 마찬가지로 이미 논의한 챔버의 인-시튜 플라즈마 세척을 위해 이용되는 경우, 게이트 밸브(120)가 차단될 수 있고, 또한 게이트 밸브(110)와 격리 밸브(140) 모두는 콜드 트랩(90)과 러프 진공 펌프(150) 사이의 스트림에서 고진공 터보 펌프(130)를 위치시키기 위해 개방될 수 있다.
반응성 단량체의 증착으로 이어지는 챔버를 세척하기 위해, 오존이 1000sccm의 속도로 챔버에 흐르게 된다. 반응성 오존은 챔버로부터 파릴렌의 제어를 용이하게 하기 위해 파릴렌과 반응한다는 것을 알고 있다. 오존에 부가하여, 옥시겐은 챔버의 세척을 수행하기 위해 지지부재에 인가된 100-1000sccm의 속도와 750-1200 와트의 RF 바이어스로 챔버내에 유입될 수 있다. 옥시겐은 파릴렌과의 오존 반응과 유사한 방법으로 파릴렌과 반응한다는 것을 알고 있다.
컴퓨터 제어 수단(340)은 CVD 챔버의 모든 동작을 제어하며 제어수단(340)의 바람직한 실시예는 하드 터스크 드라이브, 플로피 디스크 드라이브 및 카드랙을 포함한다. 카드랙은 단일 보드 컴퓨터(SBC), 아날로그 및 디지털 입/출력 보드, 인터테이스보드 및 스탭퍼 모터 제어기 보드를 포함한다. 시스템 제어기는 보드, 카드 케이지 및 연결기 디멘션 및 형태를 정의하는 VME(versa modular Europeans) 표준으로 인증한다. 또한 VME 표준은 16 비트 데이터 버스 및 24 비트 어드레스 버스를 가진 버스 구조를 정의한다.
제어수단(340)은 하드 디스크 드라이브(380)상에 기억된 컴퓨터 프로그램의 제어하에 동작한다. 컴퓨터 프로그램은 타이밍, 가스의 혼합, RF 전력 레벨, 기판 지지부재 및 특정의 과정의 다른 파라미터를 나타낸다. 사용자와 시스템 제어기간의 인터페이스는 도 7에 나타낸 CRT 모니터(342)와 광펜(344)을 통해 존재한다. 바람직한 실시예에서, 2개의 모니터(342)가 이용되며, 하나는 운영자를 위해 세척실 벽에 설치되고 다른 하나는 서비스 기술자를 위해 벽 후방에 설치된다. 양 모니터(342)는 동시에 같은 정보를 표시하지만, 하나의 광펜(344)만이 인에이블된다. 광펜(344)은 펜의 팁에서 광센서를 가진 CRT 디스플레이에 의해 방출된 광을 검출한다. 특정한 스크린이나 기능을 선택하기 위해 운영자는 디스플레이 스크린의 지정된 영역을 터치하고 펜(344)상의 버튼을 누른다. 터치된 영역은 하이라이트된 색을 변화시키며, 또는 새로운 메뉴 또는 스크린을 디스플레이하고 광펜과 디스플레이 스크린 사이의 교류를 인증한다.
처리는 예를들머 컴퓨터 제어 수단(340)을 실행하는 컴퓨터 프로그램(400)을 이용하여 수행될 수 있다. 컴퓨터 프로그램 코드는 예를들어 68000 어셈블리 언어, C, C++또는 파스칼과 같은 종래의 판독 가능한 프로그래밍 언어로 기록될 수 있다. 알맞은 프로그램 코드는 종래의 텍스트 에디터를 이용하여 단일 파일이나 다중 파일로 입력되며, 컴퓨터의 메모리 시스템과같은 컴퓨터 이용 매체에 기억되거나 이러한 매체로 구현된다. 입력된 코드 텍스트가 하이 레벨 언어이고 코드가 컴파일되면, 합 컴파일러 코드는 이미 컴파일된 윈도우 라이브러리 루틴의 목적 코드와 링크된다. 링크되고 컴파일된 목적 코드를 실행하기 위해, 시스템 사용자는 컴퓨터 시스템이 메모리내의 코드를 로드하도록 목적 코드를 부른다. 여기서 CPU는 프로그램에서 식별되는 작업을 수행하기 위해 실행 코드를 판독한다.
도 8은 컴퓨터 프로그램(400)의 계층적 제어 구조의 예시적인 블록도이다. 사용자는 광펜 인터페이스를 이용하여 CRT 모니터에 디스플레이된 메뉴나 스크린에 응답으로 처리 세트 부재와 처리 챔버 부재를 처리 선택기 서브 루틴(420)에 입력한다. 처리 세트는 지정된 처리를 수행하는데 필요한 처리 파라미터의 선정된 세트이며 이미 정한 세트 부재에 의해 식별된다. 처리 선택기 서브 루틴(420)은 (i) 요구되는 처리 챔버와, (ii) 요구되는 처리를 수행하기 위해 처리 챔버를 동작시키는데 필요한 처리 파라미터의 요구된 세트를 식별한다. 특정 과정을 수행하기 위한 처리 파라미터는 예를들면 처리 가스 합성 및 유동 속도, 온도, 압력과 같은 처리 조건, 그리고 RF 바이어스 전력 레벨과 자기장 전력 레벨, 냉각 가스 압력 및 챔버 벽 온도와 같은 플라즈마 조건과 관계하며, 처방의 형태로 사용자에게 제공된다. 사용자의 처방에 의해 지정된 파라미터는 광펜/CRT 모니터 인터페이스를 이용하여 입력된다.
처리를 모니터하기 위한 신호는 제어 수단(340)의 아날로그 입력 및 디지털 입력 보드에 의해 제공되며, 처리를 제어하기 위한 신호는 제어 수단(340)의 아날로그 출력 및 디지털 출력 보드에서 출력된다. 처리 순차기 서브 루틴(430)은 식별된 처리 챔버와 처리 선택에 서브루틴(420)으로부터 처리 파라미터의 세트를 수용하고, 또한 여러 처리 챔버의 동작을 제어하는 프로그램 코드를 포함한다. 여러 사용자는 처리 세트 부재와 처리 챔버 부재에 들어갈 수 있고 , 또한 사용자는 다중 처리 세트 부재와 처리 챔버 부재에 들어갈 수 있으며, 따라서 순차기 서브루틴(430)은 요구된 순서로 선택된 처리를 기록하기 위해 동작한다. 순차기 서브루틴(430)은 i) 챔버가 이용중인가를 결정하기 위해 처리 챔버의 동작을 모터터링하는 단계와, ii) 이용되는 처리 챔버에서 어떤 처리가 수행되는가를 결정하는 단계, 및 iii) 수행될 처리 챔버와 처리 형태의 용이성에 기초하여 요구되는 처리를 수행하는 단계를 포함한다. 처리 챔버를 모니터하기 위한 종래의 방법은 폴링이 이용될 수 있다. 처리가 수행되는가를 조사할 때, 순차기 서브루틴(430)은 선택 처리를 위해 요구되는 처리 조건과 비교하여 이용되는 처리 챔버의 현재 조건이나 입력된 측정 사용자 개개의 "나이" 또는 조사의 우선순위를 결정하기 위해 포함되는 시스템 프로그래머의 요구에 대한 어떤 다른 적절한 요소를 고려하여 지정될 수 있다.
순차기 서브 루틴(430)은 처리 챔버와 처리 세트 결합이 다음에 실행될것인가를 결정하면, 순차기 서브루틴(430)은 순차기 서브루틴(430)에 의해 결정되는 처리 세트에 따라 처리 챔버(60)내에서 다중 처리 작업을 제어하는 몇몇 챔버 관리자 서브루틴(440)중 하나에 특정한 처리 세트 파라미터를 통과시켜 처리 세트의 수행을 야기시킨다. 상기 챔버 관리자 서브루틴(440)은 선택된 공정 세트를 수행하기 위해 챔버 성분의 동작을 제어하는데 요구되는 상기 기화기(10), 분해 챔버(30) 및 콜드 트랩(90)을 포함하는 여러 가지 챔버 성분 서브루틴의 실행을 제어한다. 챔버 성분 서브루틴의 한 보기는 기화기 제어 서브루틴(450), 처리 가스 제어 서브루틴(460), 압력 제어 서브루틴(470), 히터 제어 서브루틴(480), 및 분해 제어 서브루틴(490)이다. 당업자는 다른 챔버 제어 서브루틴이 요구되는 어떤 공정에 의존하여 포함될 수 있다는 것을 쉽게 알 수 있을 것이다. 동작에서, 상기 챔어 관리자 서브루틴(440)은 실행되는 특별한 공정 세트에 따라서 처리 성분 서브루틴을 선택적으로 작성 또는 호출한다. 상기 챔버 관리자 서브루틴(440)은 상기 처리 성분 서브루틴을 처리 장비와 처리 세트가 다음에 실행되어야 하는 상기 처리 순차기(430)가 작성되는 방법에 유사하게 작성한다. 전형적으로, 상기 챔버 관리자 서브루틴(440)은 여러 가지 챔버 성분의 모니터링 단계, 실행될 처리를 위한 처리 파라미터에 기초하여 동작되기 위해 요구되는 성분의 결정 단계, 및 상기 모니터링과 결정 단계에 민감한 챔버 성분 서브루틴의 실행을 일으키는 단계를 포함한다.
상기 처리 가스 제어 서브루틴(460)은 처리 가스 조합과 흐름 속도를 제어하기 위한 프로그램 코드를 가진다. 상기 처리 가스 제어 서브루틴(460)은 안전 차단밸브의 개폐 위치를 제어하고, 또한 요구된 가스 흐름 속도를 얻기 위해 유량 조절기를 램프 업/다운한다. 상기 처리 가스 제어 서브루틴(460)은 모든 챔버 서브루틴이 그렇듯이 상기 챔버 관리자 서브루틴(440)에 의해 야기되며, 요구된 가스 흐름 속도에 관련한 상기 챔버 관리자 처리 파라미터로부터 수신된다. 전형적으로, 상기 처리 가스 제어 서브루틴(460)은 상기 가스 공급 라인을 개방함으로써 동작되고, (i) 요구 유량 흐름 제어기를 기록하고, (ii) 상기 기록을 상기 챔버 매니저 서브루틴(440)으로부터 수신된 요구된 흐름 속도와 비교하고, (iii) 필요로 되는 바와 같이 상기 가스 공급 라인의 흐름 속도를 반복적으로 조절한다. 더욱이, 상기 처리 가스 제어 서브루틴(460)은 위험한 속도에 대한 상기 흐름 속도를 모니터링하여 위험한 조건이 검출될 때 안전 차단 밸브를 구동시키는 단계를 포함한다.
일부 처리에 있어서, 아르곤과 같은 불활성 가스는 반응 처리 가스가 챔버내로 유입되기 전에 챔버내의 압력을 안정화하기 위하여 챔버(60)내로 유입된다. 이러한 공정에 대하여, 처리 가스 제어 서브루틴(460)은 챔버내의 압력을 안정화하는데 필요한 시간동안 챔버(60)내로 불활성 가스를 유입시키기 위한 단계를 포함하도록 프로그램되어 있으며, 이어서 상기한 단계들이 수행된다. 부가적으로, 처리가스 예를 들면 디-p-크신렌이 기화기(10)에서 발생되면, 처리가스 제어 서브루틴(460)은 기화기 제어 서브루틴(450)으로부터 캐리어 흐름을 얻도록 기록될 수 있다.
압력 제어 서브루틴(470)은 첨버의 배출 시스템에서 드로틀 밸브(80)의 개방의 크기를 조절함으로써 챔버의 압력을 제어하기 위한 프로그램 코드를 포함한다. 드로틀 밸브(80)의 개방의 크기는 전체 처리 가스 흐름, 처리 챔버의 크기, 및 배출 시스템에 대한 펌핑 설정 포인트 압력과 관련하여 적정 레벨로 챔버 압력을 제어하도록 설정되어 있다. 압력 제어 서브루틴(470)이 호출되면, 적정 또는 목표 압력 레벨은 챔버 관리 서브루틴(440)으로부터 파라미터로서 수신된다. 압력 제어 서브루틴(470)은 챔버에 연결된 하나이상의 종래 압력계를 판독함으로써 챔버의 압력을 측정하고, 이 측정값과 목표 압력을 비교하고, 목표 압력에 상응하는 저장된 압력표로부터 PID(비례, 적분, 및 미분)를 얻고, 상기 압력표로부터 얻은 PID값에 따라 드로틀 밸브(80)를 조절하도록 동작한다. 선택적으로, 압력 제어 서브루틴(470)은 챔버(60)를 적정 압력으로 조절하기 위하여 특정 개방 크기로 드로틀 밸브(80)를 개폐하도록 기록될 수 있다.
히터 제어 서브루틴(480)은 챔버의 온도를 제어하기 위한 프로그램 코드를 포함한다. 히터 제어 서브루틴(480)은 챔버 관리 서브루틴(440)에 의해 호출되어 목표, 또는 설정 포인트, 온도 파라미터를 수신한다. 히터 제어 서브루틴(480)은 챔버(60)내에 위치된 열전쌍의 전압 출력을 측정함으로써 온도를 측정하고, 상기 측정된 온도와 설정 포인트 온도를 비교하고, 설정 포인트 온도를 얻기 위하여 램프 모듈(260) 및 다른 가열 성분에 인가된 전류를 증가 또는 감소시킨다. 온도는 저장된 전환표에서 상웅 온도를 룩업하는것에 의해, 또는 4차 다항식을 사용하여 온도를 계산하는 것에 의해 측정된 전압으로부터 얻어진다.
상기 CVD 시스템 개요는 주로 설명을 목적으로 한 것이며, 다른 CVD 장치가 사용될 수도 있다. 부가적으로, 기판 지지 구성, 히터 구성, RF 파워 접속 위치등의 변경과 같은 상기한 시스템의 변경이 가능하다.
[실시예 1]
본 발명의 방법을 더 기술하기 위해서, 본 발명의 장치를 사용하여 8인치의 직경을 가진 실리콘 웨이퍼는 증착챔버에서 약 10℃의 온도로 유지된 고정된 기판 지지부상에 장착된다. 분자 디-p-코실렌의 3내지 4그램은 기화기(10)로 로딩되며, 기화기는 150℃로 가열된다. 약 5분동안 가열한후, 장치 전반에 걸친 온도는 평형을 유지하며, 분해 챔버(30)로부터 기화기(10)를 분리하는 게이트 밸브(10)는 개구되며, 이합체 증기는 600℃의 온도로 미리 가열되는 분해챔버(30)로 흐르도록 허용된다. 분해챔버(30)의 출구 게이트 밸브(40)는 이때 개구되며, 분해챔버(30)내에 형성된 기화된 반응성 p-크실렌은 분해챔버로부터 가열된 도파관을 통해 증착챔버(60)로 흐른다. 증착챔버(60)의 리드는 약 150℃의 온도로 유지되며, 챔버벽은 약 100℃로 유지된다. 약 500sccm의 질소는 증착동안 콜드 트랩(90)으로 흐르며, 밸브(80)는 증착챔버에서 약 50mTorr의 압력을 유지하도록 설정된다. 반응성 p-크실렌 단량체 증기는 냉각된 실리콘 웨이퍼(200)와 접촉하여 중합된다. 약 15분후에, 반응성 단량체 증기의 흐름은 기화기(10) 및 분해챔버(30)사이의 게이트 밸브(20)를 차단함으로서 차단되며, 분해챔버로부터 모든 단량체 증기를 제거하기 위해 분해챔버(30)를 펌핑한후 분해챔버 및 증착챔버사이의 게이트 밸브(40)를 차단함으로서 차단된다. 웨이퍼(200)는 주위온도를 상승시킨후 챔버(60)로부터 제거되어 검사된다. 약 5000옹스트롬의 파릴렌 중합체 막은 웨이퍼상에 형성된다. 막의 유전상수는 2.7로 검사된다.
따라서, 본 발명은 파릴렌, 또는 반응성 파릴렌 단량체 및 제 2공중합 물질을 사용하여 형성된 공중합체를 가지는 반도체 기판상에 낮은 유전상수 막을 형성하는 장치 및 방법을 포함한다.
본 발명은 기판상의 메탈 상호 접속부 사이와 메탈 상호 접속부의 층 사이에 실리콘 산화물보다 더 낮은 유전 상수를 갖는 중합체(또는 중합 물질)의 증착을 제공한다.

Claims (12)

  1. 대상물의 표면 상에 얇은 중합체 층을 형성하기 위한 장치에 있어서, 중합가능한 물질을 기화시키기 위한 기화기 ; 및 상기 기화된 중합가능한 물질을 수용하기 위해 상기 기화기와 유체 연통하는 증착 챔버를 포함하며, 상기 증착 챔버는 대상물을 수용하기위한 지지 부재와 상기 중합 물질의 얇은 층이 상기 대상물 상에 증착되어 중합체 층을 형성할수 있도록 상기 중합 물질의 응축 온도 이하에서 상기 대상물을 냉각시키는 수단을 구비하는 것을 특징으로하는 장치.
  2. 제1항에 있어서, 상기 대상물 상의 상기 중합가능한 물질의 증착속도를 강화하도록 전기장을 발생하는 전기 바이어스를 더 포함하는것을 특징으로하는 장치.
  3. 제1항에 있어서, 상기 대상물 상의 상기 중합가능한 물질의 증착속도를 강화하도록 자기장을 제공하는 자석 조립체를 더 포함하는것을 특징으로하는 장치.
  4. 제1항에 있어서, 상기 대상물 냉각 수단은 상기 지지부재내의 통로와, 상기 통로와 유체 연통하는 냉각 시스템을 포함하는것을 특징으로하는 장치.
  5. 제1항에 있어서, 상기 기화기 및 상기 증착 챔버를 제어하기 위한 컴퓨터 제어수단을 더 포함하는데, 상기 컴퓨터 제어 수단은 상기 기화기 및 상기 증착 챔버의 동작을 지시하기 위한 컴퓨터 판독 가능 프로그램 코드를 포함하는 것을 특징으로하는 장치.
  6. 대상물 표면에 얇은 중합체 층을 형성하는 방법에 있어서, 증착 챔버내에 대상물을 위치시키는 단계; 중합가능한 물질을 기화시키는 단계; 상기 기화된 중합가능한 물질이 상기 증착 챔버내로 흐르게 하는 단계; 및 상기 대상물 상에 얇은 중합체 층을 증착하는 단계를 포함하는 것을 특징으로하는 방법.
  7. 제6항에 있어서, 상기 기화하는 중합가능한 물질내로 캐리어 가스를 버블링하는 단계를 더 포함하는것을 특징으로하는 방법.
  8. 제6항에 있어서, 상기 중합가능한 물질은 이합체이며, 상기 방법은 기화되는 반응성 단량체를 형성하기 위해 상기 기화된 이합체를 분해하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제8항에 있어서, 상기 이합체는 파릴렌이며, 상기 기화되는 반응성 단량체는 p-크실렌인 것을 특징으로 하는 방법.
  10. 제6항에 있어서, 상기 중합가능한 물질은 p-크실렌의 이합체인 것을 특징으로하는 방법.
  11. 제10항에 있어서, 상기 p-크실렌 이합체 및 상기 캐리어 가스는 상기 이합체가 반응성 단량체로 완전히 전환되도록 700 내지 약 900℃ 사이의 온도에서 분해 챔버를 통과하는 것을 특징으로하는 방법.
  12. 제6항에 있어서, 상기 캐리어 가스와, 상기 증착 챔버로부터 상기 캐리어 가스에 잔류하는 어떤 사용되지 않은 기화된 중합가능한 물질을 제거하는 단계, 및 상기 캐리어 가스로부터 상기 사용되지 않은 중합가능한 물질을 분리하는 단계를 더 포함하는것을 특징으로하는 방법.
KR1019970000927A 1996-01-08 1997-01-08 집적회로구조상에 얇은 중합체 층을 형성하기 위한 방법 및 장치 KR100274228B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US08/583,888 US5958510A (en) 1996-01-08 1996-01-08 Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US8/583,888 1996-01-08
US08/583,888 1996-01-08
US08/734,978 US6663713B1 (en) 1996-01-08 1996-10-22 Method and apparatus for forming a thin polymer layer on an integrated circuit structure
US08/734,978 1996-10-22
US8/734,978 1996-10-22

Publications (2)

Publication Number Publication Date
KR970060375A KR970060375A (ko) 1997-08-12
KR100274228B1 true KR100274228B1 (ko) 2000-12-15

Family

ID=27078931

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019970000927A KR100274228B1 (ko) 1996-01-08 1997-01-08 집적회로구조상에 얇은 중합체 층을 형성하기 위한 방법 및 장치

Country Status (2)

Country Link
US (1) US6663713B1 (ko)
KR (1) KR100274228B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101165889B1 (ko) * 2003-12-31 2012-07-17 에드워즈 배큠 인코포레이티드 침착 장치 및 원자층 침착 방법
KR20190064725A (ko) * 2017-12-01 2019-06-11 주식회사 아이오에프 증착영역을 냉각하는 유기물질 증착 화학기상 증착장비

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
GB9712338D0 (en) 1997-06-14 1997-08-13 Secr Defence Surface coatings
US6337102B1 (en) * 1997-11-17 2002-01-08 The Trustees Of Princeton University Low pressure vapor phase deposition of organic thin films
JP2001155899A (ja) * 1999-11-25 2001-06-08 Tadahiro Omi プラズマプロセス装置およびプラズマ装置を用いたプロセス
US7235138B2 (en) * 2003-08-21 2007-06-26 Micron Technology, Inc. Microfeature workpiece processing apparatus and methods for batch deposition of materials on microfeature workpieces
US7727588B2 (en) * 2003-09-05 2010-06-01 Yield Engineering Systems, Inc. Apparatus for the efficient coating of substrates
US7647886B2 (en) * 2003-10-15 2010-01-19 Micron Technology, Inc. Systems for depositing material onto workpieces in reaction chambers and methods for removing byproducts from reaction chambers
US7258892B2 (en) 2003-12-10 2007-08-21 Micron Technology, Inc. Methods and systems for controlling temperature during microfeature workpiece processing, e.g., CVD deposition
GB0406049D0 (en) * 2004-03-18 2004-04-21 Secr Defence Surface coatings
US8133554B2 (en) 2004-05-06 2012-03-13 Micron Technology, Inc. Methods for depositing material onto microfeature workpieces in reaction chambers and systems for depositing materials onto microfeature workpieces
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7575781B2 (en) * 2004-08-03 2009-08-18 Sur Modics, Inc. Method for depositing a polymeric coating on a substrate
US20070108161A1 (en) * 2005-11-17 2007-05-17 Applied Materials, Inc. Chamber components with polymer coatings and methods of manufacture
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
WO2008012921A1 (fr) * 2006-07-28 2008-01-31 Daisankasei Co., Ltd. Appareil et procédé de dépôt chimique en phase vapeur
US8852693B2 (en) 2011-05-19 2014-10-07 Liquipel Ip Llc Coated electronic devices and associated methods
KR20140073198A (ko) * 2012-12-06 2014-06-16 삼성디스플레이 주식회사 유기물 기화 장치 및 그 제어방법
TWI564419B (zh) * 2014-09-30 2017-01-01 Film forming apparatus and film forming method
GB201814231D0 (en) * 2018-08-31 2018-10-17 Univ Surrey Apparatus for forming a poly(p-xylylene) film on a component
JP7520869B2 (ja) 2019-03-13 2024-07-23 メトオックス インターナショナル,インコーポレイテッド 薄膜堆積用の固体前駆体フィードシステム
CN110665768B (zh) 2019-07-26 2022-04-26 江苏菲沃泰纳米科技股份有限公司 防水纳米膜及其制备方法、应用和产品
US20240181568A1 (en) * 2022-12-05 2024-06-06 Asustek Computer Inc. Method for producing graphene

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4495889A (en) * 1982-11-24 1985-01-29 Riley Thomas J Polymeric film coating apparatus
US4500562A (en) * 1983-03-02 1985-02-19 The United States Of America As Represented By The United States Department Of Energy Di-p-xylylene polymer and method for making the same
JPS6070176A (ja) * 1983-09-27 1985-04-20 Fujitsu Ltd 固体ソ−ス蒸発ボンベ
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPH01168331A (ja) * 1987-12-24 1989-07-03 Mitsui Toatsu Chem Inc 有機金属化合物の飽和方法
JPH0269389A (ja) * 1988-08-31 1990-03-08 Toyo Stauffer Chem Co 有機金属気相成長法における固体有機金属化合物の飽和蒸気生成方法
US5270082A (en) * 1991-04-15 1993-12-14 Lin Tyau Jeen Organic vapor deposition process for corrosion protection of metal substrates
US5268202A (en) * 1992-10-09 1993-12-07 Rensselaer Polytechnic Institute Vapor deposition of parylene-F using 1,4-bis (trifluoromethyl) benzene
US5355832A (en) * 1992-12-15 1994-10-18 Advanced Surface Technology, Inc. Polymerization reactor
US5424097A (en) * 1993-09-30 1995-06-13 Specialty Coating Systems, Inc. Continuous vapor deposition apparatus
US5556473A (en) * 1995-10-27 1996-09-17 Specialty Coating Systems, Inc. Parylene deposition apparatus including dry vacuum pump system and downstream cold trap
US5958510A (en) * 1996-01-08 1999-09-28 Applied Materials, Inc. Method and apparatus for forming a thin polymer layer on an integrated circuit structure

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101165889B1 (ko) * 2003-12-31 2012-07-17 에드워즈 배큠 인코포레이티드 침착 장치 및 원자층 침착 방법
KR20190064725A (ko) * 2017-12-01 2019-06-11 주식회사 아이오에프 증착영역을 냉각하는 유기물질 증착 화학기상 증착장비
KR102094820B1 (ko) * 2017-12-01 2020-03-31 주식회사 아이오에프 증착영역을 냉각하는 유기물질 증착 화학기상 증착장비

Also Published As

Publication number Publication date
US6663713B1 (en) 2003-12-16
KR970060375A (ko) 1997-08-12

Similar Documents

Publication Publication Date Title
KR100274228B1 (ko) 집적회로구조상에 얇은 중합체 층을 형성하기 위한 방법 및 장치
US6086952A (en) Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US5958510A (en) Method and apparatus for forming a thin polymer layer on an integrated circuit structure
KR100509417B1 (ko) 낮은유전상수를갖는다층막을증착시키는방법및장치
US6107184A (en) Nano-porous copolymer films having low dielectric constants
US6709715B1 (en) Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
JP4813676B2 (ja) 新規な前駆体からの障壁の化学蒸着
US6449521B1 (en) Decontamination of a plasma reactor using a plasma after a chamber clean
US5926743A (en) Process for chlorine trifluoride chamber cleaning
US6475902B1 (en) Chemical vapor deposition of niobium barriers for copper metallization
US6614181B1 (en) UV radiation source for densification of CVD carbon-doped silicon oxide films
US6830624B2 (en) Blocker plate by-pass for remote plasma clean
US6566278B1 (en) Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6548112B1 (en) Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
US6287643B1 (en) Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
KR100696029B1 (ko) 퍼지 링을 가지는 웨이퍼 받침대
US6387207B1 (en) Integration of remote plasma generator with semiconductor processing chamber
US20020121241A1 (en) Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US5885751A (en) Method and apparatus for depositing deep UV photoresist films
US20020121342A1 (en) Lid assembly for a processing system to facilitate sequential deposition techniques
KR20020024788A (ko) 플라즈마-유도 손상을 감소시키는 방법
US6362115B1 (en) In-situ generation of p-xylyiene from liquid precursors
EP1019956A1 (en) Control of semiconductor device isolation properties through incorporation of fluorine in peteos films
US6204174B1 (en) Method for high rate deposition of tungsten
US6083569A (en) Discharging a wafer after a plasma process for dielectric deposition

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120830

Year of fee payment: 13

FPAY Annual fee payment

Payment date: 20130830

Year of fee payment: 14

FPAY Annual fee payment

Payment date: 20140828

Year of fee payment: 15

LAPS Lapse due to unpaid annual fee