KR0174925B1 - Apparatus for selecting the video signal in plasma display panel - Google Patents

Apparatus for selecting the video signal in plasma display panel Download PDF

Info

Publication number
KR0174925B1
KR0174925B1 KR1019950013834A KR19950013834A KR0174925B1 KR 0174925 B1 KR0174925 B1 KR 0174925B1 KR 1019950013834 A KR1019950013834 A KR 1019950013834A KR 19950013834 A KR19950013834 A KR 19950013834A KR 0174925 B1 KR0174925 B1 KR 0174925B1
Authority
KR
South Korea
Prior art keywords
signal
video signal
pulse
pulse signal
horizontal line
Prior art date
Application number
KR1019950013834A
Other languages
Korean (ko)
Other versions
KR960042860A (en
Inventor
박준석
Original Assignee
배순훈
대우전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 배순훈, 대우전자주식회사 filed Critical 배순훈
Priority to KR1019950013834A priority Critical patent/KR0174925B1/en
Publication of KR960042860A publication Critical patent/KR960042860A/en
Application granted granted Critical
Publication of KR0174925B1 publication Critical patent/KR0174925B1/en

Links

Classifications

    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N7/00Television systems
    • H04N7/08Systems for the simultaneous or sequential transmission of more than one television signal, e.g. additional information signals, the signals occupying wholly or partially the same frequency band, e.g. by time division
    • H04N7/0806Systems for the simultaneous or sequential transmission of more than one television signal, e.g. additional information signals, the signals occupying wholly or partially the same frequency band, e.g. by time division the signals being two or more video signals
    • HELECTRICITY
    • H03ELECTRONIC CIRCUITRY
    • H03KPULSE TECHNIQUE
    • H03K19/00Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits
    • H03K19/20Logic circuits, i.e. having at least two inputs acting on one output; Inverting circuits characterised by logic function, e.g. AND, OR, NOR, NOT circuits
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/04Synchronising
    • H04N5/08Separation of synchronising signals from picture signals
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N5/00Details of television systems
    • H04N5/44Receiver circuitry for the reception of television signals according to analogue transmission standards
    • H04N5/50Tuning indicators; Automatic tuning control
    • HELECTRICITY
    • H04ELECTRIC COMMUNICATION TECHNIQUE
    • H04NPICTORIAL COMMUNICATION, e.g. TELEVISION
    • H04N9/00Details of colour television systems
    • H04N9/44Colour synchronisation
    • H04N9/455Generation of colour burst signals; Insertion of colour burst signals in colour picture signals or separation of colour burst signals from colour picture signals

Landscapes

  • Engineering & Computer Science (AREA)
  • Multimedia (AREA)
  • Signal Processing (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Computing Systems (AREA)
  • General Engineering & Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Television Signal Processing For Recording (AREA)
  • Control Of Indicators Other Than Cathode Ray Tubes (AREA)

Abstract

본 발명은 PDP에서의 영상신호 선택장치에 관한 것으로, 소망하는 태널의 복합영상신호가 튜너에서 튜닝되어 영상처리부와 펄스신호 발생부로 각각 제공되고, 복합영상신호 중에 수직동기신호와 수평동기신호가 동기분리부에서 분리되어 제 1 카운터로부터 수평라인 영상신호에 대한 펄스신호의 라이징에지에서 칼라버스트 신호와 페디스탈 레벨 이후부터 하이레벨의 펄스신호가 수평라인 영상신호의 폴링에지까지 발생되고, 제 2 카운터로부터 수평라인 영상신호에 대한 펄스신호의 라이징에지부터 휘도신호가 시작되는 위치까지 하이레벨의 펄스신호 발생되며, 최종적으로 제 2 AND 게이트로 부터 영상신호가 존재하는 수평라인 영상신호 중에 유효영상신호를 선택하기 위한 펄스신호가 발생되어 영상처리부에서 영상처리된 다음 PDP로 디스플레이되므로써, 영상신호가 존재하는 수평라인 영상신호 중에 유효영상신호 만을 선택하여 영상처리할 수 있으므로, 메모리의 낭비를 방지할 수 있을 뿐만 아니라 처리속도를 빠르게 할 수 있도록 한 것이다.The present invention relates to a video signal selection device in a PDP, wherein a desired composite video signal is tuned in a tuner and provided to an image processor and a pulse signal generator, respectively, wherein a vertical synchronous signal and a horizontal synchronous signal are synchronized among the composite video signals. The separation unit separates the pulse signal of the horizontal line video signal from the first counter to the rising edge of the horizontal line video signal from the color burst signal and the pedestal level to the falling edge of the horizontal line video signal from the first counter. From the rising edge of the pulse signal for the horizontal line video signal to the position where the luminance signal starts, a high level pulse signal is generated, and finally, an effective video signal is output from the horizontal line video signal in which the video signal exists from the second AND gate. A pulse signal for selection is generated, processed by the image processor, and displayed on the PDP. Meurosseo, since the video signal is present in only the effective video signal, select the horizontal line video signal to image processing, which will not only can prevent a waste of memory to speed up the process.

Description

피디피에서의 영상신호 선택장치Video signal selector in PD

제1도는 본 발명에 따른 전형적인 영상신호 처리장치의 개략적인 블럭구성도.1 is a schematic block diagram of an exemplary image signal processing apparatus according to the present invention.

제2도는 제1도의 펄스신호 발생부(100)의 상세도.2 is a detailed view of the pulse signal generator 100 of FIG.

제3도는 본 발명에 따른 일예로서, 복합영상신호 중에 기수필드의 수평라인 영상신호와 제 1 AND 게이트(120)의 출력단으로부터 발생되는 펄스신호를 설명하기 위한 도면.3 is a diagram for describing a horizontal line video signal of a radix field and a pulse signal generated from an output terminal of the first AND gate 120 in a composite video signal as an example according to the present invention.

제4도는 본 발명에 따른 일예로서, 기수필드의 수평라인 영상신호중에 하나의 수평라인 영상신호의 유효영상신호를 선택하기 위한 펄스신호를 도시한 도면.4 is a diagram illustrating a pulse signal for selecting an effective video signal of one horizontal line video signal among horizontal line video signals of an odd field as an example according to the present invention.

제5도는 종래의 통상적인 수평포지션을 설명하기 위한 도면.5 is a view for explaining a conventional conventional horizontal position.

* 도면의 주요부분에 대한 부호의 설명* Explanation of symbols for main parts of the drawings

50 : 튜너 100 : 펄스신호 발생부50: tuner 100: pulse signal generator

105 : 동기분리부 110 : 수평포지션 선택부105: sync separation unit 110: horizontal position selector

120 : 제 1 AND 게이트 130 : 제 1 카운터120: first AND gate 130: first counter

140 : 제 2 카운터 145 : 제 2 AND 게이트140: second counter 145: second AND gate

150 : 영상처리부 200 : 메모리150: image processing unit 200: memory

본 발명은 PDP(PLASMA DISPLAY PANEL ; 이하 PDP라고 약칭함)에 관한 것으로, 보다 상세하게는 방송국으로부터 전송되는 복합영상신호의 수평라인 영상신호 중에 유효영상신호를 선택할 수 있도록 한 PDP에서의 영상신호 선택장치에 관한 것이다.The present invention relates to a PDP (PLASMA DISPLAY PANEL, hereinafter abbreviated as PDP), and more particularly, to select an image signal in a PDP in which an effective image signal can be selected among horizontal line image signals of a composite image signal transmitted from a broadcasting station. Relates to a device.

최근에, 표시면적이 크고 용적이 작은, 이른바 평면형 표시장치에 관한 많은 연구가 그와 관련된 여러 분야에서 지속적으로 연구되고 있다.In recent years, many studies on so-called flat display devices having large display areas and small volumes have been continuously conducted in various fields related thereto.

상기한 평면형 표시장치에는 일렉트로 루미네센스(ELECTRO LUMINESCE NCE), 발광 다이오드(LIGHT EMITTING DIODE), PDP 등의 능동소자와 액정표시장치(LIQUID CRYSTAL DISPLAY), 일렉트로 크로믹 표시장치(ELECTRO CHROMIC DISPLAY) 등의 수동소자가 있으며, 본 발명은 실질적으로 능동소자 중의 하나인 PDP에 관련된다.Such flat display devices include active elements such as ELECTRO LUMINESCE NCE, LIGHT EMITTING DIODE, PDP, LIQUID CRYSTAL DISPLAY, ELECTRO CHROMIC DISPLAY, etc. There is a passive element of, and the present invention relates to a PDP which is substantially one of the active elements.

한편, 제5도는 종래의 통상적인 수평포지션을 설명하기 위한 도면으로서, 방송국으로부터 전송되는 복합영상신호(COMPOSITE VIDEO SIGNAL)가 음극선관을 통해 주사되는 경우, 수평라인 영상신호와 수평라인 영상신호 사이에 유효영상신호가 아닌, 예를 들면 블랭킹(BLANKING)구간, 보다 상세하게는 칼라버스트(COLOR BURST) 신호와 페디스탈 레벨(PEDISTAL LEVEL) 및 휘도신호 등이 음극선관으로 주사되므로, 음극선관의 좌측과 우측에 제5도의 ▨와 같은 공백이 디스플레이된다.FIG. 5 is a diagram for explaining a conventional horizontal position. When a composite video signal transmitted from a broadcasting station is scanned through a cathode ray tube, FIG. 5 is a diagram illustrating a horizontal line video signal between a horizontal line video signal and a horizontal line video signal. For example, a blanking section, more specifically, a color burst signal, a PEDISTAL level, and a luminance signal, which are not effective video signals, are scanned into the cathode ray tube. On the right side, a blank such as 의 of FIG. 5 is displayed.

이때, 수평포지션은 상기한 공백을 제외한 유효영상신호가 주사되어 이루어진 화면의 수평거리를 말하는 것으로, 음극선관의 경우, 편향코일에 제공되는 전압을 조절하므로써 수평포지션의 폭을 조절할 수 있다.In this case, the horizontal position refers to the horizontal distance of the screen on which the effective video signal is scanned except for the blank. In the case of the cathode ray tube, the width of the horizontal position can be adjusted by adjusting the voltage provided to the deflection coil.

그러나, 이 기술분야의 숙련자들에게 널리 알려져 있듯이 PDP에서는 그 구조상의 특징으로 인해, 수평포지션의 폭을 전압조절에 의해 조절할 수 없고, 따라서 수평라인 영상신호의 전 구간을 샘플링하므로써 메모리의 낭비 및 처리속도가 지연되는 문제점이 야기된다.However, as is well known to those skilled in the art, in the PDP, due to its structural characteristics, the width of the horizontal position cannot be adjusted by voltage control, and therefore, waste and processing of memory by sampling the entire section of the horizontal line video signal. The problem of delayed speed is caused.

따라서, 본 발명은 상기한 바와 같은 종래기술의 문제점에 착안하여 안출한 것으로, 방송국으로부터 전송되는 복합영상신호의 수평라인 영상신호 중에 유효영상신호를 선택할 수 있는 PDP에서의 영상신호 선택장치를 제공하는데 그 목적이 있다.Accordingly, the present invention has been made in view of the above-described problems of the prior art, and provides a video signal selection apparatus in a PDP capable of selecting an effective video signal among horizontal line video signals of a composite video signal transmitted from a broadcasting station. The purpose is.

상기 목적을 달성하기 위하여 본 발명은, 튜너를 통해 방송국으로부터 전송되는 복합영상신호 중에 소망하는 채널의 복합영상신호를 영상처리한 다음 PDP로 디스플레이하는 PDP 장치에 있어서, 상기 튜너를 통한 복합영상신호의 수직동기신호와 수평동기신호를 분리하기 위한 동기분리수단과, 상기 동기분리수단에서 분리된 수직동기신호와 수평동기신호 및 외부로부터의 클럭에 의거하여 상기 튜너를 통한 복합영상신호의 수평라인 영상신호 중에 유효영상신호가 존재하는 구간을 선택하기 위한 펄스신호를 발생하는 펄스신호 발생수단과, 상기 펄스신호 발생수단으로부터의 펄스신호에 의거하여 상기 튜너를 통한 복합영상신호에서의 유효영상신호 구간 중 수평라인 영상신호를 한 라인단위로 신호처리하기 위한 영상신호 처리수단으로 이루어진 것을 특징으로 하는 PDP에서의 영상신호 선택장치를 제공한다.In order to achieve the above object, the present invention provides a PDP apparatus for processing a composite video signal of a desired channel among the composite video signals transmitted from a broadcasting station through a tuner, and then displaying the composite video signal through the tuner. A horizontal line image signal of the composite image signal through the tuner based on a synchronous separation means for separating a vertical synchronous signal and a horizontal synchronous signal, and a vertical synchronous signal and a horizontal synchronous signal separated by the synchronous separation means and a clock from the outside A pulse signal generating means for generating a pulse signal for selecting a section in which an effective video signal exists during the operation and a horizontal one of the effective video signal sections in the composite video signal through the tuner based on the pulse signal from the pulse signal generating means. Image signal processing means for signal processing line video signal by one line unit To provide a video signal selection apparatus of the PDP according to claim.

본 발명의 기타 목적과 여러 가지 장점은 이 기술분야의 숙련된 사람들에 의해 첨부된 도면을 참조하여 하기에 기술되는 본 발명의 바람직한 실시예로 부터 더욱 명확하게 될 것이다.Other objects and various advantages of the present invention will become more apparent from the preferred embodiments of the present invention described below with reference to the accompanying drawings by those skilled in the art.

이하 첨부된 도면을 참조하여 본 발명의 바람직한 실시예에 대하여 상세하게 설명한다.Hereinafter, exemplary embodiments of the present invention will be described in detail with reference to the accompanying drawings.

제1도는 본 발명에 따른 전형적인 영상신호 처리장치의 개략적인 블럭구성도로서, 동도면을 참조하면 알 수 있듯이, 튜너(50), 펄스신호 발생부(100), 영상처리부(150) 및 메모리(200)로 구성된다.FIG. 1 is a schematic block diagram of a typical image signal processing apparatus according to the present invention. As can be seen from the drawings, the tuner 50, the pulse signal generator 100, the image processor 150 and the memory ( 200).

제1도에 있어서, 튜너(50)는 방송국으로부터 전송되는 복합영상신호 중에 도시생략된 마이컴으로부터의 채널선택 신호에 의거하여 그에 상응하는 복합영상신호를 튜닝하고, 펄스신호 발생부(100)는 튜너(50)에서 튜닝된 복합영상신호의 영상신호가 있는 수평라인 영상신호 중에 유효영상신호를 선택하기 위한 펄스신호를 발생한다.In FIG. 1, the tuner 50 tunes the corresponding composite video signal based on the channel selection signal from the microcomputer shown in the composite video signal transmitted from the broadcasting station, and the pulse signal generator 100 tunes the tuner. A pulse signal for selecting an effective video signal from the horizontal line video signal including the video signal of the composite video signal tuned at 50 is generated.

그리고, 영상처리부(150)는 펄스신호 발생부(100)로부터의 펄스신호에 의거하여 튜너(50)에서 튜닝된 복합영상신호의 수평라인 영상신호 중에 유효영상신호를 영상처리하고, 메모리(200)는 영상처리부(150)에서 영상처리된 기수필드의 유효영상신호를 저장한다.The image processor 150 performs image processing on the effective image signal among the horizontal line image signals of the composite image signal tuned by the tuner 50 based on the pulse signal from the pulse signal generator 100, and the memory 200. Stores an effective video signal of the radix field processed by the image processor 150.

한편, 제2도는 제1도의 펄스신호 발생부(100)의 상세도로서, 동도면을 참조하면 알 수있듯이, 펄스신호 발생부(100)는 동기분리부(105), 수평포지션 선택부(110), 제 1 AND 게이트(120), 제 1 카운터(130), 제 2 카운터(140) 및 제 2 AND 게이트(145)로 구성된다.2 is a detailed view of the pulse signal generator 100 of FIG. 1, and as can be seen from the same drawing, the pulse signal generator 100 may include a synchronous separator 105 and a horizontal position selector 110. ), A first AND gate 120, a first counter 130, a second counter 140, and a second AND gate 145.

제2도에 있어서, 동기분리부(105)는 튜너(50)에서 튜닝된 복합영상신호의 수직동기신호(Vs)와 수평동기신호(Hs)를 분리하고, 수평포지션 선택부(110)는 동기분리부(100)에서 분리된 수직동기신호(Vs)와 수평동기신호(Hs)를 이용하여 영상신호가 존재하지 않는 구간(수직동기신호(Vs)가 존재하는 블랭킹구간)을 제외한 영상신호가 존재하는 구간을 선택하기 위한 펄스신호를 발생한다.2, the synchronizing separator 105 separates the vertical synchronizing signal Vs and the horizontal synchronizing signal Hs of the composite video signal tuned by the tuner 50, and the horizontal position selector 110 synchronizes the synchronizing signal. The video signal exists except for a section in which there is no video signal (a blanking section in which the vertical sync signal Vs exists) by using the vertical synchronization signal Vs and the horizontal synchronization signal Hs separated by the separation unit 100. Generates a pulse signal for selecting the section to be.

그리고, 제 1 AND 게이트(120)는 수평포지션 선택부(110)로 부터 발생되는 펄스신호를 일측입력단으로 입력하고, 동기분리부(100)에서 분리된 수평동기신호(Hs)를 타측입력단으로 입력한 다음 논리곱하므로써 영상신호가 존재하는 수평라인 영상신호를 선택하기 위한 펄스신호를 발생한다.In addition, the first AND gate 120 inputs a pulse signal generated from the horizontal position selector 110 to one input terminal, and inputs a horizontal synchronous signal Hs separated from the synchronization separator 100 to the other input terminal. Then, by performing a logical multiplication, a pulse signal for selecting a horizontal line video signal in which the video signal exists is generated.

또한, 제 1 카운터(130)는 제 1 AND 게이트(120)의 출력단에서 출력되는 펄스신호의 라이징에지(RISING EDGE)부터 외부로부터 제공되는 클럭(CLK)을 계수하여 제 1 소정갯수, 즉 수평라인 영상신호의 칼라버스트 신호와 페디스탈레벨이 존재하는 구간까지의 클럭갯수, 가 계수되면 하이레벨의 펄스신호를 발생하여 제 1 AND 게이트(120)의 출력단에서 출력되는 펄스신호의 폴링에지(FALLING EDGE)까지 발생한다.In addition, the first counter 130 counts a first predetermined number, i.e., horizontal line, from the rising edge of the pulse signal output from the output terminal of the first AND gate 120 to the external clock CLK. When the number of clocks up to the section where the color burst signal and the pedestal level of the video signal exist, the counting signal generates a high level pulse signal and the falling edge of the pulse signal output from the output terminal of the first AND gate 120. Occurs).

제2도에 있어서, 제 2 카운터(140)는 제 1 AND 게이트(120)의 출력단에서 출력되는 펄스신호의 라이징에지부터 하이레벨의 펄스신호를 발생한 다음 외부로부터 제공되는 클럭을 계수하여 설정된 제 2 소정갯수, 즉 제 1 AND 게이트(120)의 출력단에서 출력되는 펄스신호의 라이징에지부터 휘도신호가 시작하는 위치까지의 클럭갯수, 가 계수될 때까지 발생하고, 제 2 AND 게이트(145)는 제 2 카운터(140)로부터 발생되는 펄스신호를 일측입력단으로 입력하고 제 1 카운터(130)로부터 발생되는 펄스신호를 타측입력단으로 입력하여 논리곱하므로써 영상신호가 있는 수평라인 영상신호 중에 유효영상신호를 선택하기 위한 펄스신호를 발생한다.In FIG. 2, the second counter 140 generates a high level pulse signal from the rising edge of the pulse signal output from the output terminal of the first AND gate 120, and then counts a clock provided from the outside. The predetermined number, i.e., the number of clocks from the rising edge of the pulse signal output from the output terminal of the first AND gate 120 to the starting point of the luminance signal, is generated until the second AND gate 145 is counted. 2 Selecting an effective video signal from a horizontal line video signal having a video signal by inputting a pulse signal generated from the counter 140 to one input terminal and logically multiplying the pulse signal generated from the first counter 130 to the other input terminal. Generates a pulse signal for

상기한 바와 같은 구성부재로 이루어진 본 발명에 따른 PDP에서의 영상신호 선택장치의 동작과정에 대하여 제1,2,3,4,도를 참조하여 보다 상세하게 설명하기로 한다.The operation of the video signal selection device in the PDP according to the present invention, which is constituted as described above, will be described in more detail with reference to FIGS. 1, 2, 3, and 4.

먼저, 이 기술분야에 널리 알려진 바와 같이, 하나의 프레임(FRAME)은 262.5H 개(1H 부터 262.5H)의 수평라인 영상신호가 주사되어 이루어진 기수필드(ODD FIELD)와, 263.5H 개(262.5H 부터 525H)의 수평라인 영상신호가 주사되어 이루어진 우수필드(EVEN FIELD)로 구성된다. 또한, 수평라인 영상신호는 칼라버스트 신호와 페디스탈레벨, 유효영상신호 및 휘도신호로 구성된다.First, as is well known in the art, one frame (FRAME) is a radix field (ODD FIELD) in which 262.5H (1H to 262.5H) horizontal line video signal is scanned, and 263.5H (262.5H) 525H) is composed of an even field formed by scanning a horizontal line video signal. In addition, the horizontal line video signal includes a color burst signal, a pedestal level, an effective video signal, and a luminance signal.

여기에서, 본 발명은 실질적으로 수평라인 영상신호 중에 칼라버스트 신호와 페디스탈 레벨, 그리고 휘도신호를 제외한 유효영상신호 만을 선택하는 것에 관한 것이다.Herein, the present invention relates to substantially selecting only effective video signals excluding color burst signals, pedestal levels, and luminance signals among horizontal line video signals.

상술한 바와 같이, 한 프레임은 두 개의 필드(기수필드와 우수필드)로 구성되는데, 이해를 돕기 위해 기수필드와 우수필드의 두가지로 나누어서 설명하기로 한다.As described above, one frame is composed of two fields (odd field and even field), and will be described by dividing into two fields, odd field and even field, for better understanding.

첫째로, 기수필드의 수평라인 영상신호 중에 유효영상신호를 선택하는 동작과정에 대하여 설명하기로 한다.First, an operation process of selecting an effective video signal among the horizontal line video signals of the radix field will be described.

먼저, 방송국으로부터 전송되는 복합영상신호가 안테나를 통해 수신되어 튜너(50)에서 소망하는 채널의 복합영상신호가 튜닝된 다음 영상처리부(150) 및 펄스신호 발생부(100)로 입력된다.First, a composite video signal transmitted from a broadcasting station is received through an antenna, and the composite video signal of a desired channel is tuned by the tuner 50 and then input to the image processor 150 and the pulse signal generator 100.

그 다음, 펄스신호 발생부(100)로 입력된 복합영상신호 중에 수직동기신호(Vs)와 수평동기신호(Hs)가 동기분리부(105)에서 분리되어 수평포지션 선택부(110)로 각각 제공되고, 이때 수평포지션 선택부(110)로부터 제3도의 (a)에 도시된 바와 같은 기수필드의 복합영상신호 중에 영상신호가 없는 구간을 제외한 영상신호가 있는 구간을 선택하기 위한 펄스신호가 발생되어 제 1 AND 게이트(120)의 일측입력단으로 입력되고, 동기분리부(105)에서 분리된 수평동기신호(Hs)(제3도의 (b))가 제 1 AND 게이트(120)의 타측입력단으로 입력된다.Next, the vertical synchronizing signal Vs and the horizontal synchronizing signal Hs are separated from the synchronizing separator 105 among the composite image signals input to the pulse signal generator 100 and provided to the horizontal position selector 110, respectively. In this case, a pulse signal is generated from the horizontal position selector 110 to select a section in which the video signal except for the section in which the video signal is absent among the composite video signals of the radix field as shown in FIG. Input to one input terminal of the first AND gate 120, the horizontal synchronization signal (Hs) (Fig. 3 (b)) separated from the synchronization separator 105 is input to the other input terminal of the first AND gate 120. do.

따라서, 제 1 AND 게이트(120)의 출력단으로부터 제3도의 (c)와 같은 펄스신호가 발생되어 제 1 카운터(130)와 제 2 카운터(140)에 각각 제공되고, 이때 외부로부터의 클럭(CLK)이 제 1 카운터(130)와 제 2 카운터(140)로 각각 제공된다.Accordingly, a pulse signal such as (c) of FIG. 3 is generated from the output terminal of the first AND gate 120 and provided to the first counter 130 and the second counter 140, respectively. ) Are provided to the first counter 130 and the second counter 140, respectively.

다음은, 수평라인 영상신호의 유효영상신호를 선택하는 동작과정에 대하여 제4도를 참조하여 보다 상세하게 설명하기로 한다.Next, an operation process of selecting an effective video signal of the horizontal line video signal will be described in more detail with reference to FIG. 4.

여기에서, 제4도의 (a)는 제3도의 (c)에 도시된 제 1 AND 게이트(120)의 출력단으로부터 출력되는 펄스신호 중에 하나의 수평라인 영상신호에 대한 펄스신호를 도시한 것이고, 제4도의 (b)는 외부로부터의 제공되는 클럭(CLK)를 도시한 것이다.Here, (a) of FIG. 4 shows a pulse signal for one horizontal line image signal among the pulse signals output from the output terminal of the first AND gate 120 shown in (c) of FIG. 4 (b) shows the clock CLK provided from the outside.

한편, 제 1 AND 게이트(120)로부터 제4도의 (a)와 같은 하나의 수평라인 영상신호에 대한 펄스신호가 제 1 카운터(130)로 제공되면, 제 1 카운터(110)는 수평라인 영상신호에 대한 펄스신호의 라이징에지부터 외부로부터 제공되는 클럭(제4도의 (b))의 클럭갯수를 계수하여 설정된 제 1 소정갯수, 즉 칼라버스트 신호와 페디스탈 레벨이 존재하는 구간에 상응하는 클럭갯수, 가 모두 계수되면, 하이레벨의 펄스신호를 발생한 다음 하나의 수평라인 영상신호에 대한 펄스신호의 폴링에지까지 발생한다(제4도의 (c)).On the other hand, when a pulse signal for one horizontal line image signal as shown in FIG. 4A from the first AND gate 120 is provided to the first counter 130, the first counter 110 may transmit the horizontal line image signal. The first predetermined number set by counting the number of clocks from the rising edge of the pulse signal with respect to the external clock (Fig. 4 (b)), that is, the number of clocks corresponding to the section in which the color burst signal and the pedestal level exist. When both are counted, a high level pulse signal is generated, and then a polling edge of the pulse signal for one horizontal line image signal is generated ((c) of FIG. 4).

또한, 제 1 AND 게이트(120)로부터 제4도의 (a)와 같은 하나의 수평라인 영상신호에 대한 펄스신호가 제 2 카운터(140)로 제공되면, 제 2 카운터(140)는 하나의 수평라인 영상신호에 대한 펄스신호의 라이징에지부터 하이레벨의 펄스신호를 발생한 다음 외부로부터 제공되는 클럭(제4도의 (b))의 클럭갯수를 계수하여 설정된 제 2 소정갯수, 즉 수평라인 영상신호에 대한 펄스신호의 라이징에지부터 휘도신호의 시작위치까지의 클럭갯수, 가 모두 계수될 때까지 발생한다(제4도의 (d)).In addition, when a pulse signal for one horizontal line image signal as shown in FIG. 4A from the first AND gate 120 is provided to the second counter 140, the second counter 140 may have one horizontal line. Generates a high-level pulse signal from the rising edge of the pulse signal for the video signal, and then counts the number of clocks of the clock (Fig. 4 (b)) provided from the outside. It occurs until the number of clocks, from the rising edge of the pulse signal to the start position of the luminance signal, is all counted ((d) in FIG. 4).

그 다음, 제 1 카운터(130)로부터 제4도의 (c)와 같은 펄스신호가 발생되어 제 2 AND 게이트(145)의 일측입력단으로 입력되고, 제 2 카운터(140)로부터 제4도의 (d)와 같은 펄스신호가 발생되어 제 2 AND 게이트(145)의 타측입력단으로 입력되면, 제 2 AND 게이트(150)의 출력단으로부터 제3도의 (e)와 같이 영상신호가 존재하는 수평라인 영상신호 중에 유효영상신호를 선택하기 위한 펄스신호가 발생되어 영상처리부(150)로 제공된다.Next, a pulse signal such as (c) of FIG. 4 is generated from the first counter 130 and input to one input terminal of the second AND gate 145, and from (d) of FIG. When a pulse signal is generated and input to the other input terminal of the second AND gate 145, it is effective in the horizontal line image signal in which the image signal exists as shown in FIG. 3E from the output terminal of the second AND gate 150. A pulse signal for selecting an image signal is generated and provided to the image processor 150.

한편, 기수필드를 구성하는 나머지 수평라인 영상신호에 대해서도 펄스신호 발생부(100)로부터 상술한 바와 같은 동작과정을 통해 수평라인 영상신호 중에 유효영상신호를 선택하기 위한 펄스신호가 발생되어 영상처리부(150)로 제공된다.On the other hand, the pulse signal for selecting an effective video signal among the horizontal line video signal is generated from the pulse signal generator 100 as described above with respect to the remaining horizontal line video signals constituting the odd field. 150).

따라서, 제 2 AND 게이트(145)의 출력단으로부터 제공되는 영상신호가 존재하는 수평라인 영상신호 중에 유효영상신호를 선택하기 위한 펄스신호에 의거하여 튜너(50)에서 튜닝된 기수필드 복합영상신호의 수평라인 영상신호 중에 유효영상신호가 영상처리부(150)에서 영상처리된 다음, 메모리(200)에 저장된다.Accordingly, the horizontal of the radix field composite video signal tuned by the tuner 50 based on a pulse signal for selecting an effective video signal among the horizontal line video signals provided with the video signal provided from the output terminal of the second AND gate 145. The effective video signal of the line video signal is processed by the image processor 150 and then stored in the memory 200.

둘째로, 우수필드의 수평라인 영상신호 중에 유효영상신호를 선택하는 동작과정은, 우수필드를 구성하는 수평라인 영상신호가 263H부터 525H 까지인 점을 제외하고는 상술한 바와 같은 기수필드의 수평라인 영상신호 중에 유효영상신호를 선택하는 동작과정과 실질적으로 동일하므로, 중복된 기재를 피하기 위하여 여기에서의 기술은 생략하기로 한다.Secondly, the operation process of selecting an effective video signal among the horizontal line video signals of the even field is performed as described above, except that the horizontal line video signals constituting the even field are from 263H to 525H. Since the operation process of selecting an effective video signal among the video signals is substantially the same, the description herein will be omitted to avoid duplicate descriptions.

상술한 바와 같이, 소망하는 태널의 복합영상신호가 튜너(50)에서 튜닝되어 영상처리부(150)와 펄스신호 발생부(100)로 각각 제공되고, 복합영상신호 중에 수직동기신호(Vs)와 수평동기신호(Hs)가 동기분리부(105)에서 분리되어 제 1 카운터(130)로부터 수평라인 영상신호에 대한 펄스신호의 라이징에지에서 칼라버스트 신호와 페디스탈 레벨 이후부터 하이레벨의 펄스신호가 수평라인 영상신호의 폴링에지까지 발생되고 제 2 카운터(140)로부터 수평라인 영상신호에 대한 펄스신호의 라이징에지부터 휘도신호가 시작되는 위치까지 하이레벨의 펄스신호 발생되며, 최종적으로 제 2 AND 게이트(145)로부터 영상신호가 존재하는 수평라인 영상신호 중에 유효영상신호를 선택하기 위한 펄스신호가 발생되어 영상처리부(150)에서 영상처리된 다음 PDP로 디스플레이된다.As described above, the desired channel composite video signal is tuned by the tuner 50 and provided to the image processing unit 150 and the pulse signal generating unit 100, respectively, and the vertical synchronization signal Vs is horizontal to the composite video signal. The sync signal Hs is separated by the sync separator 105 so that the pulse signal of the high level is horizontal after the color burst signal and the pedestal level at the rising edge of the pulse signal for the horizontal line video signal from the first counter 130. A high level pulse signal is generated from the second counter 140 to the position where the luminance signal starts from the rising edge of the pulse signal for the horizontal line image signal. A pulse signal for selecting an effective video signal is generated from the horizontal line video signal in which the video signal exists, and is processed by the image processor 150 and then displayed on the PDP. .

한편, 이 기술분야의 숙련자는 본 발명의 실시예에서 제공되는 외부로부터의 클럭주파수가 달라지더라도 동일한 결과를 얻을 수 있다는 것을 쉽게 알 수 있을 것이다.On the other hand, those skilled in the art will readily appreciate that the same result can be obtained even if the clock frequency from the outside provided in the embodiment of the present invention is changed.

따라서, 본 발명을 이용하면, 영상신호가 존재하는 수평라인 영상신호 중에 유효영상신호 만을 선택하여 영상처리할 수 있으므로, 메모리의 낭비를 방지할 수 있을 뿐만 아니라 처리속도를 빠르게 할 수 있는 효과가 있다.Therefore, according to the present invention, since only the effective video signal can be selected and processed in the horizontal line video signal in which the video signal exists, it is possible to prevent waste of memory and to speed up the processing speed. .

Claims (7)

튜너(50)를 통해 방송국으로부터 전송되는 복합영상신호 중에 소망하는 채널의 복합영상신호를 영상처리한 다음 PDP로 디스플레이하는 PDP 장치에 있어서, 상기 튜너(50)를 통한 복합영상신호의 수직동기신호와 수평동기신호를 분리하기 위한 동기분리수단(105); 상기 동기분리수단(105)에서 분리된 수직동기신호와 수평동기신호 및 외부로부터의 클럭에 의거하여 상기 튜너를 통한 복합영상신호의 수평라인 영상신호 중에 유효영상신호가 존재하는 구간을 선택하기 위한 펄스신호를 발생하는 펄스신호 발생수단(110, 120, 130, 140, 145); 상기 펄스신호 발생수단(110, 120, 130, 140, 145)으로부터의 펄스신호에 의거하여 상기 튜너(50)를 통한 복합영상신호에서의 유효영상신호 중 수평라인 영상신호를 한 라인단위로 신호처리하기 위한 영상신호 처리수단(150)으로 이루어진 것을 특징으로 하는 PDP에서의 영상신호 선택장치.A PDP apparatus for processing a composite video signal of a desired channel among the composite video signals transmitted from a broadcasting station through a tuner 50 and then displaying the composite video signal through a tuner 50. Synchronous separating means 105 for separating horizontal synchronous signals; A pulse for selecting a section in which a valid video signal exists among the horizontal line video signals of the composite video signal through the tuner based on the vertical synchronous signal and the horizontal synchronous signal separated by the synchronization separating means 105 and a clock from the outside; Pulse signal generating means (110, 120, 130, 140, 145) for generating a signal; Based on the pulse signal from the pulse signal generating means (110, 120, 130, 140, 145), the horizontal line video signal of the effective video signal of the composite video signal through the tuner 50 in a line unit A video signal selection device in a PDP, characterized in that the video signal processing means (150). 제1항에 있어서, 상기 펄스신호 발생수단은, 상기 튜너에서 튜닝된 복합영상신호 중에 영상신호가 존재하는 구간을 선택하기 위한 펄스신호를 발생하는 수평포지션 선택수단; 상기 수평포지션 선택수단으로부터의 펄스신호와 상기 동기분리수단에서 분리된 수평동기신호를 이용하여 영상신호가 존재하는 수평라인 영상신호를 선택하기 위한 펄스신호를 발생하는 제 1 논리수단; 상기 제 1 논리수단으로부터의 펄스신호의 라이징에지로부터 상기 외부로부터 제공되는 클럭을 계수하여 설정된 제 1 소정갯수가 모두 계수되면, 하이레벨의 펄스신호를 발생한 다음 상기 제 1 논리수단으로부터 발생되는 펄스신호의 폴링에지까지 발생하는 제 1 펄스발생수단; 상기 제 1 논리수단에서의 펄스신호의 라이징에지로부터 하이레벨의 펄스신호를 발생한 다음, 상기 외부로부터 제공되는 클럭을 계수하여 설정된 제 2 소정갯수가 계수될 때까지 하이레벨의 펄스신호를 발생하는 제 2 펄스발생수단; 상기 제 1 펄스발생수단으로부터의 펄스신호와 상기 제 2 펄스발생수단으로부터의 펄스신호를 이용하여 영상신호가 존재하는 수평라인 영상신호 중에 유효영상신호를 선택하기 위한 펄스신호를 발생하는 제 2 논리수단으로 이루어진 것을 특징으로 하는 PDP에서의 영상신호 선택장치.2. The apparatus of claim 1, wherein the pulse signal generating means comprises: horizontal position selecting means for generating a pulse signal for selecting a section in which a video signal exists among the composite video signals tuned by the tuner; First logic means for generating a pulse signal for selecting a horizontal line video signal in which the video signal exists by using the pulse signal from the horizontal position selecting means and the horizontal synchronous signal separated by the synchronous separating means; When the first predetermined number set by counting the clock provided from the outside from the rising edge of the pulse signal from the first logic means is counted, a pulse signal of high level is generated and then a pulse signal generated from the first logic means. First pulse generating means for generating up to a falling edge of the pulse; Generating a high level pulse signal from the rising edge of the pulse signal in the first logic means, and then generating a high level pulse signal until the second predetermined number set by counting a clock supplied from the outside is counted; Two pulse generating means; Second logic means for generating a pulse signal for selecting an effective video signal from a horizontal line video signal in which the video signal exists using the pulse signal from the first pulse generating means and the pulse signal from the second pulse generating means Image signal selection device in the PDP, characterized in that consisting of. 제1항에 있어서, 상기 영상신호 처리수단은, 상기 펄스신호 발생수단으로부터의 펄스신호에 의거하여 상기 튜너를 통한 복합영상신호의 영상신호가 있는 수평라인 영상신호 중에 유효영상신호를 영상처리하기 위한 영상처리수단; 상기 영상처리수단에서 영상처리된 기수필드의 유효영상신호를 저장하기 위한 메모리로 이루어진 것을 특징으로 하는 PDP에서의 영상신호 선택장치.The video signal processing unit of claim 1, wherein the video signal processing unit is configured to perform processing of an effective video signal in a horizontal line video signal having a video signal of a composite video signal through the tuner based on a pulse signal from the pulse signal generating unit. Image processing means; And a memory for storing the effective video signal of the radix field processed by the video processing means. 제2항에 있어서, 상기 각 펄스발생수단은 카운터인 것을 특징으로 하는 PDP에서의 영상신호 선택장치.3. An apparatus according to claim 2, wherein each pulse generating means is a counter. 제2항에 있어서, 상기 제 1 소정갯수는 칼라버스트 신호와 페디스탈 레벨이 존재하는 구간에 상응하는 클럭갯수인 것을 특징으로 하는 PDP에서의 영상신호 선택장치.The apparatus of claim 2, wherein the first predetermined number is a number of clocks corresponding to a section in which a color burst signal and a pedestal level exist. 제2항에 있어서, 상기 제 2 소정갯수는 하나의 수평라인 영상신호의 라이징에지부터 휘도신호가 시작하는 위치까지에 상응하는 클럭갯수인 것을 특징으로 하는 PDP에서의 영상신호 선택장치.3. The apparatus of claim 2, wherein the second predetermined number is a clock number corresponding to a rising edge of one horizontal line image signal to a position at which the luminance signal starts. 제2항에 있어서, 상기 각 논리수단은 AND 게이트인 것을 특징으로 하는 PDP에서의 영상신호 선택장치.3. The apparatus of claim 2, wherein each logic means is an AND gate.
KR1019950013834A 1995-05-30 1995-05-30 Apparatus for selecting the video signal in plasma display panel KR0174925B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1019950013834A KR0174925B1 (en) 1995-05-30 1995-05-30 Apparatus for selecting the video signal in plasma display panel

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1019950013834A KR0174925B1 (en) 1995-05-30 1995-05-30 Apparatus for selecting the video signal in plasma display panel

Publications (2)

Publication Number Publication Date
KR960042860A KR960042860A (en) 1996-12-21
KR0174925B1 true KR0174925B1 (en) 1999-03-20

Family

ID=19415853

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019950013834A KR0174925B1 (en) 1995-05-30 1995-05-30 Apparatus for selecting the video signal in plasma display panel

Country Status (1)

Country Link
KR (1) KR0174925B1 (en)

Also Published As

Publication number Publication date
KR960042860A (en) 1996-12-21

Similar Documents

Publication Publication Date Title
EP0113392B1 (en) Electronic viewfinder
EP0406524B1 (en) Multistandard on screen display in a TV receiver
US5940061A (en) Liquid-crystal display
KR100339898B1 (en) Image display apparatus
US5610667A (en) Apparatus and method for maintaining synchronism between a picture signal and a matrix scanned array
KR960007545B1 (en) Main screen position recompensating circuit & method
KR0174925B1 (en) Apparatus for selecting the video signal in plasma display panel
KR0174926B1 (en) Apparatus for selecting the video signal in pdp
KR100207375B1 (en) Vertical position control device on the pdp
KR0178214B1 (en) Video signal distinguishing device in plasma display panel
KR100405275B1 (en) Character display device
KR100213294B1 (en) Apparatus for generating horizontal blank of video signals in pdp tv
JPH08331486A (en) Image display device
KR0170940B1 (en) Apparatus for establishing the horizontal position in plasma display panel television
KR0174929B1 (en) Apparatus for processing the video signal in plasma display panel
KR100197381B1 (en) Apparatus for muting the video digital in pdp in tv
KR100196834B1 (en) Apparatus for distinguishing the video signal in pdp in tv
KR100213295B1 (en) Apparatus for generating vertical blank of video signals in pdp tv
KR0159399B1 (en) Apparatus for distinguishing the video signal
KR100565626B1 (en) Apparatus and Method for Over Scan of Video Device
KR100196871B1 (en) Apparatus for distinguishing the video signal in pdp tv
KR100227114B1 (en) Semi-transparent image chattering apparatus for television
US8687029B2 (en) Method for reducing ripple noise of a display image
KR100197380B1 (en) Apparatus for directing the generation of data as channel transfer in pdp tv
KR100196864B1 (en) Apparatus for processing the data in plasma display panel television

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20071101

Year of fee payment: 10

LAPS Lapse due to unpaid annual fee