KR0126249B1 - Dry etching method and apparatus thereof - Google Patents

Dry etching method and apparatus thereof

Info

Publication number
KR0126249B1
KR0126249B1 KR1019930011383A KR930011383A KR0126249B1 KR 0126249 B1 KR0126249 B1 KR 0126249B1 KR 1019930011383 A KR1019930011383 A KR 1019930011383A KR 930011383 A KR930011383 A KR 930011383A KR 0126249 B1 KR0126249 B1 KR 0126249B1
Authority
KR
South Korea
Prior art keywords
gas
chamber
dry etching
etching
high frequency
Prior art date
Application number
KR1019930011383A
Other languages
Korean (ko)
Other versions
KR940006216A (en
Inventor
신이찌 이마이
노리히코 타마키
Original Assignee
모리시타 요이찌
마쯔시다덴기산교 가부시기가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 모리시타 요이찌, 마쯔시다덴기산교 가부시기가이샤 filed Critical 모리시타 요이찌
Publication of KR940006216A publication Critical patent/KR940006216A/en
Application granted granted Critical
Publication of KR0126249B1 publication Critical patent/KR0126249B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명은 반도체기판의 일부를 가스를 사용해서 제거하도록 한 드라이에칭법 및 그 장치의 개량에 관한 것으로서, 플라즈마에칭을 이용하면서, 플라즈마중합에 의한 부착막의 형성을 제어할 수 있는 반도체기판의 드라이에칭법, 드라이에칭장치를 제공하는 것을 목적으로 한 것이며, 그 구성에 있어서, 고주파전원(13)에 접속되는 1쌍의 전극(12a),(12b)을 채임버(11)내에 설치하고, 실리콘기판(X1)은, 하부전극(12b)위에 설치되어 있으며, CHF3가스공급장치(16)로부터 불화탄소가스인 CHF3가스를 공급하고, CIF3가스공급장치(17)로부터 할로겐간화합물가스인 CIF3가스를 공급한 후, 고주파전원(13)을 온한다. 이에 의해, 채임버(11)의 내벽을 CIF3가스로부터 발생하는 할로겐래디컬로 덮어서, CHF3가스의 플라즈마중합에 의한 폴리머의 생성을 억제하고, 부착막의 생성을 적당한 정도로 제어한다. 즉, 개구부의 형상을 적절한 형상으로 제어하므로서 치수이동이 작게 된다. 또 채임버(11)의 내벽에 부착하는 폴리머에 의한 먼지도 저감하게 한 것을 특징으로 한 것이다.BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a dry etching method in which a portion of a semiconductor substrate is removed by using a gas, and to an improvement of the apparatus. Dry etching of a semiconductor substrate capable of controlling the formation of an adhesion film by plasma polymerization while using plasma etching It is an object of the present invention to provide a dry etching apparatus, and in this configuration, a pair of electrodes 12a, 12b connected to the high frequency power source 13 are provided in the chamber 11, and a silicon substrate is provided. of (X1) is, and is installed on the lower electrode (12b), CHF 3 gas supply device supplies the fluorocarbon gas is CHF 3 gas from (16), CIF 3 gas supply halogen to-compound gas from (17) CIF 3 After the gas is supplied, the high frequency power supply 13 is turned on. As a result, the inner wall of the chamber 11 is covered with halogen radicals generated from the CIF 3 gas, thereby suppressing the generation of the polymer by plasma polymerization of the CHF 3 gas and controlling the formation of the adhesion film to an appropriate level. That is, the dimension movement becomes small by controlling the shape of the opening portion to an appropriate shape. Moreover, the dust by the polymer adhering to the inner wall of the chamber 11 is also reduced.

Description

드라이에칭법 및 드라이에칭장치Dry etching method and dry etching device

제1도는 실시예에 있어서의 에칭장치의 구성을 개략적으로 표시한 단면도,1 is a cross-sectional view schematically showing the configuration of the etching apparatus in the embodiment;

제2도는 제1실시예의 방법에 의한 LOCOS의 형성공정에 있어서의 실리콘기판의 상태를 표시한 단면도,2 is a cross-sectional view showing the state of the silicon substrate in the LOCOS forming process by the method of the first embodiment;

제3도는 플라즈마중합에 의한 부착막의 형성과 할로겐원자에 의한 기화성물질의 생성기구를 설명하는 도면,3 is a view for explaining the formation mechanism of the adhesion film by plasma polymerization and the generation mechanism of vaporizable material by halogen atom;

제4도는 종래의 CHF3가스 및 CF4가스의 혼합가스와, 실시예에 관한 CHF3가스 및 CIF3가스의 혼합 가스와의 용착속도 및 선택비의 특성을 표시한 특성도,4 is a characteristic diagram showing the characteristics of the deposition rate and selectivity of the mixed gas of the conventional CHF 3 gas and CF 4 gas, and the mixed gas of CHF 3 gas and CIF 3 gas according to the embodiment,

제5도는 제2실시예의 방법에 의한 콘택트에칭공정에 있어서의 실리콘기판의 상태를 표시한 단면도,5 is a cross-sectional view showing the state of the silicon substrate in the contact etching process according to the method of the second embodiment;

제6도는 제2실시예에 있어서의 에칭공정의 순서를 표시한 순서도,6 is a flowchart showing a procedure of an etching process in the second embodiment;

제7도는 CIF3가스를 사용한 경우의, BPSG막의 에칭속도 및 균일성의 CIF3가스 농도에 대한 의존성에 대한 실험결과를 표시한 데이터,7 shows data showing the results of experiments on the dependence of the etching rate and uniformity of the BPSG film on the CIF 3 gas concentration when the CIF 3 gas is used,

제8도는 할로겐과 화합물가스를 사용하지 않는 경우의, BPSG막의 에칭속도 및 균일성의 CF4가스 농도에 대한 의존성에 대한 실험결과를 표시한 데이터,8 shows data showing the results of experiments on the dependence of the etching rate and uniformity of the BPSG film on the CF 4 gas concentration in the absence of halogen and compound gas,

제9도는 제3실시예에 있어서의 콘택트에칭의 공정을 표시한 순서도,9 is a flowchart showing a process of contact etching in the third embodiment;

제10도는 종래의 실리콘질화막용 에칭장치의 구성을 모식적으로 표시한 도면,10 is a diagram schematically showing the configuration of a conventional silicon nitride film etching apparatus;

제11도는 종래의 방법에 의한 LOCOS의 형성공정에 있어서의 실리콘기판의 상태를 표시한 단면도,11 is a cross-sectional view showing a state of a silicon substrate in a LOCOS forming process by a conventional method;

제12도는 종래의 콘택트호올에칭장치의 구성을 모식적으로 표시한 도면,12 is a view schematically showing the configuration of a conventional contact hole etching apparatus;

제13도는 종래의 콘택트호올에칭의 공정을 표시한 순서도.13 is a flowchart showing a process of conventional contact hole etching.

*도면의 주요부분에 대한 부호의 설명** Description of the symbols for the main parts of the drawings *

1 : 실리콘질화막2 : 실리콘산화막1 silicon nitride film 2 silicon oxide film

3 : 실리콘기판본체4 : LOCOS3: silicon substrate body 4: LOCOS

5 : 포토레지스트막6 : BPSG막5: photoresist film 6: BPSG film

7 : 개구부(LOCOS용)8 : 콘택트호올7 opening (for LOCOS) 8 contact contact

11a : 채임버본체11b : 채임버뚜껑부재11a: chamber body 11b: chamber lid member

12a : 상부전극12b : 하부전극12a: upper electrode 12b: lower electrode

13 : 고주파전원14a : 제1가스분출구13: high frequency power supply 14a: first gas outlet

14b : 제2가스분출구15 : 배기구14b: second gas outlet 15: exhaust port

A : 에칭장치X : 실리콘기판A: Etching apparatus X: Silicon substrate

본 발명은, 반도체기판의 일부를 가스를 사용해서 제거하도록 한 드라이에칭법 및 드라이에칭장치의 개량에 관한 것이다.The present invention relates to a dry etching method and a dry etching apparatus in which a part of a semiconductor substrate is removed using a gas.

최근, 전자장치에 대한 고성능화, 콤팩트화등의 요구에 따라, 각종 반도체장치의 집적도는 증대의 일로를 걷고 있다. 여기서, 반도체장치를 제조하는데 있어서는, 반도체기판위에, 트랜지스터등의 소자를 형성하고, 또, 그 위에 절연층이나 전기배선층을 입체적으로 형성해가는 기술이 필요하다. 즉, 절연막, 도전막을 퇴적등을 할 뿐만 아니라, 절연막이나 도전막을 선택적으로 혹은 전면적으로 제거하는 기술도 중요하다. 이러한 절연막등을 제거하는 기술로서, 에칭제로서 액체를 사용하는 웨트에칭법과, 가스를 이용하는 드라이에칭법이 있으며, 각각 제조공정의 각 공정에 있어서, 피에칭물의 재질, 형상등에 따라서, 잘 가려서 사용하고 있다. 최근에는, 드라이에칭법은 반도체장치를 제조하는데 있어서, 필수적인 기술이라고 할 수 있다.In recent years, as the demand for high performance and compactness of electronic devices is increased, the degree of integration of various semiconductor devices is increasing. Here, in manufacturing a semiconductor device, a technique of forming elements such as transistors on a semiconductor substrate and three-dimensionally forming an insulating layer and an electrical wiring layer thereon is required. In other words, not only the insulating film and the conductive film are deposited, but also a technique for selectively or entirely removing the insulating film and the conductive film is also important. As a technique for removing such an insulating film, there is a wet etching method using a liquid as an etchant and a dry etching method using a gas. In each step of the manufacturing process, the method is well screened depending on the material and shape of the target object. Doing. In recent years, the dry etching method is an essential technique in manufacturing a semiconductor device.

예를들면, 반도체장치를 제조할때에 각 소자간을 분리하기 위한 소자분리기술로서는, LOCOS(Local Oxidation of Silicon의 줄임)형성법이 가장 잘 사용되고 있다. 이것은, 반도체기판위에 실리콘산화막을 형성한 후, 부분적으로 그 실리콘산화막을 두껍게 성장시키고, 그 두껍게 성장한 영역을 소자분리영역으로 하는 것이다. 이 LOCOS 기술에서는, 실리콘산화막위에, 소자분리영역이외의 실리콘산화막을 마스크하기 위한 실리콘질화막을 형성하는 것이 행하여지고 있다.For example, LOCOS (reduction of Local Oxidation of Silicon) formation method is best used as a device isolation technique for separating each device when manufacturing a semiconductor device. This is to form a silicon oxide film on a semiconductor substrate, and then partially grow the silicon oxide film thickly and use the thickly grown region as an isolation region. In this LOCOS technique, a silicon nitride film for masking a silicon oxide film other than an element isolation region is formed on the silicon oxide film.

이하, 상기의 LOCOS 형성공정에 있어서 실리콘질화막을 에칭하기 위한 드라이에칭법에 대하여 설명한다.Hereinafter, the dry etching method for etching a silicon nitride film in said LOCOS formation process is demonstrated.

제10도, 종래의 실리콘질화막용 에칭장치를 개략적으로 표시한 도면이다. RIE방식의 에칭장치 A의 채임버(10)내에, 1쌍의 전극(12a),(12b)을 상하방향으로 서로 대치시켜서 설치하고, 하부전극(12b)위에, 실리콘기판(X1)을 전기적으로 접속시켜서 설치한다. CH2F2가스공급장치(18) 및 O2가스공급장치(19)로부터, 채임버(10)내에 에칭용 반응성가스로서 CH2F2가스와 O2가스를 각각 30㎤/min 과 15㎤/min 정도의 유량으로 도입한다. 그리고, 배기구(15)를 통해서 진공펌프에 의해 8Pa의 압력으로 유지하면서, 고주파전원(13)으로부터 13.56MHz의 고주파전압을 250W의 파워로 인가하므로서, 반응성가스중에 플라즈마를 발생시켜서, 실리콘질화막(1)을 에칭한다.10 is a view schematically showing a conventional etching apparatus for a silicon nitride film. In the chamber 10 of the RIE etching apparatus A, a pair of electrodes 12a and 12b are disposed to face each other in the vertical direction, and the silicon substrate X1 is electrically placed on the lower electrode 12b. Connect and install. From the CH 2 F 2 gas supply device 18 and the O 2 gas supply device 19, the CH 2 F 2 gas and the O 2 gas were respectively 30 cm 3 / min and 15 cm 3 as the reactive gas for etching in the chamber 10. Introduced at a flow rate of about / min. Then, while maintaining the pressure of 8 Pa by the vacuum pump through the exhaust port 15, while applying a high frequency voltage of 13.56 MHz from the high frequency power source 13 at a power of 250 W, plasma is generated in the reactive gas, and the silicon nitride film 1 ) Is etched.

제11도(a)∼(e)는, 소자분리영역을 형성하기 위한 각 공정에 있어서의 실리콘기판(X1)의 단면을 표시한다.11A to 11E show cross sections of the silicon substrate X1 in each step for forming the element isolation region.

먼저, 제11도(a)에 표시한 바와 같이, 실리콘기판본체(3)위에 두께 10nm∼20nm 정도의 실리콘산화막(2)과 두께 160nm∼200nm 정도의 실리콘질화막(1)을 퇴적하고, 그 위에 포토레지스트막(5)을 도포한 후, 패터닝해서, 포토레지스트막(5)의 마스크를 형성한다.First, as shown in FIG. 11A, a silicon oxide film 2 having a thickness of about 10 nm to 20 nm and a silicon nitride film 1 having a thickness of about 160 nm to 200 nm are deposited on the silicon substrate main body 3, and thereon. After the photoresist film 5 is applied, patterning is performed to form a mask of the photoresist film 5.

다음에, 제11도(b)에 표시한 바와 같이, 포토레지스트막(5)을 마스크로 해서, 드라이에칭을 행하여, 실리콘질화막(1)만을 선택적으로 제거한다. 이때, 소자분리영역을 형성할려고 하는 영역에 개구부(7)가 형성된다.Next, as shown in FIG. 11B, dry etching is performed using the photoresist film 5 as a mask to selectively remove only the silicon nitride film 1. At this time, the opening 7 is formed in the region where the device isolation region is to be formed.

그후, 제11도(c)에 표시한 바와 같이, 애싱에 의해, 포토레지스트막(5)을 제거한다.Thereafter, as shown in Fig. 11C, the photoresist film 5 is removed by ashing.

이상의 공정에 의해서, 실리콘산화막(2)의 산화공정에 있어서의 마스크가 되는 실리콘질화막(1)의 패터닝을 행한 후, 실리콘산화막(2)의 선택산화공정으로 나아간다.By the above process, after patterning the silicon nitride film 1 which becomes a mask in the oxidation process of the silicon oxide film 2, it advances to the selective oxidation process of the silicon oxide film 2.

즉, 제11도(d)에 표시한 바와 같이, 패터닝된 실리콘질화막(1)을 마스크로 하고, 마스크의 개구부(7)의 실리콘산화막(2)을 선택적으로 산화해서, 산화막을 두껍게 성장시켜, 소자분리영역인 LOCOS(4)를 얻는다.That is, as shown in Fig. 11 (d), using the patterned silicon nitride film 1 as a mask, the silicon oxide film 2 in the opening portion 7 of the mask is selectively oxidized to grow the oxide film thickly, LOCOS 4, which is an element isolation region, is obtained.

그런연후에, 제11도(e)에 표시한 바와 같이, 열인산을 주성분으로 해서 사용하는 웨트에칭법에 의해서, 실리콘질화막(1)만을 제거한다.After that, as shown in Fig. 11E, only the silicon nitride film 1 is removed by a wet etching method using thermal phosphoric acid as a main component.

이상의 공정에 의해, 실리콘기판본체(3)위에 실리콘산화막(2)를 형성하고, 그 일부를 두껍게 해서, 소자분리영역으로 하는 것이다.By the above steps, the silicon oxide film 2 is formed on the silicon substrate body 3, and a part of the silicon oxide film 2 is thickened to form an element isolation region.

또, 다른 하나의 예에서는, 반도체기판위에 다층배선층을 형성할 때등, 층간절연막을 선택적으로 에칭해서, 기판의 활성영역에 전기배선을 접촉시키기 위한 콘택트호올을 형성하는 방법이 있다. 이 배선용 콘택트호올의 개구부에 관해서는, 층간절연막이 에칭되고, 밑바탕이 되는 기판등이 노출된 후에, 그 밑바탕도 어느정도 에칭작용을 받아, 손상층이 발생한다. 이 손상층은, 반도체장치의 기능에 악영향을 미치므로, 에칭후에 있어서의 손상층의 제거공정이 필수적이고, 대단히 중요하다. 이하에, 종래의 콘택트호올을 형성하기 위한 드라이에칭법에 대해서 설명한다.In another example, there is a method of selectively etching an interlayer insulating film, such as when forming a multilayer wiring layer on a semiconductor substrate, to form a contact hole for bringing electrical wiring into contact with an active region of the substrate. Regarding the openings of the contact holes for wiring, after the interlayer insulating film is etched and the underlying substrate or the like is exposed, the underlying substrate is subjected to etching to some extent to generate a damaged layer. Since this damaged layer adversely affects the function of the semiconductor device, a step of removing the damaged layer after etching is essential and very important. Below, the dry etching method for forming a conventional contact hose is demonstrated.

제12도는, 종래의 실리콘산화막 콘택트에칭장치를 개략적으로 표시한 도면이다. 3전극방식의 에칭장치A의 채임버(10)내에는, 대향전극(12a)∼(12c)중 하부전극(12b)위에, 스위칭트랜지스터 형성후에 실리콘산화막을 퇴적시켜서 포토레지스트막의 패턴형성을 행한 실리콘기판(X2)(상세한 도면은 생략함)을 설치한다. 이 채임버(10)내에, CHF3가스공급장치(16) 및 CH4가스공급장치(19)로부터, 에칭가스로서 CHF3가스와 CF4가스를 도입하면서 배기구(15)를 통해서 진공펌프에 의해 26.8Pa의 압력으로 유지하도록 되어 있다. 또, 고주파전원(13a)으로부터 하부전극(12b)에 100KHz의 고주파전압을 100W의 파워로 인가하는 한편, 고주파전원(13b)으로부터 가로전극(12c)에 13.56MHz의 전압을 200W의 파워로 인가하도록 되어 있다.12 is a diagram schematically showing a conventional silicon oxide film contact etching apparatus. In the chamber 10 of the three-electrode etching apparatus A, a silicon oxide film is deposited on the lower electrode 12b of the counter electrodes 12a to 12c after formation of the switching transistor to form a pattern of the photoresist film. The board | substrate X2 (detailed drawing is abbreviate | omitted) is provided. In the chamber 10, a CHF 3 gas and a CF 4 gas are introduced from the CHF 3 gas supply device 16 and the CH 4 gas supply device 19 through an exhaust port 15 while introducing CHF 3 gas and CF 4 gas as etching gas. It is intended to be maintained at a pressure of 26.8 Pa. In addition, a high frequency voltage of 100 KHz is applied to the lower electrode 12b from the high frequency power supply 13a at a power of 100 W, while a voltage of 13.56 MHz is applied to the horizontal electrode 12c from the high frequency power supply 13b at a power of 200 W. It is.

제13도는, 종래의 콘택트에칭공정의 흐름을 표시한다. 먼저, 스텝 SR11에서, 채임버(10)내에 에칭가스로서, CHF3가스와 O2가스를, 각각 27㎤/min 과 9㎤/min의 유량으로 도입하고, 스텝 SR12에서, 고주파전압을 인가한다. 이에 의해, 스텝 SR13에서, 플라즈마를 발생시키고, 스텝 SR14에서, 실리콘기판(X2)위의 실리콘산화막을 제거하여 콘택트호올을 형성하는 콘택트에칭을 행한 후, 스텝 SR15에서, 실리콘기판(X2)위에서 콘택트에칭을 종료시키기 위하여 고주파전원(13)을 OFF한다.13 shows the flow of a conventional contact etching process. First, in step SR11, CHF 3 gas and O 2 gas are introduced into the chamber 10 at a flow rate of 27 cm 3 / min and 9 cm 3 / min, respectively, and a high frequency voltage is applied in step SR 12. . Thus, in step SR13, plasma is generated, and in step SR14, a contact etching is performed in which the silicon oxide film on the silicon substrate X2 is removed to form a contact hole, and then in step SR15, the contact is formed on the silicon substrate X2. In order to finish the etching, the high frequency power supply 13 is turned off.

그후, 스텝 SR16에서, 에칭의 손상층을 제거하기 위하여 CF4가스와 CF4가스를 각각 20㎤/min과 40㎤/min의 유량으로 채임버내에 도입하고, 스텝(SR17)에서, 고주파전원(13)을 ON으로 해서, 고주파전압을 인가한다. 이에 의해, 스텝 SR18에서, 가스중에 플라즈마가 발생하고, 스탭 SR19에서, 손상층이 에칭되고, 스텝 SR20에서, 에칭이 종료된다.Thereafter, in step SR16, CF 4 gas and CF 4 gas are introduced into the chamber at a flow rate of 20 cm 3 / min and 40 cm 3 / min, respectively, in order to remove the damaging layer of etching. 13) is turned on to apply a high frequency voltage. Thereby, a plasma is generated in gas in step SR18, a damage layer is etched in step SR19, and an etching is complete | finished in step SR20.

그런데, 상기 LOCOS 형성공정에 있어서, 반도체장치의 집적도의 증대에 따라, 소자분리영역간의 치수도 미세하게 되므로, 실리콘질화막의 드라이에칭의 가공정밀도의 향상이 중요하게 되어 오고 있다. 또 콘택트에칭에 대해서도, 마찬가지이다.By the way, in the LOCOS forming step, as the degree of integration of the semiconductor device increases, the dimension between the element isolation regions also becomes fine, and therefore the improvement of the processing precision of dry etching of the silicon nitride film has become important. The same applies to the contact etching.

여기서, 드라이에칭중에서도, 특히 플라즈마에칭이란, CF4가스, CHF3가스, CH2F2가스등과 H2가스 또는 O2가스등과의 혼합가스등의 도입에 의해, 플라즈마중에서 F 래디컬을 발생시키고, 이 F 래디컬과 실리콘과의 반응에 의해서 기화성의 물질인 SiF, WF6등을 생성시키는 방법이다. 그리고, 실리콘기판, 실리콘산화막, 실리콘질화막등의 실리콘을 함유한 물질의 막을 위시하여, Al, Mo, W 등의 패터닝이 행하여진다.In the dry etching, in particular, plasma etching generates F radicals in the plasma by introducing a mixed gas of CF 4 gas, CHF 3 gas, CH 2 F 2 gas, and H 2 gas or O 2 gas. By reacting F radicals with silicon, SiF, WF 6 and the like which are vaporizable substances are produced. Then, patterning of Al, Mo, W, and the like is performed, including a film of silicon-containing materials such as a silicon substrate, a silicon oxide film, and a silicon nitride film.

그때, 플라즈마에칭에서는, 이온과 중성래디컬이 발생하고, 이온쪽은 실리콘기판 방향으로 가속되어, 그 충돌에너지에 의해서 실리콘질화막(1)등이 제거되기 때문에, 이방성에칭이 된다. 한편, 래디컬은 중성이기 때문에, 전계등에서 가속되는 일은 없고, 등방성에칭이 된다. 그러나, 탄소함유가스를 사용하는 에칭과 동시에 플라즈마중합막이 피에칭부 특히 개구부의 측벽에 부착하기 때문에, 래디컬에 의한 등방성에칭이 억제된다. 즉, 마스크 바로 아래부분에서 측벽의 에칭에 의해서 언더컷이 발생하는 것이 방지된다. 이 점이 플라즈마에칭의 이점이다.At this time, in the plasma etching, ions and neutral radicals are generated, the ions are accelerated toward the silicon substrate direction, and the silicon nitride film 1 and the like are removed by the collision energy, thereby resulting in anisotropic etching. On the other hand, since radicals are neutral, they are not accelerated by an electric field or the like, and isotropic etching. However, since the plasma polymerized film adheres to the etched portion, especially the sidewall of the opening, simultaneously with etching using the carbon-containing gas, isotropic etching due to radicals is suppressed. That is, undercutting is prevented from occurring by etching the sidewalls just below the mask. This is an advantage of plasma etching.

그러나, 상기 종래의 LOCOS(4)를 형성할 때에 행하여지는 실리콘질화막(1)등의 드라이에칭법에서는, 제 11도(d) 및 (c)에 표시한 바와 같이, 에칭후의 실리콘질화막(1)의 개구부(7)의 형상은 60도 정도의 순테이퍼 형상으로 된다. 이 때문에, 실리콘질화막(1)의 패터닝의 치수변동이 0.1㎛ 정도나 된다. 반도체장치의 고집적화가 진행이 되면 소자간분리용의 실리콘산화막의 폭도 좁게할 필요성이 생기나, 이 치수변동 때문에, LOCOS의 폭이 더욱 좁아져서, 필요한 소자분리기능을 얻을 수 없는 우려가 있다. 또, CH2F2와 CF4가 가스계에서는 플라즈마발생시에 폴리머가 생성되어서, 부착막으로서 기판위나 채임버 내벽에 부착하고, 상기 폴리머가 먼지로 되어, 반도체장치의 제조상 악영향을 미칠 우려가 있었다.However, in the dry etching method such as the silicon nitride film 1 which is performed when the conventional LOCOS 4 is formed, the silicon nitride film 1 after etching, as shown in Figs. 11D and 11C, is shown. The opening 7 has a forward tapered shape of about 60 degrees. For this reason, the dimension variation of the patterning of the silicon nitride film 1 is about 0.1 micrometer. As the integration of semiconductor devices proceeds, the necessity of narrowing the width of the silicon oxide film for element-to-element separation also arises. However, due to this dimensional variation, the width of the LOCOS is further narrowed, and the necessary device separation function may not be obtained. In addition, in the case of CH 2 F 2 and CF 4 gas systems, polymers are generated during plasma generation, adhere to the substrate or the inner wall of the chamber as an adhesion film, and the polymer becomes dust, which may adversely affect the manufacturing of semiconductor devices. .

한편, 콘택트호올형성을 위한 드라이에칭에서도, 상기한 바와 같은 치수이동이나 폴리머의 발생에 의한 먼지의 발생이 많다고 하는 문제가 있다. 이에 더해서, 상기의 제13도에 표시한 바와 같이, 스텝(SR11)∼(SR15)의 드라이에칭을 행하면, 실리콘산화막(2) 뿐만 아니라, 밑바탕의 실리콘기판본체(3)도 동시에 에칭되어, 손상층이 발생한다. 이 때문에, 손상층을 제거하기 위하여, 다른 조건으로 반응성가스를 도입하여, 재차 고주파전압을 인가해서, 손상층 에칭을 행하는 공정(상기 제11도의 스텝(SR16)∼(SR19)을 설정할 필요가 있었다.On the other hand, even in dry etching for forming a contact hole, there is a problem that a lot of dust is generated by the above-mentioned dimensional shift and generation of a polymer. In addition, as shown in FIG. 13, when dry etching of steps SR11 to SR15 is performed, not only the silicon oxide film 2 but also the underlying silicon substrate body 3 is simultaneously etched and damaged. Layer occurs. For this reason, in order to remove the damaged layer, it was necessary to set the steps (steps SR16 to SR19 in FIG. 11 above) to introduce a reactive gas under different conditions, apply a high frequency voltage, and perform the damaged layer etching. .

본 발명은, 이러한 점에 비추어서 이루어진 것으로서, 주된 목적은, 먼지의 발생 및 치수변동이 불화탄소가스의 분해에 의한 예를들면 CHF3분자 등의 플라즈마중합에 의한 폴리머의 발생에 기인한 것에 착한하여, 이러한 플라즈마중합을 억제하는 수단을 강구하므로서, 치수변동을 억제하고, 또한 먼지를 저감하는데 있다.The present invention has been made in view of this point, and the main object thereof is that the generation of dust and dimensional variation are due to the generation of polymer by plasma polymerization such as CHF 3 molecules due to decomposition of carbon fluoride gas. By devising means for suppressing such plasma polymerization, it is possible to suppress dimensional fluctuations and to reduce dust.

또, 제2의 목적은, 드라이에칭공정으로, 손상층을 제거하는 수단을 강구하므로서, 뒤의 손상층 제거를 위한 특별한 처리를 불필요하게 하여, 제조공정의 간소화를 도모하는데 있다.Moreover, the 2nd objective is to simplify the manufacturing process by making the dry etching process take the means of removing a damage layer, and making the special process for removal of a damage layer later unnecessary.

상기 목적을 달성하기 위하여, 본 발명의 제1발명이 강구한 수단은, 고주파전원에 접속되는 적어도 1쌍의 전극을 채임버내에 설치해서 이루어진 에칭장치내에서, 반도체기판의 일부를 반응성가스와의 반응에 의해 제거하도록 한 드라이에칭법으로서, 반도체기판을 채임버내에 설치하고, 상기 채임버내에, 적어도 할로겐간화합물가스와 불화탄소가스를 도입하고, 다음에, 상기 고주파전원으로부터 상기 전극에 고주파전압을 인가하도록 한 것이다.In order to achieve the above object, the first invention of the present invention seeks to provide a part of a semiconductor substrate with a reactive gas in an etching apparatus in which at least one pair of electrodes connected to a high frequency power source is provided in a chamber. As a dry etching method for removing by reaction, a semiconductor substrate is provided in a chamber, at least an interhalogen compound gas and a fluorocarbon gas are introduced into the chamber, and then a high frequency voltage is supplied from the high frequency power supply to the electrode. It is to be authorized.

본 발명의 제2발명이 강구한 수단은, 상기 제1발명을, 반도체기판의 피에칭부가 규소를 함유한 물질로 구성된 것의 처리에 적용한 방법이다.The second invention of the present invention is a method in which the first invention is applied to the treatment of a substance in which the etching target portion of the semiconductor substrate is composed of silicon.

본 발명의 제3발명이 강구한 수단은, 상기 제1발명을, 반도체기판의 피에칭부가 절연막인 것의 처리에 적용한 방법이다.The third invention of the present invention is a method in which the first invention is applied to a process in which an etching target portion of a semiconductor substrate is an insulating film.

본 발명의 제4발명이 강구한 수단은, 상기 제1, 제2, 또는 제3발명에 있어서, 반도체기판의 피에칭부를, 절연막의 일부를 제거해서 절연막 아래쪽의 도전부 표면을 노출시키는 콘택트구멍으로 한 방법이다.In the first, second, or third aspect of the present invention, in accordance with the first, second, or third aspect of the present invention, a contact hole for exposing a surface of a conductive portion below the insulating film by removing a portion of the insulating film from a portion to be etched in the semiconductor substrate. One way.

본 발명의 제5발명이 강구한 수단은, 상기 제3발명을 반도체기판의 피에칭부가, LOCOS 형성을 위한 마스크가 되는 실리콘질화막인 것의 처리에 적용한 방법이다.The fifth invention of the present invention is a method in which the third invention is applied to a process in which the etching target portion of a semiconductor substrate is a silicon nitride film serving as a mask for forming LOCOS.

본 발명의 제6발명이 강구한 수단은, 상기 제1 또는 제5발명에 있어서, 피에칭부의 제거가 종료되기 직전에, 할로겐간화합물가스의 공급을 정지하고, 그후, 고주파전원이 인가된 불화탄소가스에 의한 처리를 행하도록 한 방법이다.According to the sixth invention of the present invention, in the first or fifth invention, the supply of the interhalogen compound gas is stopped immediately before the removal of the etching target portion is completed, and thereafter, the fluoride to which the high frequency power supply is applied is applied. It is a method to process with carbon gas.

본 발명의 제7발명이 강구한 수단은, 상기 제1 또는 제5발명에 있어서, 피에칭부의 제거가 종료에 가까와지면, 할로겐간화합물의 공급량을 저감해가도록 한 방법이다.The seventh invention of the present invention is a method of reducing the supply amount of an interhalogen compound in the first or fifth invention when the removal of the etching target portion is near the end.

본 발명의 제8발명이 강구한 수단은, 상기 제1항 발명에 있어서, 피에칭부의 제거가 종료된 후, 고주파전압의 인가를 정지하고, 적어도 할로겐간화합물가스를 채임버내에 잔류시키도록 한 방법이다.According to the eighth aspect of the present invention, in the first aspect of the present invention, after the removal of the etching target portion is completed, the application of the high frequency voltage is stopped and at least an interhalogen compound gas is left in the chamber. It is a way.

본 발명의 제9발명이 강구한 수단은, 상기 제1발명에 있어서, 할로겐간화합물가스로서 CIF3가스를 사용한 방법이다.The ninth invention of the present invention is a method in which the CIF 3 gas is used as the interhalogen compound gas in the first invention.

본 발명의 제10발명이 강구한 수단은, 상기 제1 또는 제9발명에 있어서, 불화탄소가스로서, CHF3가스 및 CH2F2가스중 적어도 한쪽을 사용한 방법이다.The tenth invention of the present invention is a method in which at least one of CHF 3 gas and CH 2 F 2 gas is used as the carbon fluoride gas in the first or ninth invention.

본 발명의 제11발명이 강구한 수단은, 상기 제1항 또는 제9항 발명에 있어서, 불화탄소가스 및 할로겐간화합물가스에 더하여, 불활성가스를 혼입한 방법이다.A means devised by the eleventh invention of the present invention is a method in which inert gas is mixed in addition to carbon fluoride gas and halogenated compound gas according to claim 1 or 9.

본 발명의 제12발명이 강구한 수단은, 반도체기판의 일부를 반응성가스와의 반응에 의해 제거하기 위한 드라이에칭장치로서, 반도체기판을 설치해서, 가스에 의한 에칭을 행하기 위한 채임버와, 이 채임버에 반응성가스를 공급하는 가스공급장치와, 상기 채임버내에 설치된 적어도 1쌍의 전극과, 이 전극간에 고주파전압을 인가하기 위한 고주파전원과, 상기 가스공급장치에 가스배관을 개재해서 접속되고, 채임버의 상부로부터 가스를 분출하기 위한 다수의 가는 구멍을 가진 제1가스분출구와, 상기 가스공급장치에 가스배관을 개재해서 접속되고, 채임버의 옆부분으로부터 가스를 분출하기 위한 다수의 가는 구멍을 가진 제2가스분출구와, 상기 채임버로부터 가스를 배출하기 위한 배출구를 형성하는 구성으로 한 것이다.A twelfth aspect of the present invention provides a dry etching apparatus for removing a part of a semiconductor substrate by reaction with a reactive gas, comprising: a chamber for providing a semiconductor substrate and performing etching with gas; A gas supply device for supplying a reactive gas to the chamber, at least one pair of electrodes provided in the chamber, a high frequency power supply for applying a high frequency voltage between the electrodes, and a gas pipe connected to the gas supply device. And a first gas ejection opening having a plurality of fine holes for ejecting gas from the top of the chamber, and connected to the gas supply device via a gas pipe, and a plurality of ejecting gases from the side of the chamber. It is set as the structure which forms the 2nd gas blowing port which has a thin hole, and the discharge port for discharging gas from the said chamber.

본 발명의 제13발명이 강구한 수단은, 상기 제12발명에 있어서, 상기 채임버의 내면을 원통형상으로 형성하고, 상기 제2가스분출구를 채임버의 옆부분에 원통형상으로 배치하고, 각 가는 구멍을, 원통면 전체에 걸쳐서 형성한 것이다.According to a twelfth aspect of the present invention, in the twelfth aspect of the present invention, the inner surface of the chamber is formed in a cylindrical shape, and the second gas outlet is arranged in a cylindrical shape on the side of the chamber. A thin hole is formed over the entire cylindrical surface.

본 발명의 제14발명이 강구한 수단은, 반도체기판의 일부를 가스와의 반응에 의해 제거하기 위한 드라이에칭장치로서, 적어도 내면이 구(球)형성으로 형성되고, 반도체기판을 설치해서 반응성가스에 의한 에칭을 행하기 위한 채임버와, 이 채임버에 반응성가스를 공급하는 가스공급장치와, 상기 채임버내에 설치된 적어도 1쌍의 전극과, 이 전극간에 고주파전압을 인가하기 위한 고주파전원과, 상기 가스공급장치에 가스배관을 개재해서 접속되고, 채임버의 상부로부터 가스를 분출하기 위한 다수의 가는 구멍을 가진 구형상의 가스분출구를 형성하는 구성으로 한 것이다.A means devised in the fourteenth invention of the present invention is a dry etching apparatus for removing a part of a semiconductor substrate by reaction with a gas, wherein at least an inner surface thereof is formed into a sphere, and a semiconductor substrate is provided to form a reactive gas. A chamber for etching by a gas, a gas supply device for supplying a reactive gas to the chamber, at least one pair of electrodes provided in the chamber, a high frequency power supply for applying a high frequency voltage between the electrodes, The gas supply apparatus is connected via a gas pipe to form a spherical gas ejection opening having a plurality of fine holes for ejecting gas from the upper portion of the chamber.

본 발명의 제15발명이 강구한 수단은, 상기 제12항 또는 제13항 발명에 있어서, 각 가는 구멍을, 채임버의 내벽을 따라서 서로 등간격위치에 배치한 것이다.According to a fifteenth aspect of the present invention, in the twelfth or thirteenth aspect of the present invention, the thin holes are arranged at equal intervals along the inner wall of the chamber.

본 발명의 제16발명이 강구한 수단은, 상기 제4발명에 있어서, 피에칭부의 제거가 종료된 후, 고주파전압의 인가를 정지하고, 적어도 할로겐간화합물가스를 채임버내에 잔류시키도록 한 방법이다.According to a sixteenth aspect of the present invention, in the fourth aspect, after the removal of the etched portion is completed, the application of the high frequency voltage is stopped and at least the halogenated compound gas is left in the chamber. to be.

본 발명의 제17발명이 강구한 수단은, 상기 제10발명에 있어서, 불화탄소가스 및 할로겐간화합물가스에 더하여, 불활성가스를 혼입한 방법이다.A means devised by the seventeenth invention of the present invention is a method in which inert gas is mixed in addition to carbon fluoride gas and halogenated compound gas in the tenth invention.

본 발명의 제18발명이 강구한 수단은, 상기 제14의 발명에 있어서, 각 가는 구멍을, 채임버의 내벽을 따라서 서로 등간격위치에 배치한 것이다.According to the eighteenth aspect of the present invention, in the fourteenth aspect of the present invention, the thin holes are arranged at equal intervals along the inner wall of the chamber.

이상의 방법에 의해, 본 발명의 제1발명에서는, 에칭장치의 채임버내에서, 할로겐간화합물가스와 불화탄소가스가, 고주파전압의 인가에 의해, 플라즈마상태가 되며, 플라즈마화해서 분해한 가스의 구성분자, 원자의 이온이 반도체기판의 일부에 충돌한다. 그리고, 이온과 반도체기판의 피에칭부를 구성하는 물질과의 반응에 의해서, 반도체기판의 일부가 제거된다.According to the first method of the present invention, in the chamber of the etching apparatus, the halogen-containing compound gas and the fluorinated carbon gas are brought into a plasma state by applying a high frequency voltage, and then the plasma is decomposed and decomposed. Ions of molecules and atoms collide with part of the semiconductor substrate. Then, part of the semiconductor substrate is removed by the reaction between the ions and the material constituting the etching target portion of the semiconductor substrate.

그때, 불화탄소가스의 일부는 플라즈마중합에 의해 폴리머를 형성해서 부착막이 되고, 채임버 내벽 혹은 반도체기판의 피에칭물등에 퇴적할려고 한다. 그러나, 할로겐간화합물의 가스는 분해해서 다량의 할로겐래디컬을 생성하므로, 불화탄소가스의 플라즈마중합보다도 할로겐래디컬과 탄소원자와의 결합이 우선적으로 발행하고, 기화성의 할로겐화탄소가 된다. 따라서, 개구부의 측벽에 부착하는 플라즈마중합에 의한 폴리머 즉 등방성에칭작용을 방해하는 부착막의 양이 억제되고, 적량이 된다. 즉, 개구의 측벽의 마스크 바로 아래 부분에 있어서의 언더컷의 발생이 방지되는 동시에, 에칭의 개구부의 측벽의 테이터의 발생이 억제되고, 에칭종료부의 에칭개시부로부터의 치수변동이 저감하게 된다.At this time, a part of the fluorocarbon gas forms a polymer by plasma polymerization to form an adhesion film, and is intended to be deposited on the inner wall of the chamber or the etched material of the semiconductor substrate. However, since the gas of an interhalogen compound decomposes | generates and produces | generates a large amount of halogen radicals, the combination of a halogen radical and a carbon atom is issued preferentially rather than the plasma polymerization of a fluorocarbon gas, and becomes a vaporizable halocarbon. Therefore, the amount of the adhesion film which hinders the isotropic etching action of the polymer due to plasma polymerization adhering to the sidewall of the opening is suppressed, which is appropriate. That is, undercutting is prevented in the portion immediately below the mask of the sidewall of the opening, while generation of data on the sidewall of the opening of the etching is suppressed, and dimensional variation from the etching start portion of the etching termination portion is reduced.

또, 할로겐간화합물의 가스는 고주파전원의 인가가 없어도 분해해서 다량의 할로겐래디컬을 생성하므로, 불화탄소가스가 플라즈마중합해서 폴리머를 만들기 전에 채임버 내벽은 할로겐래디컬에 의해 덮여진다. 따라서, 플라즈마중합에 의한 폴리머의 생성보다도 기화성의 할로겐화탄소의 생성이 우선적으로 발생한다. 즉, 채임버 내벽에 있어서의 플라즈마중합에 의한 부착막의 생성이 억제되고, 먼지가 감소하게 된다.In addition, since the gas of an interhalogen compound decomposes even without application of a high frequency power supply to generate a large amount of halogen radicals, the inner wall of the chamber is covered by halogen radicals before the carbon fluoride gas is polymerized to form a polymer. Accordingly, the generation of vaporizable halocarbons occurs preferentially rather than the production of polymers by plasma polymerization. That is, generation of adhesion film by plasma polymerization on the inner wall of the chamber is suppressed, and dust is reduced.

본 발명의 제2발명에서는, 규소와 불화탄소가스로부터 분해한 불소래디컬과의 결합반응에 의해서, 휘발성의 불화규소가 발생하고, 에칭작용이 현저하게 되어서, 애칭능률이 향상되게 된다.In the second invention of the present invention, by the coupling reaction between silicon and fluorine radicals decomposed from fluorocarbon gas, volatile silicon fluoride is generated, the etching action becomes remarkable, and the nicking efficiency is improved.

본 발명의 제3발명에서는, 반도체기판의 절연막은, 일반적으로, 드라이에칭을 사용해서, 패터닝되는 일이 많으나, 그 경우에도 플라즈마에칭에 의한 이방성이 확보되는 동시에, 치수변동 및 먼지의 발생이 억제되게 된다.In the third invention of the present invention, the insulating film of the semiconductor substrate is generally patterned by using dry etching, but in this case, anisotropy is ensured by plasma etching, and dimensional fluctuations and dust generation are suppressed. Will be.

본 발명의 제4발명에서는, 콘택트구멍을 형성하기 위한 드라이에칭에 있어서, 상기 제1, 제2 또는 제3발명의 작용에 의해, 치수변동이 적은 정밀도가 높은 콘택트구멍이 형성되게 된다.In the fourth invention of the present invention, in dry etching for forming a contact hole, the contact hole with high precision with little dimensional variation is formed by the action of the first, second or third invention.

본 발명의 제5발명에서는, LOCOS 형성을 위한 실리콘질화막은, 플라즈마에칭에 의해서, 특히 테이퍼형상의 개구부가 생기기 쉬우나, 할로겐간화합물가스에 의한 부착막의 형성이 억제되므로, 치수변동이 저감되고, 형성되는 반도체장치의 치수정밀도가 대폭적으로 향상된다.In the fifth invention of the present invention, the silicon nitride film for LOCOS formation is particularly prone to tapered openings due to plasma etching, but the formation of the adhesion film by the halogen-containing compound gas is suppressed, so that the dimensional variation is reduced and formed. The dimensional accuracy of the semiconductor device to be improved significantly.

본 발명의 제6발명에서는, 상기 제1발명의 작용에 있어서, 피에칭부의 제거가 종료되기 직전에, 할로겐간화합물가스의 공급이 정지되면, 불화탄소가스의 플라즈마중합에 의한 부착막의 생성량이 증대하고, 에칭종료시에는 밑바탕층의 표면이 부착막으로 덮여진다. 따라서, 밑바탕층이 부착막에 의해서 보호되고, 밑바탕층의 에칭에 의한 손상이 적게 된다.In the sixth invention of the present invention, in the action of the first invention, if the supply of the halogenated compound gas is stopped immediately before the removal of the etching target portion is finished, the amount of formation of the adhesion film due to plasma polymerization of carbon fluoride gas is increased. At the end of etching, the surface of the underlying layer is covered with an adhesion film. Therefore, the underlayer is protected by the adhesion film, and damage by etching of the underlayer is reduced.

본 발명의 제7발명에서는, 피에칭부의 제거가 종료에 가까와지면, 할로겐화합물의 공급량이 저감되므로서, 상기 제6발명과 마찬가지의 작용이 발생하게 된다.In the seventh invention of the present invention, when the removal of the etched portion approaches the end, the supply amount of the halogen compound is reduced, and the same action as in the sixth invention occurs.

본 발명의 제8발명에서는, 에칭의 종료후에 고주파전원이 정지하면 플라즈마에칭작용도 정지한다. 그리고, 할로겐간화합물가스가 그대로 공급되므로서, 플라즈마에칭에 의해 밑바탕층에 발생한 손상층이, 비플라즈마에서의 할로겐래디컬에 의한 화학적 연마작용에 의해, 자체정합적으로 제거된다.In the eighth invention of the present invention, the plasma etching operation is also stopped when the high frequency power supply is stopped after the etching is finished. Then, while the interhalogen compound gas is supplied as it is, the damaged layer generated in the underlying layer by plasma etching is self-aligned and removed by the chemical polishing action by halogen radicals in the non-plasma.

본 발명의 제9발명에서는, CIF3가스가 채임버에 도입되면, 고주파를 인가하기 전에, 채임버내가 래디컬인 불소원자나 염소원자에 의해 채워진다. 따라서, 불화탄소가스중의 탄소끼리의 중합보다도, 불소원자나 염소원자와의 결합에 의한 기화성의 물질의 생성이 우선으로 된다. 따라서, 폴리머의 생성 즉 부착막의 생성이 적당하게 조정되고, 상기의 치수변동 및 먼지의 저감작용이 현저하게 된다.In the ninth invention of the present invention, when CIF 3 gas is introduced into a chamber, the inside of the chamber is filled with a radical fluorine atom or chlorine atom before applying high frequency. Therefore, generation of vaporizable substances by bonding with fluorine atoms or chlorine atoms is given priority over polymerization of carbons in fluorocarbon gas. Therefore, the production of the polymer, that is, the production of the adhesion film, is appropriately adjusted, and the above-described dimensional variation and dust reduction action are remarkable.

본 발명의 제10발명에서는, CHF3가스 또는 CH2F2가스의 플라즈마에칭에 의한 이방성에칭작용과, 플라즈마중합에 의한 부착막의 생성작용이 적당하게 조정되고, 치수변동 및 먼지의 저감작용이 현저하게 된다.In the tenth invention of the present invention, the anisotropic etching action by plasma etching of CHF 3 gas or CH 2 F 2 gas and the formation action of adhesion film by plasma polymerization are appropriately adjusted, and the dimensional variation and dust reduction action are remarkable. Done.

본 발명의 제11발명에서는, 아르곤가스등의 불활성가스를 혼입하므로서, 폴리머의 중합이 억제되므로, 먼지의 저감작용이 크다.In the eleventh invention of the present invention, the polymerization of the polymer is suppressed by mixing inert gas such as argon gas, so that the action of reducing dust is large.

본 발명의 제12발명에서는, 채임버내에 다수의 가는 구멍으로부터 고속으로 반응성가스가 분출되면, 가는 구멍 근처에서는 플라즈마중합에 의한 폴리머의 생성량 즉 부착막의 생성량이 저감된다. 여기서, 분출구가 채임버의 상부 뿐만 아니라 옆부분에도 형성되어 있으므로, 채임버의 내벽에 부착하는 먼지량이 저감되고, 먼지에 의한 반도체장치에의 악영향이 억제되게 된다.According to the twelfth invention of the present invention, when a reactive gas is ejected at high speed from a plurality of thin holes in a chamber, the amount of polymer produced by plasma polymerization, that is, the amount of adhesion film generated, is reduced in the vicinity of the thin holes. Here, since the ejection port is formed not only in the upper part of the chamber but also in the side part, the amount of dust adhering to the inner wall of the chamber is reduced, and the adverse influence to the semiconductor device by dust is suppressed.

본 발명의 제13발명에서는, 원통형상으로 형성된 제2분출구의 각 가는 구멍을 개재해서, 옆부분 전체로 부터 고속으로 반응성가스가 공급되므로, 측벽에 있어서의 먼지량이 대폭적으로 저감하게 된다.In the thirteenth invention of the present invention, the reactive gas is supplied at high speed from the entire side portion through each thin hole of the second jet port formed in a cylindrical shape, so that the amount of dust on the side wall is greatly reduced.

본 발명의 제14발명에서는, 구형상으로 형성된 분출구를 개재해서 채임버의 내벽 전체에 걸쳐서 고속의 반응성가스가 공급되므로, 특히 먼지의 저감작용이 현저하게 된다.In the fourteenth invention of the present invention, since a high-speed reactive gas is supplied over the entire inner wall of the chamber via a spherical spout, the dust reduction effect is particularly remarkable.

본 발명의 제15발명에서는, 반응성가스를 공급하기 위한 분출구에 있어서, 각 가는 구멍이 등간격으로 배치되어 있으므로, 국부적으로도 거의 균일한 풍속의 가스가 공급되어, 먼지의 저감작용이 확실하게 된다.According to the fifteenth aspect of the present invention, in the spout for supplying the reactive gas, the thin holes are arranged at equal intervals, so that the gas having a substantially uniform wind velocity is supplied locally, and the dust reduction effect is assured. .

본 발명의 제16발명에서는, 에칭의 종료후에 고주파전원이 정지하면 플라즈마에칭작용도 정지한다. 그리고, 할로겐간화합물가스가 그대로 공급되므로서, 플라즈마에칭에 의해 밑바탕층에 발생한 손상층이, 비플라즈마에서의 할로겐래디컬에 의한 화학적 연마작용에 의해, 자체정합적으로 제거된다.In the sixteenth invention of the present invention, if the high frequency power supply is stopped after the etching is finished, the plasma etching action is also stopped. Then, while the interhalogen compound gas is supplied as it is, the damaged layer generated in the underlying layer by plasma etching is self-aligned and removed by the chemical polishing action by halogen radicals in the non-plasma.

본 발명의 제17발명에서는, 아르곤가스등의 불활성가스를 혼입하므로서, 폴리머의 중합이 억제되므로, 먼지의 저감작용이 크다.In the seventeenth invention of the present invention, since the polymerization of the polymer is suppressed by mixing inert gas such as argon gas, the action of reducing dust is large.

본 발명의 제18발명에서는, 반응성가스를 공급하기 위한 분출구에 있어서, 각 가는 구멍이 등간격으로 배치되어 있으므로, 국부적으로도 거의 균일한 풍속의 가스가 공급되어, 먼지의 저감작용이 확실하게 된다.According to the eighteenth aspect of the present invention, in the ejection opening for supplying the reactive gas, the thin holes are arranged at equal intervals, so that the gas having a substantially uniform wind velocity is supplied locally, and the dust reduction effect is assured. .

이하, 본 발명의 실시예에 대해서, 도면을 참조하면서 설명한다.EMBODIMENT OF THE INVENTION Hereinafter, the Example of this invention is described, referring drawings.

(제1실시예)(First embodiment)

제1도는, 에칭장치 A의 개략을 표시한다. 제1도에 표시한 바와 같이, RIE 방식의 에칭장치 A는, 상부가 개방된 바닥부착 원통형상의 원통채임버본체(11b)와, 이 채임버본체(11b)의 상부를 덮는 채임버뚜껑부재(11a)로 구성되는 케이싱을 가지고 있다. 그리고, 케이싱내에는, 상대향하는 1쌍의 세로전극(12a),(12b)이 설치되어 있고, 하부전극(12b)에 피가공물인 실리콘기판(X1)이 설치되어 있다. 또, 하부전극(12b)은 고주파전원(13)에 접속되어 있다.1 shows an outline of the etching apparatus A. FIG. As shown in Fig. 1, the etching apparatus A of the RIE method has a bottom cylindrical cylindrical chamber body 11b having an open top, and a chamber lid member covering an upper portion of the chamber body 11b. It has a casing composed of 11a). In the casing, a pair of vertical electrodes 12a and 12b facing each other are provided, and a silicon substrate X1 as a workpiece is provided on the lower electrode 12b. The lower electrode 12b is connected to the high frequency power source 13.

그리고, 상기 채임버뚜껑부재(11a)의 상부전극(12a)을 관통해서 가스공급관이 설치되고, 상부전극(12a)의 아래쪽에 다수의 가스공급구멍을 가진 제1가스분출구(14a)가 형성되어 있는 동시에, 채임버본체(11b)의 원통형상의 측벽에도, 다수의 가스공급구멍을 가진 제2가스분출구(14b)가 형성되어 있다. 또, 채임버본체(11b)의 바닥부에 배기구(15)가 형성되어 있다. 또한, 상기 각 가스분출구(14a),(14b)의 각 가스공급구멍은, 채임버의 내벽을 따라서 서로 등간격위치가 되도록 배열되어 있다.In addition, a gas supply pipe is installed through the upper electrode 12a of the chamber lid member 11a, and a first gas outlet 14a having a plurality of gas supply holes is formed below the upper electrode 12a. At the same time, the second gas ejection opening 14b having a plurality of gas supply holes is formed in the cylindrical side wall of the chamber body 11b. Moreover, the exhaust port 15 is formed in the bottom part of the chamber body 11b. The gas supply holes of the gas ejection openings 14a and 14b are arranged so as to be equally spaced from each other along the inner wall of the chamber.

또, 상기 각 가스공급관은, CHF3가스공급장치(16)와 CIF3가스공급장치(17)에 접속되어, 에칭장치(A)을 채임버내에 CHF3가스와 CIF3가스와의 혼합가스를 공급할 수 있도록 되어 있다. 또, 배기구(15)를 통해서 진공펌프에 의해 100mTorr의 압력에 유지하면서, 전극(12a),(12b)간에 1.356MHz 의 고주파전압을 250W의 파워에 의해 인가하므로서, 가스중에 플라즈마를 발생시키고, 실리콘질화막을 에칭하도록 구성되어 있다.In addition, the gas supply pipes are connected to the CHF 3 gas supply device 16 and the CIF 3 gas supply device 17, and the etching apparatus A is connected to the chamber by mixing a mixture of CHF 3 gas and CIF 3 gas. It is possible to supply. In addition, while maintaining a pressure of 100 mTorr by a vacuum pump through the exhaust port 15, plasma is generated in the gas by applying a high frequency voltage of 1.356 MHz with a power of 250 W between the electrodes 12a and 12b. It is configured to etch the nitride film.

제2도(a)∼(e)는, LOCOS 형성공정에 있어서의 실리콘기판(X1)의 단면을 표시한다.2 (a) to (e) show the cross section of the silicon substrate X1 in the LOCOS forming step.

먼저, 제2도(a)에 표시한 바와 같이 실리콘기판(3)위에 두께 10nm의 실리콘산화막(2)과 두께 160nm의 실리콘질화막(1)을 퇴적시켜, 포토레지스트막(5)을 도포한 후, 포토레지스트막(5)의 패턴을 형성한다.First, as shown in FIG. 2A, a 10 nm thick silicon oxide film 2 and a 160 nm thick silicon nitride film 1 are deposited on the silicon substrate 3, and then the photoresist film 5 is applied. The pattern of the photoresist film 5 is formed.

다음에, 제2도(b)에 표시한 바와 같이, 포토레지스트막(5)을 마스크로서, 드라이에칭을 행하여, 포토레지스트막(5)의 개구부 영역에 있는 실리콘질화막(1)과 실리콘산화막(2)을 선택적으로 제거해서, LOCOS용의 개구부(7)를 형성한다. 그때, CIF3가스와 CHF3가스는, 각각 4㎤/min 과 40㎤/min의 유량으로 도입된다.Next, as shown in FIG. 2B, dry etching is performed using the photoresist film 5 as a mask, and the silicon nitride film 1 and the silicon oxide film (in the opening region of the photoresist film 5) 2) is selectively removed to form an opening 7 for LOCOS. At that time, the CIF 3 gas and the CHF 3 gas are introduced at flow rates of 4 cm 3 / min and 40 cm 3 / min, respectively.

그후, 제2도(c)에 표시한 바와 같이, 애싱을 행하여, 포토레지스트막(5)을 제거한다. 이 상태에서, LOCOS를 형성하는 영역에 개구부(7)를 가진 실리콘질화막(1)의 패턴이 형성된다.Thereafter, as shown in FIG. 2C, ashing is performed to remove the photoresist film 5. In this state, the pattern of the silicon nitride film 1 having the opening 7 is formed in the region forming the LOCOS.

다음에, 제2도(d)에 표시한 바와 같이, 실리콘질화막(1)을 마스크로 해서, 선택산화를 행하여서, LOCOS(4)를 형성한다.Next, as shown in FIG. 2 (d), selective oxidation is performed using the silicon nitride film 1 as a mask to form the LOCOS 4.

그런연후에, 제2도(e)에 표시한 바와 같이, 열인산을 주성분으로서 사용하는 웨트에칭법에 의해서, 실리콘질화막(1)만을 제거한다.After that, as shown in Fig. 2E, only the silicon nitride film 1 is removed by a wet etching method using thermal phosphoric acid as a main component.

상기 실시예의 드라이에칭법에 의한 공정에 있어서, 제2도(b)에 표시한 바와 같이, 에칭후의 실리콘질화막(1)의 개구부(7)의 형상은 5도 정도의 테이퍼각도로 된다. 즉, 상기한 종래의 드라이에칭법에 있어서의 테이퍼각도 60도에 비해서 극히 작고 거의 포토레지스트막(5)의 개구부로부터 연직에 가까운 각도로 개구되어 가게 된다. 따라서, 실리콘질화막(1)의 개구부의 하단부에 있어서의 마스크패턴으로부터의 치수변동은 약간이다. 이것은, 하기와 같은 작용에 의거한 것이다.In the process by the dry etching method of the said Example, as shown in FIG.2 (b), the shape of the opening part 7 of the silicon nitride film 1 after an etching becomes a taper angle of about 5 degree | times. That is, compared with the taper angle of 60 degree | times in the above-mentioned conventional dry etching method, it opens at an angle close to a perpendicular from the opening part of the photoresist film 5 substantially. Therefore, the dimensional variation from the mask pattern at the lower end portion of the opening of the silicon nitride film 1 is slight. This is based on the following operation.

즉, 제3도(a)에 표시한 바와 같이, 통상의 CHF3가스, CH2F2가스, 또는 CF4가스등의 불화탄소계 가스와 O2가스, H2가스등을 혼합시킨 가스를 에칭가스로서 사용하였을 경우, SiF4를 생성하므로서, 분리한 C원자 끼리가 래디컬중합하고, 부착막 즉 폴리머를 생성한다. 그러나, 동도면(b)에 표시한 바와 같이, CIF3가스를 사용할 경우, CIF3가스 분자는 플라즈마가 존재하지 않는 상태에서도 다량의 불소래디컬이나 염소 래디컬을 생성하므로, 플라즈마발생이전에 CIF3가스를 장치내에 도입한 단계에서, 장치내벽이나 전극, 기판표면은 다량의 불소 및 연소래디컬에 의해 덮여진다. 이 상태에서, 그후에 고주파전압을 인가해서 플라즈마를 발생시키므로, CIF3분자로부터 해리한 탄소원자는, 장치내벽이나 전극상 뿐만 아니라 실리콘기판(X1)위에서, CIF3가스로부터 생성한 대량의 불소래디컬과 탄소-불소결합을 발생하고, CF4분자가 되어서 기화한다. 그 때문에, 탄소원자(C) 끼리의 결합수가 감소하고, 폴리머로 이루어진 부착막의 생성량이 근소하게 된다.That is, as shown in FIG. 3 (a), a gas obtained by mixing a fluorocarbon gas such as a normal CHF 3 gas, a CH 2 F 2 gas, or a CF 4 gas with an O 2 gas, a H 2 gas, or the like is an etching gas. When used as, SiF 4 is produced, and the separated C atoms are radically polymerized to produce an adhesion film, that is, a polymer. However, such cases to use, CIF 3 gas as shown in the figure (b), CIF 3 gas molecules is generated a large amount of fluorine radical or chlorine radical, even when a plasma is not present, the plasma generation before CIF 3 gas in In the step of introducing into the apparatus, the apparatus inner wall, the electrode and the substrate surface are covered with a large amount of fluorine and combustion radicals. In this state, since a plasma is generated by applying a high frequency voltage thereafter, the carbon atoms dissociated from the CIF 3 molecules are formed from a large amount of fluorine radicals and carbon generated from the CIF 3 gas on the silicon substrate (X1) as well as on the device inner wall or electrode. Generate fluorine bonds and vaporize as CF 4 molecules Therefore, the number of bonds between the carbon atoms (C) is reduced, and the amount of the adhesion film made of the polymer is small.

즉, 종래의 플라즈마에칭에서는, 이방성에칭인 것과, 상기의 부착막의 생성에 의해서 측벽이 보호되는 것 때문에, 등방적에칭에 있어서와 같은 언더컷은 발생하지 않으나, 반면, 과잉의 부착막이 퇴적하므로서, 가로 방향으로의 에칭작용이 결핍되고, 실리콘질화막(1)의 개구부(7)의 형상이 테이퍼형상으로 되는 것으로 생각된다. 이에 대하여, 상기 실시예와 같이, CIF3가스등의 플라즈마의 존재를 필요로 하지 않는 가스를 혼입하므로서, 부착막의 퇴적이 적당한 정도로 조정되고, 실리콘질화막등의 개구부(7)가 거의 테이퍼가 없는 형상으로 되어서, 치수변동이 근소하게 되는 것으로 생각된다.That is, in the conventional plasma etching, since the sidewalls are protected by the anisotropic etching and the formation of the adhesion film described above, the undercut as in the isotropic etching does not occur, while the excess adhesion film is deposited, so that the horizontal It is considered that the etching action in the direction is insufficient, and the shape of the opening 7 of the silicon nitride film 1 is tapered. On the other hand, as in the above embodiment, by admixing gases that do not require the presence of plasma such as CIF 3 gas, deposition of the adhesion film is adjusted to an appropriate level, and the openings 7 such as silicon nitride film are almost tapered. It is thought that the dimensional variation is minimal.

이에 더하여, 폴리머의 부착막의 생성이 억제되므로, 먼지의 발생이 대폭적으로 억제된다.In addition, since generation of the polymer adhesion film is suppressed, generation of dust is significantly suppressed.

즉, 산소등의 대신에 할로겐간화합물의 가스를 불화탄소계 가스에 혼입하므로서, 상기와 같은 치수변동의 해소와, 먼지 발생의 방지에 의해서, 반도체장치의 고집적화 즉 장치치수의 미세화에 대응한 프로세스를 추진시킬 수 있는 것이다.That is, a process corresponding to high integration of semiconductor devices, that is, miniaturization of device dimensions, by eliminating the above-mentioned dimensional fluctuations and preventing dust generation by incorporating a halogenated compound gas into a fluorocarbon gas instead of oxygen. It can be promoted.

제4도는, 종래의 방법과 본 발명의 방법에 의한 선택비 및 용착속도의 실험결과를 표시한 데이터이다. 종래의 방법으로서, 콘택트호올의 형성에 일반적으로 사용되는 CHF4가스와 CF4가스와의 혼합가스에 의한 데이터를 표시한다. 또, 선택비는 폴리실리콘막에 대한 BPSG막의 에칭속도를 측정하고 있으며, 선택비가 높을수록 밑바탕의 손상을 적게할 수 있는 것을 표시하고 있다. 실험의 형편상, 밑바탕은 폴리실리콘으로 하고 있으나, 밑바탕이 단결정실리콘에서는 선택비는 향상된다. 또, 용착속도는, 채임버 내벽에 부착하는 부착막의 두께를 측정하고 있다.4 is data showing the results of experiments on selection ratio and welding speed by the conventional method and the method of the present invention. As a conventional method, and displays the data using a mixed gas of CHF and 4 gas and CF 4 gas is commonly used for formation of the contact hool. In addition, the selectivity measures the etching rate of the BPSG film with respect to the polysilicon film, and indicates that the damage to the base can be reduced as the selectivity is higher. For the sake of the experiment, the base is made of polysilicon, but the selectivity is improved in the base crystal of single crystal silicon. In addition, the welding speed measures the thickness of the adhesion film adhering to the chamber inner wall.

동도면에 표시된 바와 같이, CHF3가스와 CF4가스와의 혼합가스에서는, CF4가스의 비율을 작게 하면, 용착속도가 높아지며, 선택비는 높아진다. 또, CHF3,4가스와 CIF3가스와의 혼합가스에서는, CIF3가스의 비율을 작게 하면, 용착속도가 높아지며, 선택비는 높아진다. 이 결과, CF4가스는 플라즈마를 생성하지 않으면 래디컬을 발명하지 않으나, CIF3가스는 플라즈마를 생성하지 않아도 래디컬을 발생하고, 또한 그 양이 다량인 것을 뒷받침하고 있다. 따라서, CIF3가스쪽이, 중합막의 생성을 방지하는 효과가 크다. 밑바탕의 손상을 적게 할려면, 선택비를 높게 하는 것이 필요하나, CHF3가스와 CIF3가스와의 혼합가스를 사용하였을 경우, 종래와 같은 할로겐화합물가스를 사용하지 않은 것에 비해, 선택비를 높게 해서, 밑바탕의 손상을 작게 하면서, 부착막의 생성을 억제할 수 있다. 즉, 상기한 바와 같이, 치수변동을 저감하고, 또한 먼지발생을 억제할 수 있다.As shown in the figure, in the mixed gas of the CHF 3 gas and the CF 4 gas, when the ratio of the CF 4 gas is reduced, the deposition rate is increased and the selectivity is increased. Further, in the mixed gas of CHF 3,4 gas and CIF 3 gas, when reducing the rate of CIF 3 gas, the deposition rate becomes high, the selectivity is higher. As a result, CF 4 gas does not invent radicals unless it generates plasma, but CIF 3 gas generates radicals without generating plasma, and supports that the amount is large. Therefore, the CIF 3 gas has a greater effect of preventing generation of the polymerized film. In order to reduce the damage to the base, it is necessary to increase the selection ratio.However, when the mixed gas of CHF 3 gas and CIF 3 gas is used, the selection ratio is higher than that of the conventional halogenated gas. Formation of the adhesion film can be suppressed while reducing damage to the base. That is, as mentioned above, dimensional fluctuation can be reduced and dust generation can be suppressed.

또한, 실시예는 생략하나, 콘택트호올의 형성이 진행하여, 종료에 가까와지면 CIF3가스의 유량을 저감시키도록 해도 된다.In addition, the embodiment is formed by omitting one of the contact hool proceeds, it may be closer to the ground to reduce the exit flow of CIF 3 gas.

(제2실시예)Second Embodiment

다음에, 콘택트호올을 형성하기 위한 드라이에칭에 관한 제2실시예에 대해서, 제5도 및 제6도에 의거해서 설명한다.Next, a second embodiment of dry etching for forming a contact hose will be described with reference to FIGS. 5 and 6.

제5도(a)∼(c)는, 콘택트호올을 형성하기 위한 에칭공정을 표시하고, 제5도는 에칭공정의 순서를 표시한다.5 (a) to 5 (c) show an etching process for forming a contact hose, and FIG. 5 shows the procedure of the etching process.

먼저, 제5도(a)에 표시한 바와 같이, 실리콘기판(3)위에, 붕소와 인을 함유한 실리콘산화막인 BPSG막(6)을 퇴적하고, 그 위에 포토레지스트막(5)을 형성하여, 패티닝한다.First, as shown in FIG. 5A, a BPSG film 6, which is a silicon oxide film containing boron and phosphorus, is deposited on the silicon substrate 3, and a photoresist film 5 is formed thereon. , Panning.

다음에, 상기 공정을 거친 반도체기판(X2)을, 상기 제1도와 거의 마찬가지의 에칭장치에 장착해서 이하의 순서에 의해 드라이에칭을 행한다. 그때, CIF3가스는, CHF3가스는, 각각4㎤/min 과 40㎤/min 의 유량으로 도입된다. 먼저, 제6도의 스텝 ST11에서, 에칭가스를 에칭장치내에 도입하고, 스텝 ST12에서, 고주파전원을 작동시키고, 스텝 ST13에서, 플라즈마를 발생시킨다. 이 플라즈마발생에 의해서, 콘택트호올을 형성하기 위한 에칭이 행하여진다. 즉, 제5도(b)에 표시한 바와 같이, 포토레지스트막(5)을 마스크로 해서, 드라이에칭이 행하여지며, 상기 BPSG막(6)이 선택적으로 제거되어서, 콘택트호올(8)이 개구한다. 이때, 실리콘기판(3)도, 에칭작용을 받으므로, 콘택트호올(8)의 바닥부(8a)에 노출한 실리콘기판본체(3)의 표면부에는 에칭손상층이 생성한다.Next, the semiconductor substrate X2 which has undergone the above steps is mounted in an etching apparatus almost similar to that in FIG. 1, and dry etching is performed in the following procedure. At that time, the CIF 3 gas is introduced at a flow rate of 4 cm 3 / min and 40 cm 3 / min, respectively. First, in step ST11 of FIG. 6, etching gas is introduced into the etching apparatus, in step ST12, the high frequency power supply is operated, and in step ST13, plasma is generated. By this plasma generation, etching for forming a contact hose is performed. That is, as shown in Fig. 5B, dry etching is performed using the photoresist film 5 as a mask, and the BPSG film 6 is selectively removed so that the contact hole 8 is opened. do. At this time, since the silicon substrate 3 also undergoes an etching action, an etching damage layer is formed on the surface portion of the silicon substrate body 3 exposed to the bottom portion 8a of the contact hole 8.

여기서, 제6도의 스텝 ST15에서, 고주파전원(13)을 정지시키고, CIF3가스는 그대로 에칭장치내에 잔류시킨다. 그때, 상기한 바와 같이, CIF3가스는 플라즈마를 발생시키지 않아도 다량의 불소래디컬이나 염소 래디컬을 생성하므로, 제6도의 스텝 ST16에서, 콘택트호올(8)의 바닥부(8a)에 발생한 손상층은 플라즈마효과를 수반하지 않는 에칭작용을 받아, 제5도(c)에 표시한 바와 같이, 화학적 연마효과에 의해서 자체정합적으로 제거된다.Here, in step ST15 of FIG. 6, the high frequency power supply 13 is stopped and CIF 3 gas is left in the etching apparatus as it is. At that time, as described above, the CIF 3 gas generates a large amount of fluorine radicals or chlorine radicals even without generating a plasma. Therefore, in step ST16 of FIG. 6, the damage layer generated at the bottom 8a of the contact hose 8 It is subjected to an etching operation not involving the plasma effect, and as shown in FIG. 5C, it is self-aligned and removed by the chemical polishing effect.

본 실시예의 에칭법에서는, 치수변동이 작고, 또한 CIF3의 효과에 의해 CHF3을 사용해도 거의 폴리머를 생성하지 않고, 대폭적으로 먼지를 저감시킬 수 있다. 또한, 종래의 콘택트에칭스텝과 달라, 새로이 손상층 제거를 위한 스텝을 설치할 필요는 없으므로, 공정의 간소화를 도모할 수 있다.In the etching method of this embodiment, the dimensional fluctuation is small, and even if CHF 3 is used due to the effect of CIF 3 , it is possible to significantly reduce dust with little generation of polymer. In addition, unlike the conventional contact etching step, it is not necessary to provide a step for removing the damaged layer newly, so that the process can be simplified.

제7도 및 제8도는 콘택트호올형성을 위한 에칭에 있어서의 에칭속도(검은 동그라미) 및 에칭의 균일성(흰 동그라미)을 비교하는 특성도이다. 제7도는 본 발명의 실시예에 의한 것으로서, CIF3가스와 CHF3가스와의 혼합가스를 사용한 경우의 특성을 표시한다. 제8도는, 종래의 CF4가스와 CHF3가스와의 혼합가스를 사용한 경우를 표시한다. 단, 에칭의 균일성 Euni는, 하기식7 and 8 are characteristic diagrams for comparing the etching rate (black circle) and the uniformity of etching (white circle) in etching for forming contact holes. 7 shows the characteristics in the case of using a mixed gas of CIF 3 gas and CHF 3 gas according to the embodiment of the present invention. 8 shows a case where a conventional mixed gas of CF 4 gas and CHF 3 gas is used. However, the uniformity of etching Euni is

Euni =(Tmax-Tmin)/(Tmax+Tmin)Euni = (Tmax-Tmin) / (Tmax + Tmin)

(Tmax는 콘택트호올을 형성하고 있을 때의 미제거막의 최대두께, Tmin은 최소두께)에 의한다.(Tmax is the maximum thickness of the unremoved film and Tmin is the minimum thickness when forming a contact hole).

양자를 비교하면, 본 발명의 방법에서는, 종래의 방법에 비해, 에칭속도는 그다지 변화되지 않으나, 에칭의 균일성 Euni가 향상되어 있는 것을 알 수 있다. 이것은, 에칭중에 웨이퍼의 면내에서 래디컬의 생성이 균일하게 분포하고, 부착막의 생성도 균일하게 행하여지고 있는 것을 표시하고 있다. 그리고, CIF3가스의 비율을 증대시키고, 에칭속도를 증대시켜도, 균일성은 향상되어 있는 것을 알 수 있다. 그리고, 균일성이 향상되므로서, 콘택트호올의 형성후의 밑바탕실리콘의 혼란도 감소하므로, 밑바탕층의 손상을 억제할 수 있다.Comparing them, it can be seen that in the method of the present invention, the etching rate is not changed much compared with the conventional method, but the uniformity of etching Euni is improved. This indicates that the generation of radicals is uniformly distributed in the plane of the wafer during etching, and the generation of the adhesion film is also performed uniformly. And, even when increasing the ratio of CIF 3 gas and, increasing the etching rate, it is understood that the uniformity is improved. In addition, since the uniformity is improved, the confusion of the underlying silicon after the formation of the contact hose is also reduced, so that damage to the underlying layer can be suppressed.

(제3실시예)(Third Embodiment)

다음에, 제3실시예에 대해서 설명한다.Next, a third embodiment will be described.

본 실시예에서는, 사용하는 에칭장치 및 실리콘기판(X1)은 상기 제1실시예와 마찬가지이다(제1도 및 제2도 참조).In this embodiment, the etching apparatus and silicon substrate X1 used are the same as those of the first embodiment (see FIGS. 1 and 2).

제9도는, 제3실시예에 있어서의 LOCOS 마스크용 실리콘질화막의 개구를 형성하기 위한 공정의 흐름을 표시하고, 스텝 ST21에서, 에칭가스로서 CHF3가스와 CIF3가스를 도입하고, 스텝 ST22에서, 고주파전원을 인가하고, 스텝 ST23에서, 플라즈마를 발생시킨다. 이 플라즈마의 발생에 의해서, 스텝 ST24에서, 실리콘질화막(1)의 개구부의 형성이 행하여진다. 그리고, 스텝 ST25에서, 실리콘질화막(1)의 개구부의 형성이 종료되는 직전 즉 밑바탕의 실리콘산화막(2)과의 사이의 미에칭층의 두께가 극히 얇아졌을 때에, CIF3가스의 공급을 정지하여, CHF3가스만을 흐르게 하고, 스텝 ST26에서, 고주파전원의 전압을 저하시킨 후, 일정 시간이 경과하면, 스텝 ST27에서, 전원을 절단한다. 그리고, 그후, 스텝 ST28에서, CHF3가스의 공급을 정지한다.9 shows the flow of the process for forming the opening of the silicon nitride film for the LOCOS mask in the third embodiment, and in step ST21, CHF 3 gas and CIF 3 gas are introduced as an etching gas, and in step ST22 The high frequency power supply is applied, and a plasma is generated in step ST23. By the generation of this plasma, the opening of the silicon nitride film 1 is formed in step ST24. In step ST25, the supply of the CIF 3 gas is stopped when the thickness of the non-etching layer becomes extremely thin immediately before the formation of the opening of the silicon nitride film 1 is finished, that is, between the underlying silicon oxide film 2 and the like. Only the CHF 3 gas is allowed to flow, and in step ST26, the voltage of the high frequency power supply is lowered. After a predetermined time elapses, the power supply is cut in step ST27. And, thereafter, to stop the supply in step ST28, CHF 3 gas.

상기 제3실시예에서는, LOCOS용의 개구부의 형성이 종료되는 직전에 CIF3가스의 공급만을 정지하므로서, 그후 CHF3가스의 플라즈마에칭만에 의한 나머지의 막의 제거가 행하여진다. 즉, 실리콘질화막(1)의 개구부의 형성이 종료될 때까지 쌍방의 가스를 흐르게 하면, 부착막의 생성이 적은 조건하에서 애칭되기 때문에, 실리콘질화막(1)의 제거가 종료한 후에 실리콘산화막(2)도 상당량 에칭해버릴 우려가 있다. 이에 대하여, 상기 제3실시예와 같이, 개구부의 형성이 종료되는 직전에서 CIF3가스만을 공급을 정지시키므로서, 차츰 피에칭면상의 부착막이 증대하고, 그 상태에서 개구부의 형성이 종료되므로, 드라이에칭에 의한 밑바탕의 실리콘산화막(2)의 표면의 손상이 방지되게 된다.In the third embodiment, only the supply of the CIF 3 gas is stopped immediately before the formation of the opening for LOCOS is terminated, and then the remaining film is removed only by plasma etching of the CHF 3 gas. In other words, when both gases are flowed until the opening of the silicon nitride film 1 is finished, the silicon oxide film 2 is finished after the removal of the silicon nitride film 1 is completed since the formation of the adhesion film is etched. There is also a risk of etching a considerable amount. On the other hand, as in the third embodiment, the supply film on the surface to be etched gradually increases while stopping the supply of only the CIF 3 gas immediately before the formation of the opening is completed, and thus the formation of the opening is completed in that state. Damage to the surface of the underlying silicon oxide film 2 by etching is prevented.

또한, 상기 제3실시예에서는, 개구부의 형성이 종료되는 직전에 CIF3가스의 공급을 정지시켰으나, 개구부의 형성이 진행해서 종료에 가까와지면, CIF3가스의 공급량을 차차로 저감하여 가도록 해도 마찬가지의 효과를 얻을 수 있다.In addition, in the third embodiment, the supply of the CIF 3 gas is stopped just before the formation of the opening is finished. However, if the formation of the opening proceeds to the end, the supply amount of the CIF 3 gas is gradually reduced. The effect can be obtained.

여기서, 할로겐화합물가스의 유량의 반응성가스 전체의 유량에 대한 비율은, 실리콘질화막에 대해서는 50% 정도 이하가 바람직하고, 실리콘산화막에 대해서는 10%이하가 바람직하다.Here, the ratio of the flow rate of the halogen compound gas to the flow rate of the entire reactive gas is preferably about 50% or less for the silicon nitride film, and 10% or less for the silicon oxide film.

또한, 상기 각 실시예에 있어서는, RIE 방식의 에칭장치를 사용하였으나, 본 발명은 이러한 실시예에 한정되는 것은 아니고, 3전극방식, 마그네트론 RIE 방식, ECR 방식등의 여러가지의 에칭장치를 사용해도 마찬가지의 결과를 얻을 수 있다.In each of the above embodiments, an RIE etching apparatus is used, but the present invention is not limited to these examples, and the same applies to various etching apparatuses such as a three-electrode method, a magnetron RIE method, and an ECR method. Results in:

또, 상기 각 실시예에서는, 불화탄소가스로서 CHF3가스를 사용하였으나, CF2F2가스를 사용해도 마찬가지의 결과를 얻을 수 있다. 불화탄소가스와 할로겐화합물가스이외의 가스 예를들면 산소가스등을 함유해도 된다. 특히, 에칭시에 형성되는 부착막에 기인하는 먼지의 발생을 방지하기 위해서는, 아르곤가스등의 불활성가스를 첨가가스로서 사용하면 더욱 좋은 효과를 얻을 수 있다.In each of the above examples, although CHF 3 gas was used as the carbon fluoride gas, the same result can be obtained by using CF 2 F 2 gas. Gases other than carbon fluoride gas and halogenated compound gas, for example, oxygen gas, may be contained. In particular, in order to prevent the generation of dust due to the adhesion film formed at the time of etching, an inert gas such as argon gas can be used as the additive gas to obtain a better effect.

이상 설명한 바와 같이, 본 발명의 제1발명에 의하면, 반도체기판을 반응성가스에 의해 에칭하는 드라이 에칭법으로서, 반도체기판을 채임버내에 설치하고, 채임버내에, 적어도 할로겐간화합물가스와 불화탄소가스를 도입한 후에, 전극에 고주파전압을 인가하도록 하였으므로, 불화탄소가스의 분해에 의해 발생한 탄소원자, 탄소를 함유한 분자간에 있어서의 탄소-탄소결합을, 할로겐의 래디컬원자에 의해서 억제할 수 있고, 따라서, 치수변동과 먼지의 발생을 억제할 수 있어, 반도체장치의 고집적화에 대응한 제조공정을 실현할 수 있다.As described above, according to the first invention of the present invention, as a dry etching method for etching a semiconductor substrate with a reactive gas, a semiconductor substrate is provided in a chamber, and at least an interhalogen compound gas and a fluorocarbon gas in the chamber. Since the high frequency voltage was applied to the electrode after the introduction of, the carbon-carbon bond between the carbon atoms and the carbon-containing molecules generated by decomposition of the fluorinated carbon gas can be suppressed by the radical atoms of the halogen, Therefore, dimensional fluctuations and dust can be suppressed, and a manufacturing process corresponding to high integration of a semiconductor device can be realized.

본 발명의 제2발명에 의하면, 상기 제1발명을, 반도체기판의 피에칭부를 규소가 함유된 물질로 구성되어 있는 경우에 적용하였으므로, 휘발성의 불화규소의 생성에 의해, 에칭효과를 현저하게 발휘하면서, 부착막의 생성을 억제할 수 있다.According to the second invention of the present invention, since the first invention is applied when the etching target portion of the semiconductor substrate is composed of a material containing silicon, the etching effect is remarkably exhibited by the generation of volatile silicon fluoride. At the same time, the formation of the adhesion film can be suppressed.

본 발명의 제3발명에 의하면, 상기 제1발명을, 반도체기판의 피에칭부가 절연막으로 구성되어 있는 경우에 적용하였으므로, 절연막을 드라이에칭을 사용해서 패터닝하는데 있어서, 플라즈마에칭에 의한 이방성을 확보하면서, 치수변동 및 먼지의 발생을 저감할 수 있다.According to the third invention of the present invention, since the first invention is applied when the etching target portion of the semiconductor substrate is composed of an insulating film, the anisotropy by plasma etching is ensured while the insulating film is patterned by dry etching. In addition, dimensional fluctuations and dust can be reduced.

본 발명의 제4발명에 의하면, 상기 제1, 제2 또는 제3발명을, 콘택트구멍을 형성하기 위한 드라이에칭에 적용하였으므로, 치수변동이 적은 정밀도가 높은 콘택트구멍을 형성할 수 있다.According to the fourth invention of the present invention, since the first, second or third invention is applied to dry etching for forming contact holes, it is possible to form a contact hole with high precision with little dimensional variation.

본 발명의 제5발명에 의하면, 상기 제3발명에 있어서, 피에칭부를 LOCOS 형성을 위한 실리콘질화막으로 하였으므로, 플라즈마에칭에 의해 특히 테이퍼형상의 개구부를 발생하기 쉬운 실리콘질화막에 대해서도, 할로겐간화합물가스에 의한 부착막의 형성이 억제되므로, 치수변동이 저감되고, 형성되는 반도체장치의 치수정밀도가 대폭적으로 향상된다.According to the fifth invention of the present invention, in the third invention, since the etching target portion is a silicon nitride film for forming LOCOS, an interhalogen compound gas is also suitable for a silicon nitride film which is particularly likely to generate a tapered opening by plasma etching. By suppressing the formation of the adhesion film, the dimensional variation is reduced, and the dimensional accuracy of the semiconductor device to be formed is greatly improved.

본 발명의 제6발명에 의하면, 상기 제1 또는 제5의 발명에 있어서, 피에칭부의 제거가 종료되는 직전에, 할로겐간화합물가스의 공급을 정지하고, 고주파전원을 인가된 불화탄소가스에 의한 처리를 행하도록 하였으므로, 에칭종료시에 밑바탕층의 표면을 부착막으로 보호할 수 있고, 따라서, 밑바탕층의 에칭손상의 저감을 도모할 수 있다.According to the sixth invention of the present invention, in the first or fifth invention, immediately before the removal of the etched portion is terminated, the supply of the halogenated compound gas is stopped, and the high frequency power supply is applied to the fluorocarbon gas. Since the treatment is performed, the surface of the underlying layer can be protected by an adhesive film at the end of etching, and therefore the etching damage of the underlying layer can be reduced.

본 발명의 제7발명에 의하면, 상기 제1 또는 제5발명에 있어서, 피에칭부의 제거가 종료에 가까와지면 할로겐간화합물의 공급량이 저감되도록 하였으므로, 상기 제6발명과 마찬가지의 효과를 얻을 수 있다.According to the seventh invention of the present invention, in the first or fifth invention, when the removal of the etched portion approaches the end, the supply amount of the halogenated compound is reduced, and thus the same effect as in the sixth invention can be obtained. .

본 발명의 제8발명에 의하면, 상기 제1항 발명에 있어서, 에칭의 종료후의 고주파전압의 인가를 정지하고, 할로겐간화합물가스를 채임버내에 남기도록 하였으므로, 플라즈마에칭작용을 정지시켜서 밑바탕층에 할로겐간화합물가스에 의한 화학적연마를 실시할 수 있고, 따라서, 플라즈마에칭에 의해서 밑바탕층에 발생한 손상층을 자체정합적으로 제거할 수 있다.According to the eighth aspect of the present invention, in the first aspect of the present invention, the application of the high frequency voltage after the completion of the etching is stopped, and the halogen-containing compound gas is left in the chamber. Therefore, the plasma etching operation is stopped and the base layer is stopped. Chemical polishing with an interhalogen compound gas can be performed, and therefore, the damage layer generated in the underlying layer can be self-aligned by plasma etching.

본 발명의 제9발명에 의하면, 상기 제1발명에 있어서, 할로겐간화합물가스로서 CIF3가스를 사용하였으므로, 용이하게 불소래디컬이나 염소래디컬을 발생시킬 수 있고, 따라서, 부착막의 생성을 적당하게 조정해서 치수변동 및 먼지의 저감효과를 현저하게 발휘할 수 있다.According to the ninth invention of the present invention, in the first invention, since the CIF 3 gas is used as the halogen-containing compound gas, fluorine radicals and chlorine radicals can be easily generated, and thus the formation of the adhesion film is appropriately adjusted. Therefore, the effect of dimensional fluctuations and dust reduction can be remarkably exhibited.

본 발명의 제10발명에 의하면, 상기 제1 또는 제9발명에 있어서, 불화탄소가스로서 CHF3가스 및 CH2F2가스중 적어도 어느 한쪽을 사용하였으므로, 플라즈마에칭작용과 플라즈마중합에 의한 부착막의 생성효과가 큰 CHF3가스 또는 CH2F2가스에 대해서, 부착막의 생성을 적당하게 조정해서 치수변동 및 먼지의 저감효과를 현저하게 발휘할 수 있다.According to the tenth invention of the present invention, in the first or ninth invention, at least one of CHF 3 gas and CH 2 F 2 gas is used as the carbon fluoride gas. For the CHF 3 gas or the CH 2 F 2 gas having a large production effect, the formation of the adhesion film can be appropriately adjusted to exert an effect of reducing the dimensional variation and the dust.

본 발명의 제11발명에 의하면, 상기 제1항 또는 제9항의 발명에 의하면, 불화탄소가스 및 할로겐간화합물가스에 더해서, 불활성가스를 혼입하였으므로, 먼지의 저감효과를 현저하게 발휘할 수 있다.According to the eleventh invention of the present invention, according to the invention of claim 1 or 9, since an inert gas is mixed in addition to the fluorocarbon gas and the halogen-containing compound gas, the dust reduction effect can be remarkably exhibited.

본 발명의 제12발명에 의하면, 드라이에칭장치의 구성으로서, 채임버의 상부 뿐만 아니라, 옆부분에도 다수의 가는 구멍으로 이루어진 분출구를 형성하였으므로, 고속가스의 유통에 의한 부착막의 저감효과를 이용해서, 채임버의 내벽에 부착하는 먼지량의 대폭적인 저감을 도모할 수 있다.According to the twelfth invention of the present invention, as a configuration of the dry etching apparatus, a spout formed of a plurality of fine holes is formed not only on the upper side of the chamber but also on the side thereof. Therefore, the amount of dust adhering to the inner wall of the chamber can be greatly reduced.

본 발명의 제13발명에 의하면, 상기 제12발명에 있어서, 옆부분의 분출구를 원통형상으로 형성하는 구성으로 하였으므로, 옆부분 전체로부터 고속으로 반응성가스를 공급하므로서, 측벽에 있어서의 먼지량을 대폭적으로 저감할 수 있다.According to a thirteenth aspect of the present invention, in the twelfth aspect of the present invention, since the ejection port of the side portion is formed in a cylindrical shape, the amount of dust on the side wall is drastically reduced by supplying a reactive gas at high speed from the entire side portion. Can be reduced.

본 발명의 제14발명에 의하면, 드라이에칭장치의 구성으로서, 반응성가스의 분출구를, 구형상으로 배치된 다수의 가는 구멍으로 이루어진 것으로 하였으므로, 채임버의 내벽 전체에 걸쳐서 고속의 반응성가스를 공급하므로서, 먼지의 저감효과를 현저하게 발휘할 수 있다.According to the fourteenth invention of the present invention, since the ejection opening of the reactive gas is composed of a plurality of thin holes arranged in a spherical shape as a configuration of the dry etching apparatus, a high-speed reactive gas is supplied over the entire inner wall of the chamber. In addition, the dust reduction effect can be remarkably exhibited.

본 발명의 제15발명에 의하면, 상기 제12항 또는 제13항의 발명에 있어서, 방응성가스를 공급하기 위한 분출구에 각 가는 구멍을 채임버 내벽을 따라서 등간격으로 배치하는 구성으로 하였으므로, 국부적으로도 거의 균일한 풍속(風速)의 가스를 공급할 수 있고, 따라서, 먼지의 저감효과를 확실하게 발휘할 수 있다.According to a fifteenth aspect of the present invention, in the invention according to claim 12 or 13, the thin holes are arranged at equal intervals along the inner wall of the chamber at the outlet for supplying the reactive gas. Also, a gas having a substantially uniform wind speed can be supplied, and hence the dust reduction effect can be reliably exhibited.

본 발명의 제16발명에 의하면, 제4발명에 있어서, 에칭의 종료후의 고주파전압의 인가를 정지하고, 할로겐간화합물가스를 채임버내에 남기도록 하였으므로, 플라즈마에칭작용을 정지시켜서 밑바탕층에 할로겐간화합물가스에 의한 화학적연마를 실시할 수 있고, 따라서, 플라즈마에칭에 의해서 밑바탕층에 발생한 손상층을 자체정합적으로 제거할 수 있다.According to the sixteenth invention of the present invention, in the fourth invention, the application of the high frequency voltage after the end of etching is stopped, and the halogen-containing compound gas is left in the chamber. Chemical polishing with the compound gas can be performed, and therefore, the damage layer generated in the underlying layer can be self-aligned by plasma etching.

본 발명의 제17발명에 의하면, 상기 제10의 발명에 있어서, 불화탄소가스 및 할로겐간화합물가스에 더해서, 불활성가스를 혼입하였으므로, 먼지의 저감효과를 현저하게 발휘할 수 있다.According to the seventeenth aspect of the present invention, in the tenth aspect of the present invention, since an inert gas is mixed in addition to the fluorocarbon gas and the halogen compound gas, the effect of reducing dust can be remarkably exhibited.

본 발명의 제18발명에 의하면, 상기 제14의 발명에 있어서, 반응성가스를 공급하기 위한 분출구에 각 가는 구멍을 채임버 내벽을 따라서 등간격으로 배치하는 구성으로 하였으므로, 국부적으로도 거의 균일한 풍속(風速)의 가스를 공급할 수 있고, 따라서, 먼지의 저감효과를 발휘할 수 있다.According to the eighteenth aspect of the present invention, in the fourteenth aspect of the present invention, since the thin holes are arranged at equal intervals along the inner wall of the chamber at the ejection port for supplying the reactive gas, the air velocity is locally uniform. The gas of wind can be supplied, and therefore, the dust reduction effect can be exhibited.

Claims (18)

고주파전원에 접속되는 적어도 1쌍의 전극을 채임버내에 설치해서 이루어진 에칭장치내에서, 반도체기판의 일부를 반응성가스와의 반응에 의해 제거하도록 한 드라이에칭법으로서, 반도체기판을 채임버내에 설치하고, 상기 채임버내에, 이종할로겐원소의 화합물인 할로겐간화합물가스와 불화탄소가스를 적어도 함유하는 반응가스를 도입하고, 상기 고주파전원으로부터 상기 전극에 고주파전압을 인가하는 동시에, 상기 반응가스는, 플라즈마상태에서는, 에칭에 의해 개구부를 형성하는 작용과, 에칭에 의한 생성물을 개구부와의 벽면에 부착시키는 작용과, 상기 에칭시에 발생하는 데포종에 대해서 휘발성물질을 발생하게 해서 부착막의 형성을 억제하는 작용을 가지는 한편, 비플라즈마상태에서는 상기 에칭시에 발생하는 데포종에 대해서 휘발성물질을 발생하게 해서 부착막의 형성을 억제하는 작용을 가지도록 조정되어 있는 것을 특징으로 하는 드라이에칭법.In an etching apparatus in which at least one pair of electrodes connected to a high frequency power source is provided in a chamber, a dry etching method in which a part of the semiconductor substrate is removed by reaction with a reactive gas, wherein the semiconductor substrate is provided in the chamber. And introducing a reaction gas containing at least a halogen-containing compound gas and a fluorinated carbon gas, which are compounds of different halogen elements, into the chamber and applying a high frequency voltage to the electrode from the high frequency power supply. In the state, the action of forming an opening by etching, the action of attaching the product by etching to the wall surface of the opening, and the formation of an adhesion film by suppressing the formation of a volatile substance for the depo species generated during the etching On the other hand, in the non-plasma state, it is volatile to depo species generated at the time of etching. Dry etching method which is characterized in that it occurs the quality is adjusted so as to have the effect of inhibiting the adhesion film is formed. 제1항에 있어서, 상기 반도체기판의 피에칭부를, 규소를 함유한 물질로 구성되어 있는 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 1, wherein the etching target portion of the semiconductor substrate is made of a material containing silicon. 제1항에 있어서, 상기 반도체기판의 피에칭부는, 절연막인 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 1, wherein the etching target portion of the semiconductor substrate is an insulating film. 제1항, 제2항, 또는 제3항에 있어서, 상기 반도체기판의 피에칭부는, 절연막의 일부를 제거해서 절연막 아래쪽의 도전부표면을 노출시키는 콘택트구멍인 것을 특징으로 하는 드라이에칭법.4. The dry etching method according to claim 1, 2 or 3, wherein the etching target portion of the semiconductor substrate is a contact hole for removing a portion of the insulating film to expose the conductive portion surface below the insulating film. 제3항에 있어서, 상기 반도체기판의 피에칭부는, LOCOS 형성을 위한 마스크가 되는 실리콘질화막인 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 3, wherein the etching target portion of the semiconductor substrate is a silicon nitride film serving as a mask for forming LOCOS. 제1항 또는 제5항에 있어서, 상기 피에칭부의 제거가 종료하기 직전에, 할로겐간화합물가스의 공급을 정지하고, 그후, 고주파전원이 인가된 불화탄소가스에 의한 처리를 행하는 것을 특징으로 하는 드라이에칭법.6. The supply of the inter-halogen compound gas is stopped immediately before the removal of the etched portion is completed, and thereafter, the treatment is performed by a fluorocarbon gas to which a high frequency power supply is applied. Dry etching method. 제1항 또는 제5항에 있어서, 상기 피에칭부의 제거가 종료에 가까와지면, 할로겐간화합물의 공급량을 저감해가는 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 1 or 5, wherein when the removal of the etched portion is near the end, the supply amount of the halogenated compound is reduced. 제1항에 있어서, 상기 피에칭부의 제거가 종료한 후, 고주파전압의 인가를 정지하고, 적어도 할로겐간화합물가스를 채임버내에 잔류시키는 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 1, wherein after the removal of the etched portion is finished, application of a high frequency voltage is stopped and at least an interhalogen compound gas is left in the chamber. 제1항에 있어서, 상기 할로겐간화합물가스로서 CIF3가스를 사용하는 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 1, wherein a CIF 3 gas is used as the halogen-containing compound gas. 제1항 또는 제9항에 있어서, 상기 불화탄소가스로서, CHF3, 가스 및 CH2F2가스중 적어도 한쪽을 사용하는 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 1 or 9, wherein at least one of CHF 3 , gas, and CH 2 F 2 gas is used as the carbon fluoride gas. 제1항 또는 제9항에 있어서, 상기 불화탄소가스 및 할로겐간화합물가스에 더하여, 불활성가스를 혼입한 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 1 or 9, wherein an inert gas is mixed in addition to the fluorocarbon gas and the halogen-containing compound gas. 반도체기판의 일부를 반응성가스와의 반응에 의해 제거하기 위한 드라이에칭장치로서, 반도체기판을 설치하고, 가스에 의한 에칭을 행하기 위한 채임버와, 이 채임버에 반응성가스를 공급하는 가스공급장치와, 상기 채임버내에 설치된 적어도 1쌍의 전극과, 이 전극간에 고주파전압을 인가하기 위한 고주파전원과, 상기 가스공급장치에 가스배관을 개재해서 접속되고, 채임버의 상부로부터 가스를 분출하기 위한 다수의 가는 구멍을 가진 제1가스분출구와, 상기 가스공급장치에 가스배관을 개재해서 접속되어, 채임버의 옆부분으로부터 가스를 분출하기 위한 다수의 가는 구멍을 가진 제2가스분출구와, 상기 채임버로부터 가스를 배출 하기 위한 배출구를 구비한 것을 특징으로 하는 드라이에칭장치.A dry etching apparatus for removing a part of a semiconductor substrate by reaction with a reactive gas, comprising: a chamber for installing a semiconductor substrate and etching by gas; and a gas supply apparatus for supplying a reactive gas to the chamber. And at least one pair of electrodes provided in the chamber, a high frequency power source for applying a high frequency voltage between the electrodes, and a gas pipe to the gas supply device, for ejecting gas from the top of the chamber. A first gas outlet having a plurality of fine holes, a second gas outlet connected to the gas supply device via a gas pipe, and having a plurality of fine holes for ejecting gas from the side of the chamber; Dry etching apparatus comprising a discharge port for discharging gas from the chamber. 제12항에 있어서, 상기 채임버는, 내면이 원통형상으로 형성되어 있고, 상기 제2가스분출구는, 채임버의 옆부분에 원통형상으로 배치되고, 각 가는 구멍은, 원통면 전체에 걸쳐서 형성되어 있는 것을 특징으로 하는 드라이에칭장치.The said chamber is formed in the cylindrical shape, the said 2nd gas ejection opening is arrange | positioned at the side of the chamber, and each thin hole is formed over the whole cylindrical surface. Dry etching apparatus characterized in that. 반도체기판의 일부를 가스와의 반응에 의해 제거하기 위한 드라이에칭장치로서, 적어도 내면이 구형상으로 형성되고, 반도체기판을 설치해서 반응성가스에 의한 에칭을 행하기 위한 채임버와, 이 채임버에 반응성가스를 공급하는 가스공급장치와, 상기 채임버내에 설치된 적어도 1쌍의 전극과, 이 전극간에 고주파전압을 인가하기 위한 고주파전원과, 상기 가스공급장치에 가스배관을 개재해서 접속되고, 채임버의 상부로부터 가스를 분출하기 위한 다수의 가는 구멍을 가진 구형상의 가스분출구를 구비한 것을 특징으로 하는 드라이에칭장치.A dry etching apparatus for removing a part of a semiconductor substrate by reaction with a gas, wherein at least an inner surface thereof is formed in a spherical shape, and a chamber for installing a semiconductor substrate for etching with a reactive gas and the chamber. A gas supply device for supplying a reactive gas, at least one pair of electrodes provided in the chamber, a high frequency power supply for applying a high frequency voltage between the electrodes, and a gas pipe connected to the gas supply device, And a spherical gas ejection opening having a plurality of fine holes for ejecting gas from the upper portion of the apparatus. 제12항 또는 제13항에 있어서, 상기 각 가는 구멍은, 채임버의 내벽을 따라서 서로 등간격으로 배치 되어 있는 것을 특징으로 하는 드라이에칭장치.The dry etching apparatus according to claim 12 or 13, wherein the thin holes are arranged at equal intervals along the inner wall of the chamber. 제4항에 있어서, 상기 피에칭부의 제거가 종료한 후, 고주파전압의 인가를 정지하고, 적어도 할로겐간화합물가스를 채임버내에 잔류시키는 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 4, wherein after the removal of the etched portion is finished, application of a high frequency voltage is stopped and at least an interhalogen compound gas is left in the chamber. 제10항에 있어서, 상기 불화탄소가스 및 할로겐간화합물가스에 더하여, 불활성가스를 혼입한 것을 특징으로 하는 드라이에칭법.The dry etching method according to claim 10, wherein an inert gas is mixed in addition to the carbon fluoride gas and the interhalogen compound gas. 제14항에 있어서, 상기 각 가는 구멍은, 채임버의 내벽을 따라서 서로 등간격으로 배치되어 있는 것을 특징으로 하는 드라이에칭장치.15. The dry etching apparatus according to claim 14, wherein the thin holes are arranged at equal intervals along the inner wall of the chamber.
KR1019930011383A 1992-06-22 1993-06-22 Dry etching method and apparatus thereof KR0126249B1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP16155192 1992-06-22
JP92-161551 1992-06-22

Publications (2)

Publication Number Publication Date
KR940006216A KR940006216A (en) 1994-03-23
KR0126249B1 true KR0126249B1 (en) 1997-12-26

Family

ID=15737266

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019930011383A KR0126249B1 (en) 1992-06-22 1993-06-22 Dry etching method and apparatus thereof

Country Status (1)

Country Link
KR (1) KR0126249B1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170043299A (en) * 2015-10-13 2017-04-21 세메스 주식회사 Apparatus for treating substrate

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100331053B1 (en) * 1994-05-17 2002-06-20 가나이 쓰도무 Plasma processing appartus and plasma processing method
US6350699B1 (en) * 2000-05-30 2002-02-26 Sharp Laboratories Of America, Inc. Method for anisotropic plasma etching using non-chlorofluorocarbon, fluorine-based chemistry

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170043299A (en) * 2015-10-13 2017-04-21 세메스 주식회사 Apparatus for treating substrate

Also Published As

Publication number Publication date
KR940006216A (en) 1994-03-23

Similar Documents

Publication Publication Date Title
US9768034B1 (en) Removal methods for high aspect ratio structures
US10242908B2 (en) Airgap formation with damage-free copper
US6089183A (en) Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
EP0049272B1 (en) Fabrication of microminiature devices using plasma etching of silicon with fluorine-containing gaseous compounds
CN1985335B (en) Method for bilayer resist plasma etch
US6380095B1 (en) Silicon trench etch using silicon-containing precursors to reduce or avoid mask erosion
US5188980A (en) Inert gas purge for the multilayer poly gate etching improvement
KR100718072B1 (en) Techniques for forming contact holes through to a silicon layer of a substrate
KR100702723B1 (en) Dry-etching method
JP2001176861A (en) Stable plasma process
EP0536968A2 (en) Process for forming contact holes in the fabrication of semi-conducteur devices
JP4351806B2 (en) Improved technique for etching using a photoresist mask.
US6756314B2 (en) Method for etching a hard mask layer and a metal layer
US6472329B1 (en) Etching aluminum over refractory metal with successive plasmas
KR0126249B1 (en) Dry etching method and apparatus thereof
KR100434887B1 (en) Process for Manufacturing Semiconductor Device
JPH0677177A (en) Method and apparatus for dry etching
KR20050035674A (en) Method for anisotropically etching silicon
WO1999059198A1 (en) Plasma etching method
KR0144428B1 (en) Method of manufacturing semiconductor device
US6468603B1 (en) Plasma film forming method utilizing varying bias electric power
KR19990045272A (en) Method for Selectively Etching Silicon Nitride from Silicon Oxide
KR100480233B1 (en) Method for forming the contact hole of semiconductor device
KR20020031025A (en) Semiconductor Device Manufacturing Method and Semiconductor Device
JP2639402B2 (en) Oxide layer taper etching method

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20051011

Year of fee payment: 9

LAPS Lapse due to unpaid annual fee