JPWO2003085716A1 - Plasma etching method and plasma etching apparatus - Google Patents

Plasma etching method and plasma etching apparatus Download PDF

Info

Publication number
JPWO2003085716A1
JPWO2003085716A1 JP2003582802A JP2003582802A JPWO2003085716A1 JP WO2003085716 A1 JPWO2003085716 A1 JP WO2003085716A1 JP 2003582802 A JP2003582802 A JP 2003582802A JP 2003582802 A JP2003582802 A JP 2003582802A JP WO2003085716 A1 JPWO2003085716 A1 JP WO2003085716A1
Authority
JP
Japan
Prior art keywords
plasma
frequency power
chamber
electrodes
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003582802A
Other languages
Japanese (ja)
Other versions
JP4377698B2 (en
Inventor
昇一郎 松山
昇一郎 松山
昌伸 本田
昌伸 本田
永関 一也
一也 永関
久貴 林
久貴 林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Tokyo Electron Ltd
Original Assignee
Toshiba Corp
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp, Tokyo Electron Ltd filed Critical Toshiba Corp
Publication of JPWO2003085716A1 publication Critical patent/JPWO2003085716A1/en
Application granted granted Critical
Publication of JP4377698B2 publication Critical patent/JP4377698B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本発明は、チャンバー内に一対の電極を対向して配置し、両電極の間にシリコン膜と無機材料膜とを隣接して有する被処理基板が配置されるように、一方の電極によって当該被処理基板を支持させる配置工程と、少なくとも一方の電極に高周波電力を印加して前記一対の電極間に高周波電界を形成するとともに、チャンバー内に処理ガスを供給し、前記電界により処理ガスのプラズマを形成し、該プラズマにより前記被処理基板の前記シリコン膜をプラズマエッチングするエッチング工程と、を備え、前記エッチング工程において、前記少なくとも一方の電極に印加する高周波電力の周波数が、50〜150MHzであることを特徴とするプラズマエッチング方法である。In the present invention, a pair of electrodes are disposed opposite to each other in a chamber, and a substrate to be processed having a silicon film and an inorganic material film adjacent to each other is disposed between the electrodes. An arrangement step for supporting the processing substrate, and applying a high frequency power to at least one of the electrodes to form a high frequency electric field between the pair of electrodes, supplying a processing gas into the chamber, and generating plasma of the processing gas by the electric field And an etching step of plasma-etching the silicon film of the substrate to be processed with the plasma, and the frequency of the high-frequency power applied to the at least one electrode in the etching step is 50 to 150 MHz. A plasma etching method characterized by the above.

Description

技術分野
本発明は、シリコン膜とそれに隣接する無機材料膜とを有する半導体ウエハ等の被処理基板のシリコン膜をプラズマエッチングするプラズマエッチング方法および装置に関する。
背景技術
半導体デバイスの製造工程においては、半導体ウエハにポリシリコン膜等のシリコン膜や絶縁膜等の多層膜が形成された後、所定の配線パターンを形成するためにプラズマエッチングが行われる。
このようなプラズマエッチングを行うために、種々の装置が用いられている。その中でも、容量結合型平行平板プラズマエッチング装置が主流である。容量結合型平行平板プラズマ処理装置では、チャンバー内に一対の平行平板電極(上部および下部電極)が配置され、処理ガスがチャンバー内に導入されるとともに、電極の少なくとも一方に高周波電力が印加されて電極間に高周波電界が形成される。この高周波電界により、処理ガスのプラズマが形成され、被処理基板に対してプラズマエッチング処理が施される。
このようなプラズマ処理装置においては、下部電極に13.56〜40MHz程度の高周波電力が供給されてエッチングが行われている。
このような条件で、例えばSiO等の無機系材料膜をマスクとして用いてポリシリコン膜等のシリコン膜をエッチングする場合には、無機材料膜に対するエッチング選択比を向上させるために、比較的高圧の圧力条件でエッチングが行われている。
しかしながら、従来のように比較的高圧の圧力条件でエッチングが行われる場合には、シリコン膜の無機材料膜に対するエッチング選択比は向上するものの、エッチング形状制御性が悪いという問題がある。このような問題は、無機材料膜をマスクとして用いる場合のみならず、シリコン膜の下地に無機材料膜が形成されている場合でも同様に生じる。
発明の要旨
本発明はかかる事情に鑑みてなされたものであって、無機系材料膜に隣接したシリコン膜をエッチングする際に、高エッチング選択比を維持したまま、形状制御性を良好にすることができるプラズマエッチング方法および装置を提供することを目的とする。
本発明者らの検討結果によれば、ポリシリコン膜等のシリコン膜のエッチングでは、プラズマ密度が支配的であって、イオンエネルギーの寄与が小さいのに対し、SiOやSiN膜等の無機系材料膜のエッチングでは、プラズマ密度とイオンエネルギーの両方が必要である。したがって、プラズマ密度が高く、かつ、イオンエネルギーがある程度低ければ、無機系材料膜に対するシリコン膜のエッチング選択比を高くすることができる。この場合、プラズマのイオンエネルギーは、エッチングの際における電極の自己バイアス電圧と間接的に対応する。従って、無機系材料膜に対するシリコン膜のエッチング選択比を高くするためには、結局、高プラズマ密度かつ低バイアスの条件でエッチングすることが必要である。
一方、エッチングの形状制御性を良好なものにするためには、プロセスが低圧で行われることが必要である。しかしながら、上記の条件であれば、より低圧のプロセスで高エッチング選択比を実現することができる。すなわち、高プラズマ密度および低自己バイアス電圧が実現されれば、より低圧条件下で無機系材料膜に対するシリコン膜のエッチング選択比を高くすることができ、高エッチング選択比と良好なエッチング形状制御性とを両立させることができる。
本発明者らの更なる検討結果によれば、電極に印加する高周波電力の周波数が高ければ、プラズマ密度が高くかつ自己バイアス電圧が小さい状態を実現することができることが判明した。
本発明は、チャンバー内に一対の電極を対向して配置し、両電極の間にシリコン膜と無機材料膜とを隣接して有する被処理基板が配置されるように、一方の電極によって当該被処理基板を支持させる配置工程と、少なくとも一方の電極に高周波電力を印加して前記一対の電極間に高周波電界を形成するとともに、チャンバー内に処理ガスを供給し、前記電界により処理ガスのプラズマを形成し、該プラズマにより前記被処理基板の前記シリコン膜をプラズマエッチングするエッチング工程と、を備え、前記エッチング工程において、前記少なくとも一方の電極に印加する高周波電力の周波数が、50〜150MHzであることを特徴とするプラズマエッチング方法である。
本発明によれば、電極に印加される高周波電力の周波数が50〜150MHzであって従来よりも高いため、より低圧の条件であっても、高プラズマ密度と低い自己バイアス電圧とを実現することができ、シリコン膜を、無機系材料膜に対して高エッチング選択比で、かつ、良好な形状制御性で、エッチングすることができる。
電極に印加される高周波電力の周波数は、70〜100MHz、特には100MHz、であることがより好ましい。
また、前記エッチング工程において、前記高周波電力のパワー密度は、0.15〜5W/cmであることが好ましい。
また、前記エッチング工程において、前記チャンバー内のプラズマ密度は、5×10〜2×1010cm−3であることが好ましい。
また、前記エッチング工程において、前記チャンバー内の圧力は、13.3Pa以下であることが好ましい。
また、本発明は、チャンバー内に一対の電極を対向して配置し、両電極の間にシリコン膜と無機材料膜とを隣接して有する被処理基板が配置されるように、一方の電極によって当該被処理基板を支持させる配置工程と、少なくとも一方の電極に高周波電力を印加して前記一対の電極間に高周波電界を形成するとともに、チャンバー内に処理ガスを供給し、前記電界により処理ガスのプラズマを形成し、該プラズマにより前記被処理基板の前記シリコン膜をプラズマエッチングするエッチング工程と、を備え、前記エッチング工程において、処理ガスはHBrガス及びClガスのいずれか1つを含み、前記チャンバー内のプラズマ密度が5×10〜2×1010cm−3であって、かつ、電極の自己バイアス電圧が200V以下であることを特徴とするプラズマエッチング方法である。
本発明によれば、前記チャンバー内のプラズマ密度が5×10〜2×1010cm−3であり、かつ、電極の自己バイアス電圧が200V以下であるという条件で、HBrガス及びClガスのいずれか1つを含むガスのプラズマが形成されるため、シリコン膜を、無機系材料膜に対して高エッチング選択比でかつ良好な形状制御性でエッチングすることができる。
以上において、前記無機系材料膜は、例えば、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、及び、シリコン炭化物の少なくとも一つからなる。
また、前記高周波電力は、前記被処理基板を支持する電極に印加されることが好ましい。この場合に、被処理基板を支持する電極に、前記高周波電力に重畳させて3.2〜13.56MHzの第2高周波電力が印加されてもよい。このように、より低い周波数の第2高周波電力を重畳させることにより、プラズマ密度およびイオン引き込み作用を調整することができ、無機系材料膜に対するエッチング選択比を確保した上でシリコン膜のエッチングレートをより上昇させることが可能である。
重畳させる第2高周波電力は、13.56MHzであることが好ましい。重畳させる高周波電力の周波数が13.56MHzの場合、そのパワー密度は0.64W/cm以下であることが好ましい。また、3.2〜13.56MHzの第2高周波電力が印加される場合、前記被処理基板を支持する電極の自己バイアス電圧は、200V以下であることが好ましい。
また、本発明は、シリコン膜と無機系材料膜とを隣接して有する被処理基板を収容するチャンバーと、前記チャンバー内に設けられ、その一方が前記被処理基板を支持する一対の電極と、前記チャンバー内に処理ガスを供給する処理ガス供給系と、前記チャンバー内を排気する排気系と、前記電極のうち少なくとも一方にプラズマ形成用の高周波電力を供給する高周波電源と、を備え、前記高周波電源から発生される高周波電力の周波数が、50〜150MHzであることを特徴とするプラズマエッチング装置である。
前記高周波電源から発生される高周波電力の周波数は、70〜100MHz、特には100MHz、であることが好ましい。
好ましくは、前記高周波電力のパワー密度は、0.15〜5W/cmである。
また、前記チャンバー内の圧力は、13.3Pa以下であることが好ましい。
また、好ましくは、前記被処理基板を支持する電極に、前記高周波電力が印加される。
また、好ましくは、前記プラズマエッチング装置は、前記被処理基板を支持する電極に前記高周波電力に重畳させて3.2〜13.56MHzの第2高周波電力を印加する第2高周波電源を更に備える。この場合、好ましくは、前記第2高周波電力の周波数は、13.56MHzである。また、好ましくは、前記第2高周波電力のパワー密度は、0.64W/cm以下である。
ところで、パッシェンの法則(Paschen’s law)より、放電開始電圧Vsは、ガス圧力pと電極間距離dの積pdがある値の時に極小値(パッシェン最小値)をとり、パッシェン最小値をとる積pdの値は、高周波電力の周波数が大きいほど小さくなる。従って、高周波電力の周波数が大きい場合に、放電開始電圧Vsを小さくして放電を容易にして安定させるためには、ガス圧力pが一定であれば電極間距離dを小さくする必要がある。そのため、本発明では、電極間距離を50mm未満とすることが好ましい。また、電極間距離を50mm未満とすることで、チャンバー内でのガスのレジデンスタイムを短くすることができる。これにより、反応生成物が効率的に排出され、エッチングストップを低減することができるという効果も得られる。
また、前記一対の電極間のプラズマ領域の周囲に磁場を形成する磁場形成手段を更に備えることが好ましい。
印加する高周波電力の周波数が高い場合には、エッチングレートが周辺部に比較して給電位置である中央部において高くなるという現象が生じ得るが、一対の電極間のプラズマ領域の周囲に磁場を形成することにより、プラズマ閉じこめ効果が発揮されて、印加する高周波電力の周波数が高い場合でも、処理空間にある被処理基板におけるエッチングレートを、被処理基板のエッジ部(周辺部)と中央部とでほぼ同等とすることができる。すなわち、エッチングレートを均一化することができる。
前記磁場形成手段が前記一対の電極間のプラズマ領域の周囲に形成する磁場の強度は、0.03〜0.045T(300〜450Gauss)であることが好ましい。
また、被処理基板を支持する電極の周囲にフォーカスリングが設けられ、前記プラズマ領域の周囲に磁場が形成された際に、フォーカスリング上の磁場強度が0.001T(10Gauss)以上であり、被処理基板上の磁場強度が0.001T以下であることが好ましい。
フォーカスリング上の磁場強度を0.001T以上とすることにより、フォーカスリング上で電子のドリフト運動が生じ、周辺部のプラズマ密度が上昇してプラズマ密度が均一化される。一方、被処理基板上の磁場強度を実質的に被処理基板に影響を及ぼさない0.001T以下とすることにより、チャージアップダメージを防止することができる。
発明を実施するための最良の形態
以下、添付図面を参照して本発明の実施の形態について説明する。
図1は、本発明の実施に用いられるプラズマエッチング装置を示す断面図である。このエッチング装置は、気密に構成され、小径の上部1aと大径の下部1bとからなる段つき円筒状のチャンバー1を備えている。チャンバー1の壁部は、例えばアルミニウム製である。
チャンバー1内には、被処理基板であるウエハWを水平に支持する支持テーブル2が設けられている。支持テーブル2は例えばアルミニウムで構成され、絶縁板3を介して導体の支持台4上に支持されている。また、支持テーブル2の上方の外周には、導電性材料または絶縁性材料で形成されたフォーカスリング5が設けられている。ウエハWの直径が200mmφの場合、フォーカスリング5は240〜280mmφであることが好ましい。支持テーブル2、絶縁板3、支持台4及びフォーカスリング5は、ボールねじ7を含むボールねじ機構により昇降可能となっている。支持台4の下方の昇降駆動部分は、ステンレス鋼(SUS)製のベローズ8で覆われている。チャンバー1は接地されている。また、支持テーブル2の中には冷媒流路(図示せず)が設けられて支持テーブル2を冷却可能となっている。また、ベローズ8の外側にはベローズカバー9が設けられている。
支持テーブル2のほぼ中央には、高周波電力を供給するための給電線12が接続されている。この給電線12には、マッチングボックス11を介して高周波電源10が接続されている。高周波電源10からは、所定の周波数の高周波電力が支持テーブル2に供給されるようになっている。一方、支持テーブル2の上方には、後述するシャワーヘッド16が互いに平行に対向するように設けられている。シャワーヘッド16は、接地されている。したがって、支持テーブル2は下部電極として機能し、シャワーヘッド16は上部電極として機能して、すなわち、支持テーブル2とシャワーヘッド16とは一対の平板電極を構成している。
なお、これらの電極間の距離は、50mm未満に設定されることが好ましい。その理由は以下のとおりである。
パッシェンの法則(Paschen’s law)により、放電開始電圧Vsは、ガス圧力pと電極間距離dの積pdがある値の時に極小値(パッシェン最小値)をとり、パッシェン最小値をとる積pdの値は、高周波電力の周波数が大きいほど小さくなる。従って、本実施形態のように高周波電力の周波数が大きい場合に、放電開始電圧Vsを小さくして放電を容易にして安定させるためには、ガス圧力pが一定であれば電極間距離dを小さくする必要がある。そのため、電極間距離を50mm未満とすることが好ましい。また、電極間距離を50mm未満とすることで、チャンバー内でのガスのレジデンスタイムを短くすることができる。これにより、反応生成物が効率的に排出され、エッチングストップを低減することができるという効果も得られる。
しかし、電極間距離を小さくしすぎると、被処理基板であるウエハWの表面の圧力分布(中心部と周辺部の圧力差)が大きくなる。この場合、エッチング均一性の低下等の問題が生じ得る。ガス流量によらず、圧力差を0.27Pa(2mTorr)より小さくするためには、電極間距離は35mm以上であることが好ましい。
支持テーブル2の表面上には、ウエハWを静電吸着するための静電チャック6が設けられている。この静電チャック6は、絶縁体6bの間に電極6aが介在されて構成されている。電極6aには直流電源13が接続されている。そして、電極6aに直流電源13から電圧が印加されることにより、例えばクーロン力によって半導体ウエハWが吸着されるようになっている。
支持テーブル2の内部には、図示しない冷媒流路が形成されている。その中に適宜の冷媒を循環させることによって、ウエハWを所定の温度に制御可能となっている。また、冷媒からの冷熱を効率よくウエハWに伝達するために、ウエハWの裏面にHeガスを供給するガス導入機構(図示せず)が設けられている。さらに、フォーカスリング5の外側にはバッフル板14が設けられている。バッフル板14は、支持台4及びベローズ8を通して、チャンバー1と導通している。
チャンバー1の天井壁部分には、支持テーブル2に対向するようにシャワーヘッド16が設けられている。シャワーヘッド16は、その下面に多数のガス吐出孔18が設けられており、かつその上部にガス導入部16aを有している。そして、その内部には空間17が形成されている。ガス導入部16aにはガス供給配管15aが接続されており、このガス供給配管15aの他端には、エッチング用の反応ガス及び希釈ガスからなる処理ガスを供給する処理ガス供給系15が接続されている。
反応ガスとしては、ハロゲン系のガスが用いられ、希釈ガスとしては、Arガス、Heガス等、通常この分野で用いられるガスが用いられ得る。
このような処理ガスが、処理ガス供給系15からガス供給配管15a及びガス導入部16aを介してシャワーヘッド16の空間17に至り、ガス吐出孔18から吐出され、ウエハWに形成された膜がエッチングされる。
チャンバー1の下部1bの側壁には、排気ポート19が形成されており、この排気ポート19には真空ポンプを有する排気系20が接続されている。そして真空ポンプを作動させることにより、チャンバー1内は所定の真空度まで減圧され得るようになっている。一方、処理室1の下部1bの側壁上側には、ウエハWの搬入出口と、当該搬入出口を開閉するゲートバルブ24と、が設けられている。
一方、チャンバー1の上部1aの周囲には、同心状に、リング磁石21が配置されており、支持テーブル2とシャワーヘッド16との間の処理空間の周囲に磁界を形成するようになっている。このリング磁石21は、回転機構25により配置の中心軸周りに(周方向に)回転可能となっている。
リング磁石21は、図2の水平断面図に示すように、永久磁石からなる複数のセグメント磁石22が図示しない支持部材により支持された状態でリング状に配置されて構成されている。この例では、16個のセグメント磁石22がリング状(同心円状)にマルチポール状態で配置されている。すなわち、リング磁石21においては、隣接するセグメント磁石22同士の磁極の向きが互いに逆向きになるように配置されている。したがって、磁力線は図示のように隣接するセグメント磁石22間に形成され、処理空間の周辺部のみに例えば0.02〜0.2T(200〜2000Gauss)、好ましくは0.03〜0.045T(300〜450Gauss)の磁場が形成される。一方、ウエハ配置領域は、実質的に無磁場状態となる。前記のような磁場強度が規定されるのは、磁場が強すぎると洩れ磁場の原因となり得るし、磁場が弱すぎるとプラズマ閉じこめ効果が得られなくなるためである。もっとも、適正な磁場強度は、装置構造等にも依存する。すなわち、適正な磁場強度の範囲は、装置によって異なり得る。
また、処理空間の周辺部に前記のような磁場が形成される場合、フォーカスリング5上の磁場強度は0.001T(10Gauss)以上となることが望ましい。この場合、フォーカスリング上に電子のドリフト運動(E×Bドリフト)が生じて、ウエハ周辺部のプラズマ密度が上昇してプラズマ密度が均一化される。一方、ウエハWのチャージアップダメージを防止する観点から、ウエハWの存在部分の磁場強度は0.001T(10Gauss)以下となることが望ましい。
ここで、ウエハ配置領城における実質的に無磁場とは、ウエハ配置領域におけるエッチング処理に影響を与える磁場が形成されていないことをいう。すなわち、実質的にウエハ処理に影響を与えない磁場が存在する場合も含まれる。
図2に示す状態では、ウエハ周辺部に、例えば磁束密度0.42mT(4.2Gauss)以下の磁場が印加されている。これにより、プラズマを閉じ込める機能が発揮される。
このようなマルチポール状態のリング磁石によって磁場が形成されると、チャンバー1の壁部の磁極に対応する部分(例えば図2にPで示す部分)が局部的に削られる現象が生じるおそれがある。従って、上記回転機構25によりリング磁石21がチャンバーの円周方向に沿って回転させられる。これにより、チャンバー壁に対して局部的に磁極が当接する(位置する)ことが回避され、チャンバー壁が局部的に削られることが防止される。
上記各セグメント磁石22は、図示しないセグメント磁石回転機構により、垂直方向の軸を中心に回転自在に構成されている。このように、セグメント磁石22を回転させることにより、実質的にマルチポール磁場が形成される状態とマルチポール磁場が形成されない状態との間での切替が可能となっている。条件によっては、マルチポール磁場が有効に作用する場合と作用しない場合とがある。従って、このようにマルチポール磁場が形成される状態と形成されない状態とを切替可能とすることにより、条件に応じて適切な状態を選択することができる。
磁場の状態はセグメント磁石の配置に応じて変化するから、セグメント磁石の配置を種々変化させることにより、種々の磁場強度プロファイルを形成することができる。従って、必要な磁場強度プロファイルが得られるように、セグメント磁石を配置することが好ましい。
なお、セグメント磁石の数はこの例に限定されるものではない。また、その断面形状もこの例のように長方形に限らず、円、正方形、台形等、任意の形状を採用することができる。セグメント磁石22を構成する磁石材料も特に限定されるものではなく、例えば、希土類系磁石、フェライト系磁石、アルニコ磁石等、公知の磁石材料を適用することができる。
上記構成のプラズマエッチング装置は、SiO、SiN等の無機系材料膜に隣接するポリシリコンをエッチングする場合に適用可能である。以下、上記構成のプラズマエッチング装置を用いてこのようなエッチングを行う場合の処理動作について説明する。
エッチング対象のウエハWは、例えば、図3に示すように、シリコン基板31の上にポリシリコン膜32が形成され、当該シリコン膜32上にハードマスクとして所定のパターンの無機系材料膜33が形成された構成を有している。あるいは、ウエハWは、図4に示すように、シリコン基板41の上にゲート酸化膜としてSiOからなる無機系材料膜42が形成され、当該無機系材料膜42の上にゲートとなるポリシリコン膜43が形成され、さらに当該ポリシリコン膜43の上にマスクとなる所定パターンのレジスト膜44が形成された構成を有している。
無機系材料膜33は、一般的にハードマスクとして用いられる材料で構成されている。シリコン酸化物、シリコン窒化物、シリコン酸窒化物、シリコン炭化物等が、好適な例として挙げられ得る。すなわち、無機系材料膜33は、これらの少なくとも一つからなることが好ましい。
これらの構造のウエハWについて、ポリシリコン膜32または43がエッチングされる。まず、ゲートバルブ24が開けられて、搬送アームにてウエハWがチャンバー1内に搬入され、支持テーブル2上に載置される。その後、搬送アームが退避されてゲートバルブ24が閉じられ、支持テーブル2が図1に示す位置まで上昇される。また、排気系20の真空ポンプにより、排気ポート19を介してチャンバー1内が所定の真空度とされる。
その後、チャンバー1内に処理ガス供給系15から所定の処理ガス、例えばHBrガス、が例えば0.02〜0.4L/min(20〜400sccm)で導入され、チャンバー1内が所定の圧力に維持される。この状態で、高周波電源10から支持テーブル2に、周波数が50〜150MHz、好ましくは70〜100MHzの高周波電力が供給される。この際の単位面積当たりのパワー、すなわちパワー密度は、約0.15〜約5.0W/cmの範囲であることが好ましい。このとき、直流電源13から静電チャック6の電極6aに所定の電圧が印加され、ウエハWは例えばクーロン力により静電チャック6に吸着される。
このように下部電極である支持テーブル2に高周波電力が印加されることにより、上部電極であるシャワーヘッド16と下部電極である支持テーブル2との間の処理空間に高周波電界が形成される。これにより、処理空間に供給された処理ガスがプラズマ化され、そのプラズマによりウエハW上のポリシリコン膜がエッチングされる。
このエッチング工程の際に、マルチポール状態のリング磁石21により、処理空間の周囲に図2に示すような磁場が形成され得る。この場合、プラズマ閉じこめ効果が発揮され、本実施形態のようにプラズマの不均一が生じやすい高周波数の場合でも、ウエハWのエッチングレートが均一化され得る。また、条件によっては、このような磁場を形成しない方がよい場合もある。その場合には、セグメント磁石22を回転させて、処理空間の周囲に実質的に磁場が形成されない状態にして処理を行えばよい。
上記磁場が形成された場合には、支持テーブル2上のウエハWの周囲に設けられた導電性または絶縁性のフォーカスリング5により、プラズマ処理の均一化効果を一層高めることができる。すなわち、ウエハ周辺部のプラズマ密度が高く、ウエハ周辺部のエッチングレートがウエハ中心部のエッチングレートに比べて大きい場合は、シリコンやSiC等の導電性材料で形成されたフォーカスリングを用いることによって、フォーカスリング領域までが下部電極として機能するため、プラズマ形成領域がフォーカスリング5上まで広がり、ウエハWの周辺部におけるプラズマ処理が促進されエッチングレートの均一性が向上される。一方、ウエハ周辺部のプラズマ密度が低く、ウエハ周辺部のエッチングレートがウエハ中心部のエッチングレートに比べて小さい場合は、石英等の絶縁性材料で形成されたフォーカスリングを用いることによって、フォーカスリング5とプラズマ中の電子やイオンとの間で電荷が授受され得ないので、プラズマを閉じこめる作用が増大され得てエッチングレートの均一性が向上される。
プラズマ密度およびイオン引き込み作用を調整するために、プラズマ生成用の前記高周波とプラズマ中のイオンを引き込むための第2高周波とを重畳させてもよい。具体的には、図5に示すように、プラズマ生成用の高周波電源10の他に、イオン引き込み用の第2高周波電源26がマッチングボックス11に接続されて、これらが重畳される。この場合に、イオン引き込み用の第2高周波電源26の周波数は、3.2〜13.56MHzが好ましく、この範囲では13.56MHzが特に好ましい。これにより、イオンエネルギーを制御するパラメータが増加するので、必要十分な無機系材料膜に対するエッチング選択比を確保した上でポリシリコン膜のエッチングレートをより上昇させることができるような最適な処理条件を容易に設定することができる。
ところで、本発明者等の検討結果によると、ポリシリコン膜のエッチングでは、プラズマ密度が支配的であってイオンエネルギーの寄与が小さいのに対し、無機系材料のエッチングでは、プラズマ密度とイオンエネルギーの両方が必要である。したがって、図3及び図4に示すように無機系材料膜に隣接したポリシリコン膜のエッチングにおいては、無機系材料膜に対するエッチング選択比を高くしてエッチングするためには、プラズマ密度が高く、かつ、イオンエネルギーが低いことが必要である。つまり、無機系材料のエッチングに必要なイオンエネルギーを低く、ポリシリコンのエッチングに支配的なプラズマ密度を高くすれば、ポリシリコン膜が選択的にエッチングされることとなる。ここで、プラズマのイオンエネルギーは、エッチングの際における電極の自己バイアス電圧と間接的に対応するから、ポリシリコン膜を高エッチング選択比でエッチングするためには、結局、高プラズマ密度かつ低自己バイアス電圧の条件でエッチングすることが必要である。一方、エッチングの形状制御性を良好なものにするためには、エッチングが低圧で行われることが必要であるが、上記の条件が満たされれば、より低圧のプロセスで高エッチング選択比を実現することができる。すなわち、高プラズマ密度および低自己バイアス電圧が実現されれば、より低圧の条件下でも、無機系材料膜に対するポリシリコン膜のエッチング選択比を高くすることができ、高エッチング選択比と良好なエッチング形状制御性とを両立させることができることとなる。そして、そのためには、電極に印加する高周波電力の周波数を50〜150MHzと従来よりも高くすればよいことが判明した。
以下、そのことが図6を参照して説明される。図6は、高周波電力の周波数が40MHz、100MHzにおける自己バイアス電圧の絶対値|Vdc|とプラズマ密度との関係を示す図である。横軸が自己バイアス電圧の絶対値|Vdc|であり、縦軸がプラズマ密度である。ここで、プラズマガスとしては、実際のエッチングガスではなく、評価用にArが用いられた。なお、各周波数において、印加する高周波パワーを変化させることにより、プラズマ密度Neおよび自己バイアス電圧の絶対値|Vdc|の値が変化された。つまり、各周波数とも、印加する高周波パワーが大きくなるほど、プラズマ密度Neおよび自己バイアス電圧の絶対値|Vdc|はともに大きくなる。また、プラズマ密度は、マイクロ波干渉計により測定された。
図6に示すように、高周波電力の周波数が従来の40MHzの場合には、ポリシリコン膜のエッチングレートを高くすべくプラズマ密度を上昇させると、|Vdc|も大きく上昇した。一方、高周波電力の周波数が従来よりも高い100MHzの場合には、プラズマ密度を上昇させても|Vdc|はあまり上昇せず、ほぼ100V以下に抑えられた。すなわち、高プラズマ密度および低自己バイアス電圧が実現可能であることが見出された。すなわち、従来のように比較的周波数が低い場合には、低圧下においては、実際のエッチングにおいてポリシリコン膜のエッチングレートを上昇させると、同程度に無機系材料膜もエッチングされて良好な選択エッチング性が得られない一方、100MHzという高い周波数により、ポリシリコン膜を無機系材料膜に対する高エッチング選択比でエッチングすることが可能であることが知見された。
また、図6からも理解されるように、低圧下において、従来よりも高プラズマ密度および低自己バイアス電圧にしてポリシリコン膜をより高選択比でエッチングするためには、アルゴンガスのプラズマを形成した場合、プラズマ密度が1×1010cm−3以上かつ電極の自己バイアス電圧が100V以下、あるいは、プラズマ密度が5×1010cm−3以上かつ電極の自己バイアス電圧が200V以下、となるような条件でプラズマを形成することが好ましいと考えられる。そして、そのようなプラズマ条件を満足するためには、高周波電力が50MHz以上必要なことが推測される。
従って、プラズマ形成用の高周波電力の周波数は、上述したように50MHz以上とされる。ただし、プラズマ形成用の高周波電力の周波数が150MHzを超えると、プラズマの均一性が損なわれ得る。このため、プラズマ形成用の高周波電力の周波数は150MHz以下とすることが好ましい。特に上記効果を有効に発揮させるためには、プラズマ形成用の高周波電力の周波数は70〜100MHzが好ましい。
エッチングの際のチャンバー内圧力は、13.3Pa(100mT)以下とすることが好ましい。無機系材料膜に対するポリシリコン膜のエッチング選択比とエッチング形状制御性とを両立させる観点からは、チャンバー内圧力は4Pa(30mT)以下がより好ましい。エッチング形状制御性をより重視すれば、チャンバー内圧力は1.33pa(10mT)以下が更に好ましい。
次に、ポリシリコン膜の実際のエッチングレートおよび無機系材料膜に対するエッチング選択比を把握するために、ポリシリコン膜および無機系材料膜であるSiOの全面形成膜のエッチングを行った実験結果について説明する。
ここでは、ウエハWとして200mmウエハを用い、エッチングガスとして、HBrガス:0.2L/min(圧力が0.133Paのときのみ0.02L/min)が供給され、電極間ギャップは27mm、チャンバー内圧力は4Paとしてエッチング処理が施された。
図7Aは、高周波電力が100MHzの場合における、ウエハの位置に対するポリシリコン膜のエッチングレートの値を、高周波電力パワーが500W(1.59W/cm)、1000W(3.18W/cm)、1500W(4.77W/cm)の各場合について示す図である。図7Bは、高周波電力が40MHzの場合における、ウエハの位置に対するポリシリコン膜のエッチングレートの値を、高周波電力パワーが500W(1.59W/cm)、1000W(3.18W/cm)、1500W(4.77W/cm)の各場合について示す図である。また、図8は、高周波電力パワーとポリシリコン膜のエッチングレートとの関係を、40MHzおよび100MHzの各場合について示す図である。図9は、高周波電力パワーとSiO膜のエッチングレートとの関係を、40MHzおよび100MHzの各場合について示す図である。図10は、高周波電力パワーとポリシリコン膜のエッチングレートとの関係、及び、高周波電力パワーとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレート比(図10においてエッチング選択比と記載)との関係を、40MHzおよび100MHzの各場合について示す図である。図11は、ポリシリコン膜のエッチングレートとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレート比(図11においてもエッチング選択比と記載)との関係を、40MHzおよび100MHzの各場合について示す図である。
これらの図から、ポリシリコン膜のエッチングレートは、高周波電力パワーが増加すると大きくなる傾向にあるが、40MHzでのエッチングレートと100MHzでのエッチングレートとに大きな開きはない。また、同じガス圧力および同じパワーでは、ポリシリコン膜の40MHzでのエッチングレートと100MHzでのエッチングレートとは同程度であるが、SiO膜のエッチングレートは100MHzの場合よりも40MHzの場合のほうが高い。従って、40MHzの場合よりも100MHzの場合のほうがSiO膜に対するポリシリコン膜のエッチング選択比に相当する、ポリシリコン膜のエッチングレート/SiO膜のエッチングレート比が高いことが確認された。すなわち、評価用サンプルでの実験結果から、4Paにおいて、40MHzよりも100MHzの高周波電力を用いるほうが、ポリシリコン膜を高エッチング選択比でエッチングできる可能性が高いことが確認された。ポリシリコン膜のエッチングレートとエッチング選択比とはトレードオフの関係にあるので、高周波電力パワーを大きくしすぎるとポリシリコン膜のエッチングレートは増大するがエッチング選択比が低下する。従って、100MHzの高周波電力のパワー密度は、5W/cm(約1500W)以下が好ましい。
一方、100MHzでは、パワー密度が低い方向で、ポリシリコン膜のエッチングレートが低下し、SiO膜に対するエッチング選択比は向上する。エッチング対象膜の下地がSiO等のゲート酸化膜の場合には、その厚さは通常数nm程度であるため、SiOのエッチングレートを0.1nm/minオーダーまで下げる必要がある。例えば、1.33Pa(10mTorr)の圧力条件の場合、1.5W/cm(約500W)のパワー密度では、ポリシリコン膜のエッチングレートは100nm/min、エッチング選択比は70であり、SiOのエッチングレートは1.43nm/minである。従って、SiOのエッチングレートを0.1nm/minオーダーまで下げるためには、パワー密度を0.15〜0.3W/cm(約50〜100W)程度まで下げる必要があると予想される。以上の点を考慮すると、最低の高周波電力パワーは0.3W/cm以上が好ましく更に0.15W/cm(約50W)以上が好ましい。エッチング選択性のみの観点では、高周波電力パワーは1.5W/cm(約500W)以下が好ましい。
次に、HBrガスの流量が0.02〜0.2L/minの間で変化されて、チャンバー内圧力が0.133〜13.3Paの間で変化されて、高周波電力パワーを500Wに固定し、その他は上記条件にて、エッチングが行われた。
図12Aは、エッチングの際のチャンバー内圧力と高周波電力が100MHzの場合および40MHzの場合におけるポリシリコン膜のエッチングレートとの関係を示す図であり、図12Bは、エッチングの際のチャンバー内圧力と高周波電力が100MHzの場合および40MHzの場合におけるSiO膜のエッチングレートとの関係を示す図である。図13は、チャンバー内圧力とエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比(図13においてエッチング選択比と記載)との関係を、40MHzおよび100MHzの各場合について示す図である。図14は、チャンバー内圧力とポリシリコン膜のエッチングレートとの関係、および、高周波電力パワーとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比(図14においてもエッチング選択比と記載)との関係を40MHzおよび100MHzの各場合について示す図である。図15は、ポリシリコン膜のエッチングレートとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比(図15においてもエッチング選択比と記載)との関係を40MHzおよび100MHzの各場合について示す図である。
これらの図から、同じ高周波電力パワーおよびチャンバー内圧力であれば、40MHzの場合よりも100MHzの場合のほうがポリシリコン膜のエッチングレートが若干高く、エッチング選択比も高いことが確認された。また、同じ高周波電力パワーであれば、40MHzの場合よりも100MHzのほうが、より低圧力で高いエッチング選択比が得られることが確認された。さらに、図15に示すように、同じ高周波電力パワーおよびエッチングレートでは、40MHzの場合よりも100MHzの場合のほうがエッチング選択比が高いことも確認された。これらのことから、100MHzの場合に、エッチング形状制御性に有利な低圧条件下で高いエッチング選択比を得ることができ、高エッチング選択性と良好なエッチング形状制御性の両方を実現可能なことが確認された。
圧力の影響については、40MHzの場合も100MHzの場合も、高圧の方が、ポリシリコン膜のエッチングレート及びエッチング選択比が良いことが確認された。しかし、ポリシリコン膜のエッチング形状制御性の観点から、低圧の方、具体的には13.3Pa以下、が好ましいことが確認された。
次に、実際のエッチングガス(HBr)を用いて100MHzの高周波電力を印加した場合の自己バイアス電圧の絶対値|Vdc|とプラズマ密度を把握した(測定した)結果について説明する。
図16は、高周波電力の周波数を100MHzとして、HBrガスでプラズマを形成した場合について、自己バイアス電圧の絶対値とプラズマ密度との関係を比較して示す図である。横軸が自己バイアス電圧の絶対値|Vdc|であり、縦軸がプラズマ密度である。プラズマ密度は、マイクロ波干渉計により測定された。
このときのチャンバー内圧力は、2.7Pa(20mTorr)であった。また、100MHzの高周波電力のパワーを500〜2000Wの間で変化させることにより、プラズマ密度および自己バイアス電圧の絶対値|Vdc|が変化された。更に、100MHzの高周波電力のパワーが500Wの場合について、13MHzの第2高周波電力が0W、200W、600Wで重畳された。
図16から分かるように、各周波数とも、印加する高周波パワーが大きくなるほど、プラズマ密度Neおよび自己バイアス電圧の絶対値|Vdc|はともに大きくなる。
図16に示すように、実際のエッチングガスのプラズマでは、Arガスのプラズマに比較して(図6参照)、若干プラズマ密度が低くなる傾向にある。また、より低い周波数の第2高周波電力(13MHz)が重畳されて、そのパワーが増大されると、自己バイアス電圧が高くなって行く傾向にある。
また、図16から分かるように、第2高周波電力が重畳されない場合において、プラズマ密度を上昇させても|Vdc|はあまり上昇せず、ほぼ100V以下に抑えられた。すなわち、高プラズマ密度および低自己バイアス電圧が実現可能であることが見出された。
図17は、第2高周波電力が重畳されない場合についての、高周波電力の高周波電力パワーとポリシリコン膜のエッチングレートとの関係、および、高周波電力の高周波電力パワーとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係について示す図である。
高周波電力パワーが大きくなるとポリシリコン膜のエッチングレートが大きくなるが選択比が小さくなるので約1500W(約4.77W/cm)以下が好ましい。一方、パワーが小さくなるとエッチングレートが小さくなるが選択比が大きくなるので、約500W(約1.5W/cm)以上が好ましい。
図16及び図17から、100MHzという高い周波数により、必要なポリシリコンのエッチングレートが得られ、かつ、ポリシリコン膜を無機系材料膜に対して高エッチング選択比でエッチングすることが可能であることが確認できた。
また、図16及び図17から理解されるように、低圧下において、従来よりも高プラズマ密度および低自己バイアス電圧にしてポリシリコン膜をより高選択比かつ必要なエッチングレートでエッチングするためには、プラズマ密度が5×10〜2×1010cm−3であって、かつ、電極の自己バイアス電圧が200V以下であることが好ましいと考えられる。
なお、処理ガスとしては、HBrガスを含むガスの他に、Clガスを含むガスも用いられ得るが、後者の場合も、好適なプラズマ密度の範囲は上記と同様であることが確認された。
一方、図18は、高周波電力の高周波電力パワーが500Wに固定されて、第2高周波電力の高周波電力パワーが重畳される場合についての、第2高周波電力の高周波電力パワーとポリシリコン膜のエッチングレートとの関係、および、第2高周波電力の高周波電力パワーとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係について示す図である。
図16及び図18から分かるように、13MHzの第2高周波電力が重畳され、そのパワーが増大されていくと、エッチングレートが上昇する一方で、電極の自己バイアス電圧をも増大する。自己バイアス電圧が大きくなると、エッチング選択比が低下する傾向にあり、自己バイアス電圧200V、つまり第2高周波電力パワー約200W(約0.64W/cm)までは、エッチング選択比を許容範囲に維持することが可能である。
したがって、重畳される第2高周波電力のパワー(バイアスパワー)が増加されていくことにより、エッチング選択比10以上を維持しつつエッチングレートを高めることができる。
以上の試験では、電極間ギャップが27mmであったが、上述したように、電極間距離が小さすぎると、被処理基板であるウエハWの表面の圧力分布(中心部と周辺部との圧力差)が大きくなって、エッチング均一性の低下等の問題を生じ得る。従って、実際の電極間距離は、35〜50mmがより好ましい。このことを図19を参照して説明する。
図19は、プラズマガスとしてArガスを用いた場合のArガス流量とウエハ中心部とウエハ周辺部の圧力差△Pとの関係を電極間ギャップ25mmの場合と40mmの場合とで比較して示す図である。図19に示すように、ギャップが40mmのほうが25mmよりも圧力差△Pが小さい。また、ギャップ25mmの場合には、Arガス流量の上昇にともなって圧力差△Pが急激に大きくなる傾向にあり、ガス流量が0.3L/min程度以上でエッチング均一性の低下等の問題の生じない許容最大圧力差△Pである0.27Pa(2mTorr)を超えてしまう。これに対し、ギャップ40mmの場合には、ガス流量によらず、圧力差が0.27Pa(2mTorr)より小さい。従って、電極間ギャップはおよそ35mm以上であれば、ガス流量によらずエッチング均一性の低下等の問題の生じない許容最大圧力差を保つことができると予想される。
なお、本発明は上記実施の形態に限定されることなく種々変更可能である。例えば、上記実施形態では、シリコン膜としてポリシリコン膜が用いられた場合について示したが、これに限らず、単結晶シリコン膜や、アモルファスシリコン膜等の他のシリコン膜が用いられてもよい。
また、上記実施形態では、磁場形成手段として永久磁石からなる複数のセグメント磁石がチャンバーの周囲にリング状に配置されてなるマルチポール状態のリング磁石が用いられたが、処理空間の周囲に磁場を形成してプラズマを閉じこめることができれば、この態様に限定されるものではない。また、このようなプラズマ閉じこめ用の周辺磁場は、必ずしも必要ではない。つまり、磁場が存在しない状態でエッチングを行ってもよい。また、処理空間に水平磁場を印加して直交電磁界中でプラズマエッチングを行うプラズマエッチング処理にも本発明は適用可能である。
さらに、上記実施形態では下部電極にプラズマ形成用の高周波電力が印加されているが、これに限らず、上部電極に印加されてもよい。さらにまた、被処理基板の層構造は、上記実施形態の図3または図4に示されるものに限定されない。更には、被処理基板として半導体ウエハを用いた場合について示したが、これに限らず、他の被処理基板におけるポリシリコン膜のエッチングにも適用することができる。
【図面の簡単な説明】
図1は、本発明の一実施の形態のプラズマエッチング装置を示す概略断面図である。
図2は、図1のプラズマエッチング装置のチャンバーの周囲に配置されたリング磁石を模式的に示す水平断面図である。
図3は、本発明のプラズマエッチングが適用される半導体ウエハの構造の一例を示す断面図である。
図4は、本発明のプラズマエッチングが適用される半導体ウエハの構造の他の例を示す断面図である。
図5は、プラズマ生成用の高周波電源とイオン引き込み用の高周波電源とを備えたプラズマ処理装置を部分的に示す概略断面図である。
図6は、アルゴンガスのプラズマにおいて、高周波電力の周波数が40MHzと100MHzとの場合の、自己バイアス電圧の絶対値|Vdc|とプラズマ密度Neとの関係を示す図である。
図7Aは、高周波電力が100MHzの場合におけるウエハの位置に対するポリシリコン膜のエッチングレートの値を、高周波電力パワーが500W、1000W、1500Wの各場合について示す図である。
図7Bは、高周波電力が40MHzの場合におけるウエハの位置に対するポリシリコン膜のエッチングレートの値を、高周波電力パワーが500W、1000W、1500Wの各場合について示す図である。
図8は、高周波電力パワーとポリシリコン膜のエッチングレートとの関係を、高周波電力が40MHzと100MHzとの場合について示す図である。
図9は、高周波電力パワーとSiO膜のエッチングレートとの関係を、高周波電力が40MHzと100MHzとの場合について示す図である。
図10は、高周波電力パワーとポリシリコン膜のエッチングレートとの関係、および、高周波電力パワーとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係を、高周波電力が40MHzと100MHzとの場合について示す図である。
図11は、ポリシリコン膜のエッチングレートとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係を、高周波電力が40MHzと100MHzとの場合について示す図である。
図12Aは、エッチングの際のチャンバー内圧力と高周波電力が100MHzの場合および40MHzの場合におけるポリシリコン膜のエッチングレートとの関係を示す図である。
図12Bは、エッチングの際のチャンバー内圧力と高周波電力が100MHzの場合および40MHzの場合におけるSiO膜のエッチングレートとの関係を示す図である。
図13は、チャンバー内圧力とエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係を、高周波電力が40MHzと100MHzとの場合について示す図である。
図14は、チャンバー内圧力とポリシリコン膜のエッチングレートとの関係、および、高周波電力パワーとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係を、高周波電力が40MHzと100MHzとの場合について示す図である。
図15は、ポリシリコン膜のエッチングレートとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係を、高周波電力が40MHzと100MHzとの場合について示す図である。
図16は、HBrガスのプラズマにおいて、高周波電力の周波数が100MHzであり第2高周波電力が13MHzであって、各高周波電力パワーが変えられた場合の(高周波電力:500W、1000W、1500W、2000W、第2高周波電力:0W、200W、600W)、自己バイアス電圧の絶対値|Vdc|とプラズマ密度Neとの関係を示す図である。
図17は、高周波電力の高周波電力パワーとポリシリコン膜のエッチングレートとの関係、および、高周波電力の高周波電力パワーとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係について示す図である。
図18は、第2高周波電力の高周波電力パワーとポリシリコン膜のエッチングレートとの関係、および、第2高周波電力の高周波電力パワーとエッチング選択比に相当するポリシリコン膜のエッチングレート/SiO膜のエッチングレートの比との関係について示す図である。
図19は、プラズマガスとしてArガスを用いた場合の、Arガス流量とウエハ中心部と周辺部の圧力差△Pとの関係を、電極間ギャップ25mmの場合と40mmの場合とで比較して示す図である。
Technical field
The present invention relates to a plasma etching method and apparatus for plasma etching a silicon film of a substrate to be processed such as a semiconductor wafer having a silicon film and an inorganic material film adjacent thereto.
Background art
In a semiconductor device manufacturing process, after a silicon film such as a polysilicon film or a multilayer film such as an insulating film is formed on a semiconductor wafer, plasma etching is performed in order to form a predetermined wiring pattern.
Various apparatuses are used to perform such plasma etching. Among them, the capacitively coupled parallel plate plasma etching apparatus is the mainstream. In a capacitively coupled parallel plate plasma processing apparatus, a pair of parallel plate electrodes (upper and lower electrodes) are disposed in a chamber, a processing gas is introduced into the chamber, and high frequency power is applied to at least one of the electrodes. A high frequency electric field is formed between the electrodes. By this high frequency electric field, plasma of a processing gas is formed, and a plasma etching process is performed on the target substrate.
In such a plasma processing apparatus, etching is performed by supplying high frequency power of about 13.56 to 40 MHz to the lower electrode.
Under such conditions, for example, SiO 2 In the case of etching a silicon film such as a polysilicon film using an inorganic material film as a mask, etching is performed under a relatively high pressure condition in order to improve the etching selectivity with respect to the inorganic material film. Yes.
However, when etching is performed under a relatively high pressure condition as in the prior art, the etching selectivity of the silicon film to the inorganic material film is improved, but there is a problem that the etching shape controllability is poor. Such a problem occurs not only when the inorganic material film is used as a mask but also when the inorganic material film is formed on the base of the silicon film.
Summary of the Invention
The present invention has been made in view of such circumstances, and when etching a silicon film adjacent to an inorganic material film, plasma capable of improving shape controllability while maintaining a high etching selectivity. An object is to provide an etching method and apparatus.
According to the examination results of the present inventors, in the etching of a silicon film such as a polysilicon film, the plasma density is dominant and the contribution of ion energy is small. 2 In etching an inorganic material film such as SiN film, both plasma density and ion energy are required. Therefore, if the plasma density is high and the ion energy is somewhat low, the etching selectivity of the silicon film to the inorganic material film can be increased. In this case, the ion energy of the plasma indirectly corresponds to the self-bias voltage of the electrode during etching. Therefore, in order to increase the etching selectivity of the silicon film to the inorganic material film, it is necessary to etch under conditions of high plasma density and low bias.
On the other hand, in order to improve the etching shape controllability, the process needs to be performed at a low pressure. However, under the above conditions, a high etching selectivity can be realized by a lower pressure process. That is, if a high plasma density and a low self-bias voltage are realized, the etching selectivity of the silicon film to the inorganic material film can be increased under a lower pressure condition, and the high etching selectivity and good etching shape controllability can be achieved. Can be made compatible.
According to further examination results by the present inventors, it has been found that if the frequency of the high-frequency power applied to the electrode is high, a state in which the plasma density is high and the self-bias voltage is low can be realized.
In the present invention, a pair of electrodes are disposed in a chamber so as to face each other, and a substrate to be processed having a silicon film and an inorganic material film adjacent to each other is disposed between the electrodes. An arrangement step for supporting the processing substrate, and applying a high frequency power to at least one of the electrodes to form a high frequency electric field between the pair of electrodes, supplying a processing gas into the chamber, and generating plasma of the processing gas by the electric field And an etching step of plasma-etching the silicon film of the substrate to be processed with the plasma, and the frequency of the high-frequency power applied to the at least one electrode in the etching step is 50 to 150 MHz. A plasma etching method characterized by the above.
According to the present invention, since the frequency of the high-frequency power applied to the electrode is 50 to 150 MHz, which is higher than before, it is possible to realize a high plasma density and a low self-bias voltage even under a lower pressure condition. The silicon film can be etched with a high etching selectivity with respect to the inorganic material film and with good shape controllability.
The frequency of the high frequency power applied to the electrode is more preferably 70 to 100 MHz, particularly 100 MHz.
In the etching step, the power density of the high frequency power is 0.15 to 5 W / cm. 2 It is preferable that
In the etching step, the plasma density in the chamber is 5 × 10 5. 9 ~ 2x10 10 cm -3 It is preferable that
Moreover, in the said etching process, it is preferable that the pressure in the said chamber is 13.3 Pa or less.
In addition, the present invention provides a substrate having a pair of electrodes opposed to each other and a substrate to be processed having a silicon film and an inorganic material film adjacent to each other. An arrangement step for supporting the substrate to be processed, and a high frequency electric field is applied between at least one of the electrodes to form a high frequency electric field between the pair of electrodes, and a processing gas is supplied into the chamber. And an etching step of plasma-etching the silicon film of the substrate to be processed by the plasma. In the etching step, the processing gas is HBr gas and Cl 2 Including any one of the gases, and the plasma density in the chamber is 5 × 10 9 ~ 2x10 10 cm -3 The plasma etching method is characterized in that the self-bias voltage of the electrode is 200 V or less.
According to the present invention, the plasma density in the chamber is 5 × 10 5. 9 ~ 2x10 10 cm -3 And the condition that the self-bias voltage of the electrode is 200 V or less, HBr gas and Cl 2 Since the plasma of the gas containing any one of the gases is formed, the silicon film can be etched with a high etching selectivity and good shape controllability with respect to the inorganic material film.
In the above, the inorganic material film is made of at least one of silicon oxide, silicon nitride, silicon oxynitride, and silicon carbide, for example.
The high frequency power is preferably applied to an electrode that supports the substrate to be processed. In this case, the second high frequency power of 3.2 to 13.56 MHz may be applied to the electrode supporting the substrate to be processed so as to be superimposed on the high frequency power. Thus, by superimposing the second high frequency power of a lower frequency, it is possible to adjust the plasma density and the ion pulling action, and to secure the etching selectivity with respect to the inorganic material film, and to adjust the etching rate of the silicon film. It is possible to raise it further.
The second high frequency power to be superimposed is preferably 13.56 MHz. When the frequency of the high frequency power to be superimposed is 13.56 MHz, the power density is 0.64 W / cm. 2 The following is preferable. When a second high frequency power of 3.2 to 13.56 MHz is applied, the self-bias voltage of the electrode that supports the substrate to be processed is preferably 200 V or less.
Further, the present invention provides a chamber for accommodating a substrate to be processed having a silicon film and an inorganic material film adjacent to each other, a pair of electrodes provided in the chamber, one of which supports the substrate to be processed, A processing gas supply system for supplying a processing gas into the chamber; an exhaust system for exhausting the chamber; and a high-frequency power source for supplying high-frequency power for plasma formation to at least one of the electrodes. The plasma etching apparatus is characterized in that the frequency of the high-frequency power generated from the power source is 50 to 150 MHz.
The frequency of the high-frequency power generated from the high-frequency power source is preferably 70 to 100 MHz, particularly 100 MHz.
Preferably, the power density of the high frequency power is 0.15 to 5 W / cm. 2 It is.
The pressure in the chamber is preferably 13.3 Pa or less.
Preferably, the high frequency power is applied to an electrode that supports the substrate to be processed.
Preferably, the plasma etching apparatus further includes a second high-frequency power source that applies a second high-frequency power of 3.2 to 13.56 MHz so as to be superimposed on the high-frequency power on an electrode that supports the substrate to be processed. In this case, preferably, the frequency of the second high-frequency power is 13.56 MHz. Preferably, the power density of the second high frequency power is 0.64 W / cm. 2 It is as follows.
By the way, according to Paschen's law, the discharge start voltage Vs takes a minimum value (Paschen minimum value) and takes a Paschen minimum value when the product pd of the gas pressure p and the inter-electrode distance d is a certain value. The value of the product pd decreases as the frequency of the high frequency power increases. Therefore, when the frequency of the high frequency power is large, in order to reduce the discharge start voltage Vs to facilitate and stabilize the discharge, it is necessary to reduce the inter-electrode distance d if the gas pressure p is constant. Therefore, in the present invention, the distance between the electrodes is preferably less than 50 mm. Moreover, the residence time of the gas in a chamber can be shortened because the distance between electrodes is less than 50 mm. Thereby, the reaction product can be efficiently discharged, and the effect that the etching stop can be reduced is also obtained.
Moreover, it is preferable to further comprise magnetic field forming means for forming a magnetic field around the plasma region between the pair of electrodes.
When the frequency of the applied high-frequency power is high, a phenomenon may occur in which the etching rate is higher in the central portion that is the feeding position than in the peripheral portion, but a magnetic field is formed around the plasma region between the pair of electrodes. Thus, even when the plasma confinement effect is exhibited and the frequency of the applied high frequency power is high, the etching rate of the substrate to be processed in the processing space is changed between the edge portion (peripheral portion) and the central portion of the substrate to be processed. It can be almost equivalent. That is, the etching rate can be made uniform.
The intensity of the magnetic field formed by the magnetic field forming unit around the plasma region between the pair of electrodes is preferably 0.03 to 0.045 T (300 to 450 Gauss).
In addition, when a focus ring is provided around the electrode that supports the substrate to be processed and a magnetic field is formed around the plasma region, the magnetic field strength on the focus ring is 0.001 T (10 Gauss) or more, and It is preferable that the magnetic field intensity on the treatment substrate is 0.001 T or less.
By setting the magnetic field intensity on the focus ring to 0.001 T or more, electron drift motion occurs on the focus ring, the plasma density in the peripheral portion increases, and the plasma density becomes uniform. On the other hand, by setting the magnetic field intensity on the substrate to be processed to 0.001 T or less that does not substantially affect the substrate to be processed, charge-up damage can be prevented.
BEST MODE FOR CARRYING OUT THE INVENTION
Hereinafter, embodiments of the present invention will be described with reference to the accompanying drawings.
FIG. 1 is a cross-sectional view showing a plasma etching apparatus used for carrying out the present invention. This etching apparatus is airtightly configured and includes a stepped cylindrical chamber 1 having a small-diameter upper portion 1a and a large-diameter lower portion 1b. The wall portion of the chamber 1 is made of, for example, aluminum.
In the chamber 1, a support table 2 that horizontally supports a wafer W that is a substrate to be processed is provided. The support table 2 is made of aluminum, for example, and is supported on a conductor support 4 via an insulating plate 3. A focus ring 5 made of a conductive material or an insulating material is provided on the outer periphery above the support table 2. When the diameter of the wafer W is 200 mmφ, the focus ring 5 is preferably 240 to 280 mmφ. The support table 2, the insulating plate 3, the support base 4 and the focus ring 5 can be moved up and down by a ball screw mechanism including a ball screw 7. The lifting drive portion below the support 4 is covered with a bellows 8 made of stainless steel (SUS). The chamber 1 is grounded. In addition, a coolant channel (not shown) is provided in the support table 2 so that the support table 2 can be cooled. A bellows cover 9 is provided outside the bellows 8.
A power supply line 12 for supplying high frequency power is connected to substantially the center of the support table 2. A high frequency power source 10 is connected to the feeder line 12 via a matching box 11. A high frequency power having a predetermined frequency is supplied from the high frequency power supply 10 to the support table 2. On the other hand, a shower head 16 described later is provided above the support table 2 so as to face each other in parallel. The shower head 16 is grounded. Therefore, the support table 2 functions as a lower electrode, and the shower head 16 functions as an upper electrode. That is, the support table 2 and the shower head 16 constitute a pair of flat plate electrodes.
In addition, it is preferable that the distance between these electrodes is set to less than 50 mm. The reason is as follows.
According to Paschen's law, the discharge start voltage Vs takes a minimum value (Paschen minimum value) and a Paschen minimum value pd when the product pd of the gas pressure p and the interelectrode distance d is a certain value. The value of becomes smaller as the frequency of the high-frequency power increases. Accordingly, when the frequency of the high frequency power is large as in the present embodiment, in order to make the discharge start voltage Vs small and facilitate discharge and stabilize, the inter-electrode distance d is made small if the gas pressure p is constant. There is a need to. For this reason, the distance between the electrodes is preferably less than 50 mm. Moreover, the residence time of the gas in a chamber can be shortened because the distance between electrodes is less than 50 mm. Thereby, the reaction product can be efficiently discharged, and the effect that the etching stop can be reduced is also obtained.
However, if the distance between the electrodes is too small, the pressure distribution (pressure difference between the central portion and the peripheral portion) on the surface of the wafer W that is the substrate to be processed increases. In this case, problems such as a decrease in etching uniformity may occur. Regardless of the gas flow rate, in order to make the pressure difference smaller than 0.27 Pa (2 mTorr), the distance between the electrodes is preferably 35 mm or more.
An electrostatic chuck 6 for electrostatically attracting the wafer W is provided on the surface of the support table 2. The electrostatic chuck 6 is configured by interposing an electrode 6a between insulators 6b. A DC power supply 13 is connected to the electrode 6a. Then, when a voltage is applied to the electrode 6a from the DC power supply 13, the semiconductor wafer W is attracted by, for example, Coulomb force.
A coolant channel (not shown) is formed inside the support table 2. The wafer W can be controlled to a predetermined temperature by circulating an appropriate refrigerant therein. In addition, in order to efficiently transmit the cold heat from the refrigerant to the wafer W, a gas introduction mechanism (not shown) for supplying He gas to the back surface of the wafer W is provided. Further, a baffle plate 14 is provided outside the focus ring 5. The baffle plate 14 is electrically connected to the chamber 1 through the support 4 and the bellows 8.
A shower head 16 is provided on the ceiling wall portion of the chamber 1 so as to face the support table 2. The shower head 16 is provided with a large number of gas discharge holes 18 on the lower surface thereof, and has a gas introduction portion 16a on the upper portion thereof. And the space 17 is formed in the inside. A gas supply pipe 15a is connected to the gas introduction part 16a, and a processing gas supply system 15 for supplying a processing gas comprising an etching reaction gas and a dilution gas is connected to the other end of the gas supply pipe 15a. ing.
As the reaction gas, a halogen-based gas is used, and as the dilution gas, a gas usually used in this field, such as Ar gas or He gas, can be used.
Such a processing gas reaches the space 17 of the shower head 16 from the processing gas supply system 15 through the gas supply pipe 15a and the gas introduction portion 16a, and is discharged from the gas discharge holes 18 to form a film formed on the wafer W. Etched.
An exhaust port 19 is formed on the side wall of the lower portion 1 b of the chamber 1, and an exhaust system 20 having a vacuum pump is connected to the exhaust port 19. By operating the vacuum pump, the inside of the chamber 1 can be depressurized to a predetermined degree of vacuum. On the other hand, a wafer W loading / unloading port and a gate valve 24 for opening and closing the loading / unloading port are provided on the upper side wall of the lower portion 1 b of the processing chamber 1.
On the other hand, a ring magnet 21 is concentrically disposed around the upper portion 1 a of the chamber 1 so as to form a magnetic field around the processing space between the support table 2 and the shower head 16. . The ring magnet 21 can be rotated around the central axis of the arrangement (in the circumferential direction) by the rotation mechanism 25.
As shown in the horizontal sectional view of FIG. 2, the ring magnet 21 is configured by arranging a plurality of segment magnets 22 made of permanent magnets in a ring shape in a state of being supported by a support member (not shown). In this example, 16 segment magnets 22 are arranged in a ring shape (concentric shape) in a multipole state. That is, the ring magnet 21 is arranged so that the magnetic poles of the adjacent segment magnets 22 are opposite to each other. Therefore, the magnetic field lines are formed between the adjacent segment magnets 22 as shown in the figure, and are only 0.02 to 0.2 T (200 to 2000 Gauss), preferably 0.03 to 0.045 T (300, for example) only in the periphery of the processing space. A magnetic field of ~ 450 Gauss) is formed. On the other hand, the wafer arrangement region is substantially in a no magnetic field state. The reason why the magnetic field intensity is defined as described above is that if the magnetic field is too strong, it can cause a leakage magnetic field, and if the magnetic field is too weak, the plasma confinement effect cannot be obtained. However, the appropriate magnetic field strength also depends on the device structure and the like. That is, the proper range of magnetic field strength can vary from device to device.
Further, when the magnetic field as described above is formed in the periphery of the processing space, it is desirable that the magnetic field intensity on the focus ring 5 is 0.001T (10 Gauss) or more. In this case, an electron drift motion (E × B drift) occurs on the focus ring, and the plasma density around the wafer rises and the plasma density becomes uniform. On the other hand, from the viewpoint of preventing charge-up damage of the wafer W, it is desirable that the magnetic field strength of the existing portion of the wafer W be 0.001 T (10 Gauss) or less.
Here, “substantially no magnetic field in the wafer placement region” means that a magnetic field that affects the etching process in the wafer placement region is not formed. That is, the case where there is a magnetic field that does not substantially affect the wafer processing is included.
In the state shown in FIG. 2, a magnetic field having a magnetic flux density of 0.42 mT (4.2 Gauss) or less, for example, is applied to the periphery of the wafer. Thereby, the function of confining plasma is exhibited.
When a magnetic field is formed by such a multi-pole ring magnet, a portion corresponding to the magnetic pole of the wall portion of the chamber 1 (for example, a portion indicated by P in FIG. 2) may be locally scraped. . Therefore, the ring magnet 21 is rotated by the rotating mechanism 25 along the circumferential direction of the chamber. This prevents the magnetic pole from abutting (positioning) locally on the chamber wall and prevents the chamber wall from being locally cut.
Each of the segment magnets 22 is configured to be rotatable about a vertical axis by a segment magnet rotation mechanism (not shown). Thus, by rotating the segment magnet 22, it is possible to switch between a state in which a multipole magnetic field is substantially formed and a state in which no multipole magnetic field is formed. Depending on conditions, the multipole magnetic field may or may not work effectively. Therefore, by making it possible to switch between the state where the multipole magnetic field is formed and the state where it is not formed, an appropriate state can be selected according to the conditions.
Since the state of the magnetic field changes according to the arrangement of the segment magnets, various magnetic field strength profiles can be formed by changing the arrangement of the segment magnets in various ways. Therefore, it is preferable to arrange the segment magnets so that the required magnetic field strength profile can be obtained.
The number of segment magnets is not limited to this example. Further, the cross-sectional shape is not limited to a rectangle as in this example, and an arbitrary shape such as a circle, a square, or a trapezoid can be adopted. The magnet material constituting the segment magnet 22 is not particularly limited, and for example, a known magnet material such as a rare earth magnet, a ferrite magnet, or an alnico magnet can be applied.
The plasma etching apparatus having the above structure is made of SiO. 2 It can be applied to the case of etching polysilicon adjacent to an inorganic material film such as SiN. Hereinafter, a processing operation in the case of performing such etching using the plasma etching apparatus having the above configuration will be described.
For example, as shown in FIG. 3, a wafer W to be etched has a polysilicon film 32 formed on a silicon substrate 31, and an inorganic material film 33 having a predetermined pattern is formed on the silicon film 32 as a hard mask. It has the structure which was made. Alternatively, as shown in FIG. 4, the wafer W may be formed on a silicon substrate 41 as a gate oxide film using SiO. 2 An inorganic material film 42 is formed, a polysilicon film 43 serving as a gate is formed on the inorganic material film 42, and a resist film 44 having a predetermined pattern serving as a mask is formed on the polysilicon film 43. It has a formed configuration.
The inorganic material film 33 is made of a material generally used as a hard mask. Silicon oxide, silicon nitride, silicon oxynitride, silicon carbide, etc. may be mentioned as suitable examples. That is, the inorganic material film 33 is preferably made of at least one of these.
For the wafer W having these structures, the polysilicon film 32 or 43 is etched. First, the gate valve 24 is opened, and the wafer W is loaded into the chamber 1 by the transfer arm and placed on the support table 2. Thereafter, the transfer arm is retracted, the gate valve 24 is closed, and the support table 2 is raised to the position shown in FIG. Further, the inside of the chamber 1 is brought to a predetermined degree of vacuum through the exhaust port 19 by the vacuum pump of the exhaust system 20.
Thereafter, a predetermined processing gas such as HBr gas is introduced into the chamber 1 from the processing gas supply system 15 at, for example, 0.02 to 0.4 L / min (20 to 400 sccm), and the chamber 1 is maintained at a predetermined pressure. Is done. In this state, high frequency power having a frequency of 50 to 150 MHz, preferably 70 to 100 MHz is supplied from the high frequency power supply 10 to the support table 2. In this case, the power per unit area, that is, the power density is about 0.15 to about 5.0 W / cm. 2 It is preferable that it is the range of these. At this time, a predetermined voltage is applied from the DC power source 13 to the electrode 6a of the electrostatic chuck 6, and the wafer W is attracted to the electrostatic chuck 6 by, for example, Coulomb force.
Thus, by applying the high frequency power to the support table 2 that is the lower electrode, a high frequency electric field is formed in the processing space between the shower head 16 that is the upper electrode and the support table 2 that is the lower electrode. Thereby, the processing gas supplied to the processing space is turned into plasma, and the polysilicon film on the wafer W is etched by the plasma.
During this etching step, a magnetic field as shown in FIG. 2 can be formed around the processing space by the ring magnet 21 in a multipole state. In this case, the plasma confinement effect is exhibited, and the etching rate of the wafer W can be made uniform even at a high frequency where plasma non-uniformity is likely to occur as in the present embodiment. Depending on the conditions, it may be better not to form such a magnetic field. In that case, the process may be performed by rotating the segment magnet 22 so that a magnetic field is not substantially formed around the processing space.
When the magnetic field is formed, the effect of uniformizing plasma processing can be further enhanced by the conductive or insulating focus ring 5 provided around the wafer W on the support table 2. That is, when the plasma density at the wafer peripheral part is high and the etching rate at the wafer peripheral part is larger than the etching rate at the wafer central part, by using a focus ring formed of a conductive material such as silicon or SiC, Since the region up to the focus ring region functions as the lower electrode, the plasma formation region extends onto the focus ring 5, plasma processing in the peripheral portion of the wafer W is promoted, and the uniformity of the etching rate is improved. On the other hand, when the plasma density at the wafer peripheral portion is low and the etching rate at the wafer peripheral portion is smaller than the etching rate at the wafer central portion, a focus ring formed of an insulating material such as quartz is used. Since no charge can be transferred between the electrons 5 and the electrons or ions in the plasma, the action of confining the plasma can be increased, and the uniformity of the etching rate is improved.
In order to adjust the plasma density and the ion drawing action, the high frequency for plasma generation and the second high frequency for drawing ions in the plasma may be superimposed. Specifically, as shown in FIG. 5, in addition to the high-frequency power source 10 for plasma generation, a second high-frequency power source 26 for ion attraction is connected to the matching box 11 and these are superimposed. In this case, the frequency of the second high-frequency power supply 26 for ion attraction is preferably 3.2 to 13.56 MHz, and 13.56 MHz is particularly preferable in this range. As a result, the parameter for controlling the ion energy increases, so that an optimum processing condition that can further increase the etching rate of the polysilicon film while ensuring an etching selection ratio with respect to a necessary and sufficient inorganic material film is obtained. It can be set easily.
By the way, according to the examination results of the present inventors, in the etching of the polysilicon film, the plasma density is dominant and the contribution of the ion energy is small, whereas in the etching of the inorganic material, the plasma density and the ion energy are reduced. Both are necessary. Therefore, as shown in FIGS. 3 and 4, in the etching of the polysilicon film adjacent to the inorganic material film, in order to perform the etching with a high etching selectivity with respect to the inorganic material film, the plasma density is high, and The ion energy must be low. That is, if the ion energy required for etching the inorganic material is lowered and the plasma density dominant in the etching of polysilicon is increased, the polysilicon film is selectively etched. Here, since the ion energy of the plasma indirectly corresponds to the self-bias voltage of the electrode at the time of etching, in order to etch the polysilicon film with a high etching selectivity, after all, a high plasma density and a low self-bias are required. It is necessary to perform etching under voltage conditions. On the other hand, in order to improve the shape controllability of etching, it is necessary that etching is performed at a low pressure. However, if the above conditions are satisfied, a high etching selectivity can be realized by a lower pressure process. be able to. That is, if a high plasma density and a low self-bias voltage are realized, the etching selectivity of the polysilicon film to the inorganic material film can be increased even under a lower pressure condition, and the high etching selectivity and good etching can be achieved. It is possible to achieve both shape controllability. For this purpose, it has been found that the frequency of the high-frequency power applied to the electrode may be 50 to 150 MHz, which is higher than the conventional one.
This will be described below with reference to FIG. FIG. 6 is a diagram showing the relationship between the absolute value | Vdc | of the self-bias voltage and the plasma density when the frequency of the high-frequency power is 40 MHz and 100 MHz. The horizontal axis is the absolute value | Vdc | of the self-bias voltage, and the vertical axis is the plasma density. Here, as the plasma gas, Ar was used for evaluation, not an actual etching gas. At each frequency, the plasma density Ne and the absolute value | Vdc | of the self-bias voltage were changed by changing the applied high frequency power. That is, for each frequency, as the applied high frequency power increases, both the plasma density Ne and the absolute value | Vdc | of the self-bias voltage increase. The plasma density was measured with a microwave interferometer.
As shown in FIG. 6, when the frequency of the high frequency power is 40 MHz in the past, when the plasma density is increased to increase the etching rate of the polysilicon film, | Vdc | On the other hand, when the frequency of the high frequency power is 100 MHz, which is higher than the conventional frequency, | Vdc | does not increase so much even if the plasma density is increased, and is suppressed to about 100 V or less. That is, it has been found that high plasma density and low self-bias voltage are feasible. That is, when the frequency is relatively low as in the prior art, under a low pressure, if the etching rate of the polysilicon film is increased in the actual etching, the inorganic material film is also etched to the same extent and good selective etching is achieved. On the other hand, it has been found that the polysilicon film can be etched at a high etching selectivity with respect to the inorganic material film at a high frequency of 100 MHz.
Further, as understood from FIG. 6, in order to etch the polysilicon film at a higher selectivity with a lower plasma density and a lower self-bias voltage than in the past under low pressure, an argon gas plasma is formed. The plasma density is 1 × 10 10 cm -3 The self-bias voltage of the electrode is 100 V or less, or the plasma density is 5 × 10 10 cm -3 It is considered preferable to form plasma under the above conditions so that the electrode self-bias voltage is 200 V or less. In order to satisfy such plasma conditions, it is estimated that high frequency power of 50 MHz or more is required.
Therefore, the frequency of the high frequency power for plasma formation is 50 MHz or more as described above. However, if the frequency of the high frequency power for plasma formation exceeds 150 MHz, the uniformity of the plasma may be impaired. For this reason, it is preferable that the frequency of the high frequency electric power for plasma formation shall be 150 MHz or less. In particular, in order to effectively exhibit the above effects, the frequency of the high-frequency power for plasma formation is preferably 70 to 100 MHz.
The pressure in the chamber during the etching is preferably 13.3 Pa (100 mT) or less. From the viewpoint of achieving both the etching selectivity of the polysilicon film to the inorganic material film and the etching shape controllability, the pressure in the chamber is more preferably 4 Pa (30 mT) or less. If the etching shape controllability is more important, the pressure in the chamber is more preferably 1.33 pa (10 mT) or less.
Next, in order to grasp the actual etching rate of the polysilicon film and the etching selectivity with respect to the inorganic material film, the polysilicon film and the inorganic material film SiO 2 The experimental results of etching the entire surface formed film will be described.
Here, a 200 mm wafer is used as the wafer W, HBr gas: 0.2 L / min (0.02 L / min only when the pressure is 0.133 Pa) is supplied as the etching gas, the gap between the electrodes is 27 mm, and the inside of the chamber Etching was performed at a pressure of 4 Pa.
FIG. 7A shows the value of the etching rate of the polysilicon film with respect to the wafer position when the high-frequency power is 100 MHz. The high-frequency power is 500 W (1.59 W / cm). 2 ), 1000 W (3.18 W / cm 2 ) 1500W (4.77W / cm) 2 It is a figure shown about each case of (). FIG. 7B shows the value of the etching rate of the polysilicon film with respect to the wafer position when the high-frequency power is 40 MHz. The high-frequency power power is 500 W (1.59 W / cm). 2 ), 1000 W (3.18 W / cm 2 ) 1500W (4.77W / cm) 2 It is a figure shown about each case of (). FIG. 8 is a diagram showing the relationship between the high-frequency power and the etching rate of the polysilicon film for each of 40 MHz and 100 MHz. FIG. 9 shows high frequency power and SiO 2 It is a figure which shows the relationship with the etching rate of a film | membrane about each case of 40 MHz and 100 MHz. FIG. 10 shows the relationship between the high frequency power and the etching rate of the polysilicon film, and the etching rate of the polysilicon film corresponding to the high frequency power and the etching selectivity / SiO 2. 2 It is a figure which shows the relationship with the etching rate ratio (it describes with an etching selection ratio in FIG. 10) about each case of 40 MHz and 100 MHz. FIG. 11 shows the etching rate of the polysilicon film corresponding to the etching rate of the polysilicon film and the etching selection ratio / SiO 2. 2 It is a figure which shows the relationship with the etching rate ratio of a film | membrane (it describes also as an etching selectivity in FIG. 11) about each case of 40 MHz and 100 MHz.
From these figures, the etching rate of the polysilicon film tends to increase as the high-frequency power increases, but there is no significant difference between the etching rate at 40 MHz and the etching rate at 100 MHz. Further, at the same gas pressure and the same power, the etching rate of the polysilicon film at 40 MHz and the etching rate at 100 MHz are approximately the same. 2 The etching rate of the film is higher at 40 MHz than at 100 MHz. Therefore, SiO is better at 100 MHz than at 40 MHz. 2 The etching rate of the polysilicon film corresponding to the etching selectivity of the polysilicon film to the film / SiO 2 2 It was confirmed that the etching rate ratio of the film was high. That is, from the experimental results of the evaluation sample, it was confirmed that the use of high-frequency power of 100 MHz rather than 40 MHz at 4 Pa is more likely to etch the polysilicon film with a high etching selectivity. Since the etching rate of the polysilicon film and the etching selectivity are in a trade-off relationship, if the high-frequency power is excessively increased, the etching rate of the polysilicon film increases but the etching selectivity decreases. Therefore, the power density of high frequency power of 100 MHz is 5 W / cm. 2 (About 1500 W) or less is preferable.
On the other hand, at 100 MHz, the etching rate of the polysilicon film decreases in the direction of lower power density, and SiO 2 2 The etching selectivity with respect to the film is improved. The base of the etching target film is SiO 2 In the case of a gate oxide film such as, the thickness is usually about several nanometers. 2 It is necessary to lower the etching rate to the order of 0.1 nm / min. For example, in the case of a pressure condition of 1.33 Pa (10 mTorr), 1.5 W / cm 2 At a power density of (about 500 W), the etching rate of the polysilicon film is 100 nm / min, the etching selectivity is 70, and SiO 2 2 The etching rate is 1.43 nm / min. Therefore, SiO 2 In order to lower the etching rate to the order of 0.1 nm / min, the power density is 0.15 to 0.3 W / cm. 2 It is expected that it will need to be reduced to about (about 50 to 100 W). Considering the above points, the minimum high frequency power is 0.3 W / cm. 2 More preferably 0.15 W / cm 2 (About 50 W) or more is preferable. From the viewpoint of etching selectivity alone, the high frequency power is 1.5 W / cm. 2 (About 500 W) or less is preferable.
Next, the flow rate of the HBr gas is changed between 0.02 to 0.2 L / min, and the pressure in the chamber is changed between 0.133 to 13.3 Pa to fix the high frequency power power to 500 W. Etching was performed under the above conditions for the others.
FIG. 12A is a diagram showing the relationship between the pressure in the chamber at the time of etching and the etching rate of the polysilicon film when the high-frequency power is 100 MHz and 40 MHz, and FIG. SiO when the high-frequency power is 100 MHz and 40 MHz 2 It is a figure which shows the relationship with the etching rate of a film | membrane. FIG. 13 shows the etching rate of the polysilicon film corresponding to the pressure in the chamber and the etching selection ratio / SiO 2. 2 It is a figure which shows the relationship with the ratio (it describes with an etching selectivity in FIG. 13) of the etching rate of a film | membrane about each case of 40 MHz and 100 MHz. FIG. 14 shows the relationship between the pressure in the chamber and the etching rate of the polysilicon film, and the etching rate of the polysilicon film corresponding to the high frequency power and the etching selection ratio / SiO 2. 2 It is a figure which shows the relationship with the ratio of the etching rate of a film | membrane (it describes with an etching selection ratio also in FIG. 14) about each case of 40 MHz and 100 MHz. FIG. 15 shows the etching rate of the polysilicon film corresponding to the etching rate of the polysilicon film and the etching selection ratio / SiO 2. 2 It is a figure which shows the relationship with the ratio of the etching rate of a film | membrane (it describes with an etching selection ratio also in FIG. 15) in each case of 40 MHz and 100 MHz.
From these figures, it was confirmed that the etching rate of the polysilicon film was slightly higher and the etching selectivity was higher in the case of 100 MHz than in the case of 40 MHz for the same high-frequency power and chamber pressure. In addition, it was confirmed that a high etching selectivity can be obtained at a lower pressure at 100 MHz than at 40 MHz with the same high-frequency power. Furthermore, as shown in FIG. 15, it was also confirmed that the etching selectivity was higher in the case of 100 MHz than in the case of 40 MHz with the same high frequency power and etching rate. From these facts, in the case of 100 MHz, it is possible to obtain a high etching selectivity under a low pressure condition advantageous for etching shape controllability, and to realize both high etching selectivity and good etching shape controllability. confirmed.
Regarding the influence of pressure, it was confirmed that the etching rate and the etching selectivity of the polysilicon film were better at higher pressures at both 40 MHz and 100 MHz. However, from the viewpoint of the controllability of the etching shape of the polysilicon film, it was confirmed that the lower pressure, specifically 13.3 Pa or less, is preferable.
Next, the result of grasping (measuring) the absolute value | Vdc | of the self-bias voltage and the plasma density when a high frequency power of 100 MHz is applied using an actual etching gas (HBr) will be described.
FIG. 16 is a diagram comparing the relationship between the absolute value of the self-bias voltage and the plasma density in the case where the frequency of the high-frequency power is 100 MHz and plasma is formed with HBr gas. The horizontal axis is the absolute value | Vdc | of the self-bias voltage, and the vertical axis is the plasma density. The plasma density was measured with a microwave interferometer.
The pressure in the chamber at this time was 2.7 Pa (20 mTorr). Moreover, the absolute value | Vdc | of the plasma density and the self-bias voltage was changed by changing the power of the high-frequency power of 100 MHz between 500 and 2000 W. Furthermore, in the case where the power of the 100 MHz high frequency power is 500 W, the second high frequency power of 13 MHz was superimposed at 0 W, 200 W, and 600 W.
As can be seen from FIG. 16, the plasma density Ne and the absolute value | Vdc | of the self-bias voltage both increase as the applied high frequency power increases at each frequency.
As shown in FIG. 16, in the actual etching gas plasma, the plasma density tends to be slightly lower than the Ar gas plasma (see FIG. 6). Further, when the second high frequency power (13 MHz) having a lower frequency is superimposed and the power is increased, the self-bias voltage tends to increase.
Further, as can be seen from FIG. 16, in the case where the second high-frequency power is not superimposed, | Vdc | does not increase so much even if the plasma density is increased, and is suppressed to approximately 100 V or less. That is, it has been found that high plasma density and low self-bias voltage are feasible.
FIG. 17 shows the relationship between the high-frequency power of the high-frequency power and the etching rate of the polysilicon film and the polysilicon film corresponding to the high-frequency power of the high-frequency power and the etching selectivity when the second high-frequency power is not superimposed. Etching rate / SiO 2 It is a figure shown about the relationship with the ratio of the etching rate of a film | membrane.
When the high frequency power is increased, the etching rate of the polysilicon film is increased, but the selection ratio is decreased, so that about 1500 W (about 4.77 W / cm). 2 The following are preferred. On the other hand, when the power is reduced, the etching rate is reduced but the selection ratio is increased. 2 The above is preferable.
16 and 17, a necessary polysilicon etching rate can be obtained at a high frequency of 100 MHz, and the polysilicon film can be etched with a high etching selectivity with respect to the inorganic material film. Was confirmed.
Further, as understood from FIGS. 16 and 17, in order to etch a polysilicon film at a higher selectivity and a required etching rate under a low pressure with a higher plasma density and a lower self-bias voltage than conventional ones. , Plasma density is 5 × 10 9 ~ 2x10 10 cm -3 In addition, it is considered that the self-bias voltage of the electrode is preferably 200 V or less.
As the processing gas, in addition to the gas containing HBr gas, Cl 2 Although a gas containing a gas can also be used, it has been confirmed that the preferable plasma density range is the same as the above in the latter case.
On the other hand, FIG. 18 shows the high-frequency power power of the second high-frequency power and the etching rate of the polysilicon film when the high-frequency power power of the high-frequency power is fixed at 500 W and the high-frequency power power of the second high-frequency power is superimposed. And the etching rate of the polysilicon film corresponding to the high-frequency power of the second high-frequency power and the etching selection ratio / SiO 2 2 It is a figure shown about the relationship with the ratio of the etching rate of a film | membrane.
As can be seen from FIGS. 16 and 18, when the second high frequency power of 13 MHz is superimposed and the power is increased, the etching rate is increased while the self-bias voltage of the electrode is also increased. As the self-bias voltage increases, the etching selectivity tends to decrease. The self-bias voltage is 200 V, that is, the second high-frequency power is about 200 W (about 0.64 W / cm). 2 ) Until the etching selectivity can be maintained within an allowable range.
Therefore, the etching rate can be increased while maintaining the etching selection ratio of 10 or more by increasing the power (bias power) of the second high frequency power to be superimposed.
In the above test, the gap between the electrodes was 27 mm. However, as described above, if the distance between the electrodes is too small, the pressure distribution on the surface of the wafer W that is the substrate to be processed (the pressure difference between the central portion and the peripheral portion). ) May increase and cause problems such as a decrease in etching uniformity. Therefore, the actual distance between electrodes is more preferably 35 to 50 mm. This will be described with reference to FIG.
FIG. 19 shows a comparison between the Ar gas flow rate and the pressure difference ΔP between the wafer center and the wafer periphery when Ar gas is used as the plasma gas in the case of the gap between electrodes of 25 mm and 40 mm. FIG. As shown in FIG. 19, the pressure difference ΔP is smaller when the gap is 40 mm than when the gap is 25 mm. In the case of a gap of 25 mm, the pressure difference ΔP tends to increase rapidly as the Ar gas flow rate increases, and problems such as a decrease in etching uniformity occur when the gas flow rate is about 0.3 L / min or more. The allowable maximum pressure difference ΔP that does not occur exceeds 0.27 Pa (2 mTorr). On the other hand, when the gap is 40 mm, the pressure difference is smaller than 0.27 Pa (2 mTorr) regardless of the gas flow rate. Therefore, if the gap between the electrodes is about 35 mm or more, it is expected that the allowable maximum pressure difference can be maintained without causing problems such as a decrease in etching uniformity regardless of the gas flow rate.
The present invention can be variously modified without being limited to the above embodiment. For example, although the case where a polysilicon film is used as the silicon film has been described in the above embodiment, the present invention is not limited to this, and other silicon films such as a single crystal silicon film and an amorphous silicon film may be used.
In the above embodiment, a multi-pole ring magnet in which a plurality of segment magnets made of permanent magnets are arranged in a ring shape around the chamber is used as the magnetic field forming means. As long as it can be formed and confined with plasma, it is not limited to this mode. Further, such a peripheral magnetic field for confining plasma is not always necessary. That is, etching may be performed in the absence of a magnetic field. The present invention is also applicable to a plasma etching process in which a horizontal magnetic field is applied to a processing space and plasma etching is performed in an orthogonal electromagnetic field.
Furthermore, in the above-described embodiment, high-frequency power for plasma formation is applied to the lower electrode, but the present invention is not limited to this, and may be applied to the upper electrode. Furthermore, the layer structure of the substrate to be processed is not limited to that shown in FIG. 3 or FIG. 4 of the above embodiment. Furthermore, although the case where a semiconductor wafer is used as the substrate to be processed has been described, the present invention is not limited to this, and the present invention can be applied to etching of a polysilicon film on another substrate to be processed.
[Brief description of the drawings]
FIG. 1 is a schematic sectional view showing a plasma etching apparatus according to an embodiment of the present invention.
FIG. 2 is a horizontal sectional view schematically showing a ring magnet disposed around the chamber of the plasma etching apparatus of FIG.
FIG. 3 is a sectional view showing an example of the structure of a semiconductor wafer to which the plasma etching of the present invention is applied.
FIG. 4 is a cross-sectional view showing another example of the structure of a semiconductor wafer to which the plasma etching of the present invention is applied.
FIG. 5 is a schematic sectional view partially showing a plasma processing apparatus provided with a high-frequency power source for plasma generation and a high-frequency power source for ion attraction.
FIG. 6 is a diagram showing the relationship between the absolute value | Vdc | of the self-bias voltage and the plasma density Ne when the frequency of the high-frequency power is 40 MHz and 100 MHz in the argon gas plasma.
FIG. 7A is a diagram showing the value of the etching rate of the polysilicon film with respect to the wafer position when the high-frequency power is 100 MHz for each of the cases where the high-frequency power is 500 W, 1000 W, and 1500 W.
FIG. 7B is a diagram showing the value of the etching rate of the polysilicon film with respect to the wafer position when the high-frequency power is 40 MHz for each of the high-frequency power powers of 500 W, 1000 W, and 1500 W.
FIG. 8 is a diagram showing the relationship between the high frequency power and the polysilicon film etching rate when the high frequency power is 40 MHz and 100 MHz.
FIG. 9 shows high frequency power and SiO 2 It is a figure which shows the relationship with the etching rate of a film | membrane about the case where high frequency electric power is 40 MHz and 100 MHz.
FIG. 10 shows the relationship between the high-frequency power and the etching rate of the polysilicon film, and the etching rate / SiO 2 of the polysilicon film corresponding to the high-frequency power and the etching selectivity. 2 It is a figure which shows the relationship with the ratio of the etching rate of a film | membrane about the case where high frequency electric power is 40 MHz and 100 MHz.
FIG. 11 shows the etching rate of the polysilicon film corresponding to the etching rate of the polysilicon film and the etching selection ratio / SiO 2. 2 It is a figure which shows the relationship with the ratio of the etching rate of a film | membrane about the case where high frequency electric power is 40 MHz and 100 MHz.
FIG. 12A is a diagram showing the relationship between the pressure in the chamber during etching and the etching rate of the polysilicon film when the high-frequency power is 100 MHz and 40 MHz.
FIG. 12B shows SiO 2 when the pressure in the chamber and the high frequency power during the etching are 100 MHz and 40 MHz. 2 It is a figure which shows the relationship with the etching rate of a film | membrane.
FIG. 13 shows the etching rate of the polysilicon film corresponding to the pressure in the chamber and the etching selection ratio / SiO 2. 2 It is a figure which shows the relationship with the ratio of the etching rate of a film | membrane about the case where high frequency electric power is 40 MHz and 100 MHz.
FIG. 14 shows the relationship between the pressure in the chamber and the etching rate of the polysilicon film, and the etching rate of the polysilicon film corresponding to the high frequency power and the etching selection ratio / SiO 2. 2 It is a figure which shows the relationship with the ratio of the etching rate of a film | membrane about the case where high frequency electric power is 40 MHz and 100 MHz.
FIG. 15 shows the etching rate of the polysilicon film corresponding to the etching rate of the polysilicon film and the etching selection ratio / SiO 2. 2 It is a figure which shows the relationship with the ratio of the etching rate of a film | membrane about the case where high frequency electric power is 40 MHz and 100 MHz.
FIG. 16 shows the case where the frequency of the high-frequency power is 100 MHz and the second high-frequency power is 13 MHz in the HBr gas plasma, and each high-frequency power is changed (high-frequency power: 500 W, 1000 W, 1500 W, 2000 W, (2nd high frequency electric power: 0W, 200W, 600W), It is a figure which shows the relationship between absolute value | Vdc | of self-bias voltage, and plasma density Ne.
FIG. 17 shows the relationship between the high frequency power of the high frequency power and the etching rate of the polysilicon film, and the etching rate of the polysilicon film corresponding to the high frequency power of the high frequency power and the etching selection ratio / SiO 2. 2 It is a figure shown about the relationship with the ratio of the etching rate of a film | membrane.
FIG. 18 shows the relationship between the high-frequency power of the second high-frequency power and the etching rate of the polysilicon film, and the etching rate / SiO2 of the polysilicon film corresponding to the high-frequency power of the second high-frequency power and the etching selectivity. 2 It is a figure shown about the relationship with the ratio of the etching rate of a film | membrane.
FIG. 19 shows the relationship between the Ar gas flow rate and the pressure difference ΔP between the wafer central portion and the peripheral portion when Ar gas is used as the plasma gas, when the gap between the electrodes is 25 mm and when it is 40 mm. FIG.

Claims (32)

チャンバー内に一対の電極を対向して配置し、両電極の間にシリコン膜と無機材料膜とを隣接して有する被処理基板が配置されるように、一方の電極によって当該被処理基板を支持させる配置工程と、
少なくとも一方の電極に高周波電力を印加して前記一対の電極間に高周波電界を形成するとともに、チャンバー内に処理ガスを供給し、前記電界により処理ガスのプラズマを形成し、該プラズマにより前記被処理基板の前記シリコン膜をプラズマエッチングするエッチング工程と、
を備え、
前記エッチング工程において、前記少なくとも一方の電極に印加する高周波電力の周波数が、50〜150MHzである
ことを特徴とするプラズマエッチング方法。
A pair of electrodes are placed opposite to each other in the chamber, and the substrate to be processed is supported by one electrode so that a substrate to be processed having a silicon film and an inorganic material film adjacent to each other is disposed between the electrodes. An arrangement step of
A high frequency electric field is applied to at least one of the electrodes to form a high frequency electric field between the pair of electrodes, a processing gas is supplied into the chamber, a plasma of the processing gas is formed by the electric field, and the processing target is formed by the plasma. An etching step of plasma etching the silicon film of the substrate;
With
In the etching step, the frequency of the high frequency power applied to the at least one electrode is 50 to 150 MHz.
前記エッチング工程において、前記少なくとも一方の電極に印加する高周波電力の周波数は、100MHzである
ことを特徴とする請求項1に記載のプラズマエッチング方法。
2. The plasma etching method according to claim 1, wherein in the etching step, a frequency of the high frequency power applied to the at least one electrode is 100 MHz.
前記エッチング工程において、前記高周波電力のパワー密度が、0.15〜5W/cmである
ことを特徴とする請求項1に記載のプラズマエッチング方法。
2. The plasma etching method according to claim 1, wherein in the etching step, a power density of the high-frequency power is 0.15 to 5 W / cm 2 .
前記エッチング工程において、前記チャンバー内のプラズマ密度が、5×10〜2×1010cm−3である
ことを特徴とする請求項1に記載のプラズマエッチング方法。
2. The plasma etching method according to claim 1, wherein in the etching step, a plasma density in the chamber is 5 × 10 9 to 2 × 10 10 cm −3 .
前記エッチング工程において、前記チャンバー内の圧力が、13.3Pa以下である
ことを特徴とする請求項1に記載のプラズマエッチング方法。
The plasma etching method according to claim 1, wherein, in the etching step, a pressure in the chamber is 13.3 Pa or less.
前記無機系材料膜は、シリコン酸化物、シリコン窒化物、シリコン酸窒化物、及び、シリコン炭化物の少なくとも一つからなる
ことを特徴とする請求項1に記載のプラズマエッチング方法。
2. The plasma etching method according to claim 1, wherein the inorganic material film is made of at least one of silicon oxide, silicon nitride, silicon oxynitride, and silicon carbide.
前記エッチング工程において、前記被処理基板を支持する電極に前記高周波電力が印加される
ことを特徴とする請求項1に記載のプラズマエッチング方法。
2. The plasma etching method according to claim 1, wherein in the etching step, the high-frequency power is applied to an electrode that supports the substrate to be processed.
前記エッチング工程において、前記被処理基板を支持する電極に前記高周波電力に重畳させて3.2〜13.56MHzの第2高周波電力が印加される
ことを特徴とする請求項7に記載のプラズマエッチング方法。
8. The plasma etching according to claim 7, wherein in the etching step, a second high frequency power of 3.2 to 13.56 MHz is applied to an electrode supporting the substrate to be processed so as to be superimposed on the high frequency power. Method.
前記第2高周波電力の周波数は、13.56MHzである
ことを特徴とする請求項8に記載のプラズマエッチング方法。
The plasma etching method according to claim 8, wherein the frequency of the second high-frequency power is 13.56 MHz.
前記第2高周波電力のパワー密度が、0.64W/cm以下である
ことを特徴とする請求項9に記載のプラズマエッチング方法。
The plasma etching method according to claim 9, wherein a power density of the second high frequency power is 0.64 W / cm 2 or less.
前記エッチング工程において、前記被処理基板を支持する電極の自己バイアス電圧が200V以下である
ことを特徴とする請求項8に記載のプラズマエッチング方法。
9. The plasma etching method according to claim 8, wherein in the etching step, a self-bias voltage of an electrode supporting the substrate to be processed is 200 V or less.
前記一対の電極の電極間距離は、50mm未満である
ことを特徴とする請求項1に記載のプラズマエッチング方法。
The plasma etching method according to claim 1, wherein the distance between the pair of electrodes is less than 50 mm.
前記エッチング工程において、前記一対の電極間のプラズマ領域の周囲に磁場が形成される
ことを特徴とする請求項1に記載のプラズマエッチング方法。
The plasma etching method according to claim 1, wherein a magnetic field is formed around a plasma region between the pair of electrodes in the etching step.
前記一対の電極間のプラズマ領域の周囲に形成される磁場の強度は、0.03〜0.045T(300〜450Gauss)である
ことを特徴とする請求項13に記載のプラズマエッチング方法。
14. The plasma etching method according to claim 13, wherein the strength of the magnetic field formed around the plasma region between the pair of electrodes is 0.03 to 0.045 T (300 to 450 Gauss).
前記一対の電極間のプラズマ領域の周囲に磁場が形成される際において、前記被処理基板の周囲に設けられたフォーカスリング上の磁場強度が0.001T(10Gauss)以上であり、前記被処理基板上の磁場強度が0.001T以下である
ことを特徴とする請求項14に記載のプラズマエッチング方法。
When a magnetic field is formed around the plasma region between the pair of electrodes, a magnetic field intensity on a focus ring provided around the substrate to be processed is 0.001 T (10 Gauss) or more, and the substrate to be processed The plasma etching method according to claim 14, wherein the upper magnetic field strength is 0.001 T or less.
前記シリコン膜は、ポリシリコンで構成されている
ことを特徴とする請求項1に記載のプラズマエッチング方法。
The plasma etching method according to claim 1, wherein the silicon film is made of polysilicon.
チャンバー内に一対の電極を対向して配置し、両電極の間にシリコン膜と無機材料膜とを隣接して有する被処理基板が配置されるように、一方の電極によって当該被処理基板を支持させる配置工程と、
少なくとも一方の電極に高周波電力を印加して前記一対の電極間に高周波電界を形成するとともに、チャンバー内に処理ガスを供給し、前記電界により処理ガスのプラズマを形成し、該プラズマにより前記被処理基板の前記シリコン膜をプラズマエッチングするエッチング工程と、
を備え、
前記エッチング工程において、処理ガスはHBrガス及びClガスのいずれか1つを含み、前記チャンバー内のプラズマ密度が5×10〜2×1010cm−3であって、かつ、電極の自己バイアス電圧が200V以下である
ことを特徴とするプラズマエッチング方法。
A pair of electrodes are placed opposite to each other in the chamber, and the substrate to be processed is supported by one electrode so that a substrate to be processed having a silicon film and an inorganic material film adjacent to each other is disposed between the electrodes. An arrangement step of
A high frequency electric field is applied to at least one of the electrodes to form a high frequency electric field between the pair of electrodes, a processing gas is supplied into the chamber, a plasma of the processing gas is formed by the electric field, and the processing target is formed by the plasma. An etching step of plasma etching the silicon film of the substrate;
With
In the etching step, the processing gas includes any one of HBr gas and Cl 2 gas, the plasma density in the chamber is 5 × 10 9 to 2 × 10 10 cm −3 , and the electrode self A plasma etching method, wherein a bias voltage is 200 V or less.
チャンバー内に一対の電極を対向して配置し、両電極の間にシリコン膜と無機材料膜とを隣接して有する被処理基板が配置されるように、一方の電極によって当該被処理基板を支持させる配置工程と、
少なくとも一方の電極に高周波電力を印加して前記一対の電極間に高周波電界を形成するとともに、チャンバー内にArガスを供給し、前記電界により処理ガスのプラズマを形成するプラズマ化工程と、
を備え、
前記プラズマ化工程において、前記チャンバー内のプラズマ密度が1×1010cm−3以上であって、かつ、電極の自己バイアス電圧が100V以下であることを確認する工程が実施される
ことを特徴とするプラズマエッチング条件の確認方法。
A pair of electrodes are placed opposite to each other in the chamber, and the substrate to be processed is supported by one electrode so that a substrate to be processed having a silicon film and an inorganic material film adjacent to each other is disposed between the electrodes. An arrangement step of
Forming a high frequency electric field between the pair of electrodes by applying high frequency power to at least one of the electrodes, supplying Ar gas into the chamber, and forming a plasma of the processing gas by the electric field;
With
In the plasma forming step, the step of confirming that the plasma density in the chamber is 1 × 10 10 cm −3 or more and the self-bias voltage of the electrode is 100 V or less is performed. To confirm plasma etching conditions.
シリコン膜と無機系材料膜とを隣接して有する被処理基板を収容するチャンバーと、
前記チャンバー内に設けられ、その一方が前記被処理基板を支持する一対の電極と、
前記チャンバー内に処理ガスを供給する処理ガス供給系と、
前記チャンバー内を排気する排気系と、
前記電極のうち少なくとも一方にプラズマ形成用の高周波電力を供給する高周波電源と
を備え、
前記高周波電源から発生される高周波電力の周波数が、50〜150MHzである
ことを特徴とするプラズマエッチング装置。
A chamber for accommodating a substrate to be processed having a silicon film and an inorganic material film adjacent to each other;
A pair of electrodes provided in the chamber, one of which supports the substrate to be processed;
A processing gas supply system for supplying a processing gas into the chamber;
An exhaust system for exhausting the chamber;
A high frequency power source for supplying high frequency power for plasma formation to at least one of the electrodes,
The plasma etching apparatus characterized in that the frequency of the high-frequency power generated from the high-frequency power source is 50 to 150 MHz.
前記高周波電源から発生される高周波電力の周波数は、100MHzである
ことを特徴とする請求項19に記載のプラズマエッチング装置。
20. The plasma etching apparatus according to claim 19, wherein the frequency of the high frequency power generated from the high frequency power source is 100 MHz.
前記高周波電力のパワー密度が、0.15〜5W/cmであることを特徴とする請求項19に記載のプラズマエッチング装置。The plasma etching apparatus according to claim 19, wherein a power density of the high-frequency power is 0.15 to 5 W / cm 2 . 前記チャンバー内の圧力が、13.3Pa以下である
ことを特徴とする請求項19に記載のプラズマエッチング装置。
The plasma etching apparatus according to claim 19, wherein the pressure in the chamber is 13.3 Pa or less.
前記被処理基板を支持する電極に、前記高周波電力が印加されることを特徴とする請求項19に記載のプラズマエッチング装置。The plasma etching apparatus according to claim 19, wherein the high-frequency power is applied to an electrode that supports the substrate to be processed. 前記被処理基板を支持する電極に前記高周波電力に重畳させて3.2〜13.56MHzの第2高周波電力を印加する第2高周波電源
を更に備えたことを特徴とする請求項23に記載のプラズマエッチング装置。
24. The apparatus according to claim 23, further comprising a second high-frequency power source that applies a second high-frequency power of 3.2 to 13.56 MHz so as to be superimposed on the high-frequency power on an electrode that supports the substrate to be processed. Plasma etching equipment.
前記第2高周波電力の周波数は、13.56MHzである
ことを特徴とする請求項24に記載のプラズマエッチング装置。
The plasma etching apparatus of claim 24, wherein the frequency of the second high frequency power is 13.56 MHz.
前記第2高周波電力のパワー密度が、0.64W/cm以下である
ことを特徴とする請求項25に記載のプラズマエッチング装置。
The plasma etching apparatus according to claim 25, wherein a power density of the second high-frequency power is 0.64 W / cm 2 or less.
前記一対の電極の電極間距離は、50mm未満である
ことを特徴とする請求項19に記載のプラズマエッチング装置。
The plasma etching apparatus according to claim 19, wherein the distance between the pair of electrodes is less than 50 mm.
前記一対の電極間のプラズマ領域の周囲に磁場を形成する磁場形成手段
を更に備えたことを特徴とする請求項19に記載のプラズマエッチング装置。
The plasma etching apparatus according to claim 19, further comprising magnetic field forming means for forming a magnetic field around a plasma region between the pair of electrodes.
前記磁場形成手段が前記一対の電極間のプラズマ領域の周囲に形成する磁場の強度は、0.03〜0.045T(300〜450Gauss)である
ことを特徴とする請求項28に記載のプラズマエッチング装置。
29. Plasma etching according to claim 28, wherein the magnetic field forming means forms a magnetic field intensity around the plasma region between the pair of electrodes of 0.03 to 0.045 T (300 to 450 Gauss). apparatus.
前記被処理基板の周囲にフォーカスリングが設けられ、
前記磁場形成手段が前記一対の電極間のプラズマ領域の周囲に磁場を形成する際において、前記フォーカスリング上の磁場強度が0.001T(10Gauss)以上であり、前記被処理基板上の磁場強度が0.001T以下である
ことを特徴とする請求項29に記載のプラズマエッチング装置。
A focus ring is provided around the substrate to be processed,
When the magnetic field forming unit forms a magnetic field around the plasma region between the pair of electrodes, the magnetic field intensity on the focus ring is 0.001 T (10 Gauss) or more, and the magnetic field intensity on the substrate to be processed is 30. The plasma etching apparatus according to claim 29, wherein the plasma etching apparatus is 0.001 T or less.
シリコン膜と無機系材料膜とを隣接して有する被処理基板を収容するチャンバーと、
前記チャンバー内に設けられ、その一方が前記被処理基板を支持する一対の電極と、
前記チャンバー内に処理ガスを供給する処理ガス供給系と、
前記チャンバー内を排気する排気系と、
前記電極のうち少なくとも一方にプラズマ形成用の高周波電力を供給する高周波電源と
を備え、
HBrガス及びClガスのいずれか1つを含むガスを処理ガスとして用いた場合に、前記チャンバー内のプラズマ密度が5×10〜2×1010cm−3となって、かつ、電極の自己バイアス電圧が200V以下となる
ことを特徴とするプラズマエッチング装置。
A chamber for accommodating a substrate to be processed having a silicon film and an inorganic material film adjacent to each other;
A pair of electrodes provided in the chamber, one of which supports the substrate to be processed;
A processing gas supply system for supplying a processing gas into the chamber;
An exhaust system for exhausting the chamber;
A high frequency power source for supplying high frequency power for plasma formation to at least one of the electrodes,
When a gas containing any one of HBr gas and Cl 2 gas is used as a processing gas, the plasma density in the chamber is 5 × 10 9 to 2 × 10 10 cm −3 , and the electrode A plasma etching apparatus having a self-bias voltage of 200 V or less.
シリコン膜と無機系材料膜とを隣接して有する被処理基板を収容するチャンバーと、
前記チャンバー内に設けられ、その一方が前記被処理基板を支持する一対の電極と、
前記チャンバー内に処理ガスを供給する処理ガス供給系と、
前記チャンバー内を排気する排気系と、
前記電極のうち少なくとも一方にプラズマ形成用の高周波電力を供給する高周波電源と
を備え、
Arガスを処理ガスとして用いた場合に、前記チャンバー内のプラズマ密度が1×1010cm−3以上となって、かつ、電極の自己バイアス電圧が100V以下となる
ことを特徴とするプラズマエッチング装置。
A chamber for accommodating a substrate to be processed having a silicon film and an inorganic material film adjacent to each other;
A pair of electrodes provided in the chamber, one of which supports the substrate to be processed;
A processing gas supply system for supplying a processing gas into the chamber;
An exhaust system for exhausting the chamber;
A high frequency power source for supplying high frequency power for plasma formation to at least one of the electrodes,
When using Ar gas as a processing gas, the plasma density in the chamber is 1 × 10 10 cm −3 or more and the electrode self-bias voltage is 100 V or less. .
JP2003582802A 2002-04-08 2003-04-07 Plasma etching method and plasma etching apparatus Expired - Fee Related JP4377698B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2002105249 2002-04-08
JP2002105249 2002-04-08
PCT/JP2003/004410 WO2003085716A1 (en) 2002-04-08 2003-04-07 Plasma etching method and plasma etching device

Publications (2)

Publication Number Publication Date
JPWO2003085716A1 true JPWO2003085716A1 (en) 2005-08-18
JP4377698B2 JP4377698B2 (en) 2009-12-02

Family

ID=28786373

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003582802A Expired - Fee Related JP4377698B2 (en) 2002-04-08 2003-04-07 Plasma etching method and plasma etching apparatus

Country Status (5)

Country Link
US (1) US20050039854A1 (en)
JP (1) JP4377698B2 (en)
AU (1) AU2003236307A1 (en)
TW (1) TWI233644B (en)
WO (1) WO2003085716A1 (en)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4431402B2 (en) * 2002-04-08 2010-03-17 東京エレクトロン株式会社 Plasma etching method
JP4412661B2 (en) * 2004-10-15 2010-02-10 信越化学工業株式会社 Plasma processing apparatus and plasma processing method
US7955515B2 (en) 2005-07-11 2011-06-07 Sandisk 3D Llc Method of plasma etching transition metal oxides
US7977244B2 (en) 2006-12-18 2011-07-12 United Microelectronics Corp. Semiconductor manufacturing process
JP4660498B2 (en) * 2007-03-27 2011-03-30 株式会社東芝 Substrate plasma processing equipment
JP5224837B2 (en) * 2008-02-01 2013-07-03 株式会社東芝 Substrate plasma processing apparatus and plasma processing method
ES2513866T3 (en) 2009-05-13 2014-10-27 Sio2 Medical Products, Inc. Container coating and inspection
US9545360B2 (en) 2009-05-13 2017-01-17 Sio2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
JP2012015292A (en) * 2010-06-30 2012-01-19 Japan Science & Technology Agency METHOD OF ETCHING NdFeB
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
JP6095678B2 (en) 2011-11-11 2017-03-15 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド Passivation, pH protection or slippery coatings for pharmaceutical packages, coating processes and equipment
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
WO2014071061A1 (en) 2012-11-01 2014-05-08 Sio2 Medical Products, Inc. Coating inspection method
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
EP2925903B1 (en) 2012-11-30 2022-04-13 Si02 Medical Products, Inc. Controlling the uniformity of pecvd deposition on medical syringes, cartridges, and the like
EP2961858B1 (en) 2013-03-01 2022-09-07 Si02 Medical Products, Inc. Coated syringe.
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
EP2971228B1 (en) 2013-03-11 2023-06-21 Si02 Medical Products, Inc. Coated packaging
US9863042B2 (en) 2013-03-15 2018-01-09 Sio2 Medical Products, Inc. PECVD lubricity vessel coating, coating process and apparatus providing different power levels in two phases
US9586279B2 (en) 2013-09-17 2017-03-07 Kangmin Hsia Method and system of surface polishing
EP3693493A1 (en) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
CN116982977A (en) 2015-08-18 2023-11-03 Sio2医药产品公司 Medicaments and other packages with low oxygen transmission rate
WO2020096885A1 (en) * 2018-11-05 2020-05-14 Applied Materials, Inc. Magnetic housing systems
US11217443B2 (en) 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259922A (en) * 1990-08-14 1993-11-09 Matsushita Electric Industrial Co., Ltd. Drying etching method
US5444207A (en) * 1992-03-26 1995-08-22 Kabushiki Kaisha Toshiba Plasma generating device and surface processing device and method for processing wafers in a uniform magnetic field
US5404079A (en) * 1992-08-13 1995-04-04 Matsushita Electric Industrial Co., Ltd. Plasma generating apparatus
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JPH08293481A (en) * 1995-04-24 1996-11-05 Hitachi Ltd Forming method for pattern and element
TW312815B (en) * 1995-12-15 1997-08-11 Hitachi Ltd
TW335517B (en) * 1996-03-01 1998-07-01 Hitachi Ltd Apparatus and method for processing plasma
US5841237A (en) * 1997-07-14 1998-11-24 Lockheed Martin Energy Research Corporation Production of large resonant plasma volumes in microwave electron cyclotron resonance ion sources
JP2001155899A (en) * 1999-11-25 2001-06-08 Tadahiro Omi Plasma processing apparatus and process using the same
JP3920015B2 (en) * 2000-09-14 2007-05-30 東京エレクトロン株式会社 Si substrate processing method

Also Published As

Publication number Publication date
WO2003085716A1 (en) 2003-10-16
TWI233644B (en) 2005-06-01
TW200402792A (en) 2004-02-16
AU2003236307A1 (en) 2003-10-20
JP4377698B2 (en) 2009-12-02
AU2003236307A8 (en) 2003-10-20
US20050039854A1 (en) 2005-02-24

Similar Documents

Publication Publication Date Title
JP4377698B2 (en) Plasma etching method and plasma etching apparatus
JP4431402B2 (en) Plasma etching method
JP4812991B2 (en) Plasma processing equipment
KR101957911B1 (en) Plasma processing apparatus
JP4285853B2 (en) Processing method
US7625494B2 (en) Plasma etching method and plasma etching unit
KR100375910B1 (en) Plasma processing method and plasma processing apparatus
KR100886272B1 (en) Plasma processing apparatus
US7767055B2 (en) Capacitive coupling plasma processing apparatus
US20010022293A1 (en) Plasma processing equipment and plasma processing method using the same
TWI328253B (en)
JP2001338912A (en) Plasma processing equipment and method for processing thereof
JPH08264515A (en) Plasma treatment device, processing device and etching device
JP2006186323A (en) Plasma processing apparatus
KR20140116811A (en) Plasma etching method and plasma etching apparatus
US20040173573A1 (en) Oxide film etching method
KR20010006989A (en) Magnetron-plasma processing unit and magnetron-plasma processing method
US20100068888A1 (en) Dry etching method
JP2002110646A (en) Plasma treatment apparatus
JP4031691B2 (en) Plasma processing apparatus and plasma processing method
JP5236777B2 (en) Plasma processing equipment
JP4379771B2 (en) Plasma processing apparatus and plasma processing method
JP4135173B2 (en) Plasma processing apparatus and plasma processing method
JP4373061B2 (en) Plasma processing apparatus and plasma processing method
JP3830634B2 (en) Plasma processing apparatus and plasma processing method

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060317

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090424

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090603

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20090904

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20090911

R150 Certificate of patent or registration of utility model

Ref document number: 4377698

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120918

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130918

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313115

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees