JPS63503581A - Device manufacturing method - Google Patents

Device manufacturing method

Info

Publication number
JPS63503581A
JPS63503581A JP62503471A JP50347187A JPS63503581A JP S63503581 A JPS63503581 A JP S63503581A JP 62503471 A JP62503471 A JP 62503471A JP 50347187 A JP50347187 A JP 50347187A JP S63503581 A JPS63503581 A JP S63503581A
Authority
JP
Japan
Prior art keywords
source
metal
silicon
drain
train
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP62503471A
Other languages
Japanese (ja)
Other versions
JPH0680682B2 (en
Inventor
ガラハー,パトリック ケント
グリーン,マーチン ローレンス
レヴィ,ローランド アルバート
Original Assignee
エイ・ティ・アンド・ティ・コーポレーション
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by エイ・ティ・アンド・ティ・コーポレーション filed Critical エイ・ティ・アンド・ティ・コーポレーション
Publication of JPS63503581A publication Critical patent/JPS63503581A/en
Publication of JPH0680682B2 publication Critical patent/JPH0680682B2/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

(57)【要約】本公報は電子出願前の出願データであるため要約のデータは記録されません。 (57) [Summary] This bulletin contains application data before electronic filing, so abstract data is not recorded.

Description

【発明の詳細な説明】 デバイスの製造法及びこれによって 製造されたデバイス え豆Ω丘盪 1・灸艶五公1 本発明は一般的にはデバイス、例えば、半導体デバイスの製造方法、並びに結果 として得られるデバイスに関する。[Detailed description of the invention] Device manufacturing method and thereby manufactured device Ezu Omega Hill 1. Moxibustion Gogong 1 The present invention generally relates to methods of manufacturing devices, such as semiconductor devices, and results. Regarding the device obtained as.

2・皮五宜I 金属含有材料、つまり純粋の金属、分子か1つ或は複数の金属原子を含む分子− タイプの材料、及び/或は上の1つ或は複数を含む混合物の処理された或は処理 されてない基板上への堆積は様々なデバイスの製造において重要な役割を果す。2. Skin Gogi I Metal-containing materials, i.e. pure metals, molecules or molecules containing one or more metal atoms. treated or treated of a type of material and/or a mixture containing one or more of the above; Deposition on unconventional substrates plays an important role in the fabrication of various devices.

これらデバイスには、例えば、#敵手導体デバイス、集積回路デバイス、及び磁 気ハブルデバイスが含まれる。典型的には、例えば、純粋の金属の処理された或 は処理されてない半導体基板の選択された領域への堆積は、パターン化された堆 積マスク、例えば、パターン化されたホトレジスト層を基板表面上に形成、続い て該マスクをもつ基板表面上に金属を電子ビーム蒸着或はrf−スパッタリング することによって達成される。その後マスクを除去すると、選択された基板領域 のみに金属カバーか残る。別の方法としては、堆積マスクを形成することなく、 金属が直接に基板表面上に堆積され、パターン化されたエッチ マスク、例えば 、パターン化されたホトレジスト層かこの金属上に形成される。次に、金属かエ ッチ マスクを通して蝕刻され、エッチ マスクか除去され、選択された領域の みに金属か残される。These devices include, for example, conductor devices, integrated circuit devices, and magnetic Qi hub device included. Typically, for example pure metal treated or Deposition on selected areas of an unprocessed semiconductor substrate is a patterned deposition process. Forming a patterned photoresist layer on the substrate surface, followed by a deposition mask, e.g. Electron beam evaporation or RF sputtering of metal onto the surface of the substrate with the mask. This is achieved by Then removing the mask will reveal the selected substrate area. Only the metal cover remains. Alternatively, without forming a deposition mask, The metal is deposited directly onto the substrate surface using a patterned etch mask, e.g. , a patterned photoresist layer is formed over this metal. Next, metal or The etch mask is etched through the etch mask, the etch mask is removed, and the selected areas are Only metal is left behind.

製造か金属含有材料の堆積を伴なう集積回路デバイスの中には多くのMOS ( 金属/酸化物半導体)集積回路デバイス、例えば、n−チャネルMO3,p−チ ャネルMO3、及びCMO5(相補形MO5)集積回路デバイスが含まれる。There are many MOS ( (metal/oxide semiconductor) integrated circuit devices, e.g. n-channel MO3, p-chi channel MO3, and CMO5 (complementary MO5) integrated circuit devices.

(ここで用いられる用語、集積回路は複数の相互接続された離散デバイスを意味 する。)これらMO5集積回路(ic)は、典型的には、複数のMOSFET  (金属/酸化物半導体電界効果形トランジスタ)を含み、個々のMOSFETは 半導体材料1例えば、シリコンの活性表面層を含む。個々のMOSFETは又、 活性層の表面に形成された薄いゲート酸化物(gate oxide、 GOX  ) 、このGOXの表面に形成された、例えば、トープされた多結晶シリコン (ポリシリコン)の導電ゲート、このゲートの両側に形成され、MOSFETの ソース及びトレインを構成する活性層の高濃度にドープされた2つの部分を含む 、(COXと比較して)厚いフィールド酸化物(FOX)はMOSFETを互い に分離し、電気的に絶縁する機能を持つ。(As used herein, integrated circuit refers to multiple interconnected discrete devices. do. ) These MO5 integrated circuits (ICs) typically include multiple MOSFETs. (Metal/Oxide Semiconductor Field Effect Transistor), each MOSFET is Semiconductor material 1 comprises an active surface layer of, for example, silicon. Individual MOSFETs are also A thin gate oxide (GOX) formed on the surface of the active layer ), for example, toped polycrystalline silicon formed on the surface of this GOX. (polysilicon) conductive gate, formed on both sides of this gate, MOSFET Contains two highly doped parts of the active layer that constitute the source and train , thick field oxide (FOX) (compared to COX) allows MOSFETs to It has the function of separating and electrically insulating.

上に説明のMOS ICはMOSFETのソース、トレイン、及びゲートから延 びる金属ラナー(runner)への金属、例えば、アルミニウム或はアルミニ ウム/銅合金のコンタクトを含み、これを通してMOSFETとの電気通信か達 成される。これら金属コンタクト及びラナーは上に説明の堆積及びバターニング 技術を用いて形成される。つまり、電気的に絶縁のガラス、例えば SiO□−P2O3或はSiO□−P2O3B2O3を含むガラスが従来か従来 の気相成長(chemical vapor depo−sition、 CV D)技術を用いてICのMOSFET及びFOX上に堆積される。これはゲート 金属化層とソース/ドイレン金属化層との間のレベル間詰電体(電気絶縁層)と してIl爺する。その後、このレベル間誘電体かソース、トレイン及びゲートへ の経路孔(via hole)を形成するためにパターン化される。金属導体、 例えばアルミニウムか、例えば「f−スパッタリング或は電子ビーム蒸着によっ てこのレベル間銹電体上、並びに経路孔内に堆積され、ソース、トレイン及びゲ ートへの電気コンタクトか形成される。(レベル間誘電体上に)堆積されたアル ミニウムか次にパターン化されたエッチ マスク、例えば、パターン化されたホ トレジスト層を通して蝕刻され、コンタクト バットに終端する相互接続ラナー か形成される。The MOS IC described above extends from the source, train, and gate of the MOSFET. metal runner, e.g. aluminum or aluminium. Contains aluminum/copper alloy contacts through which electrical communication is established with the MOSFET. will be accomplished. These metal contacts and runners are deposited and patterned as described above. Formed using technology. That is, electrically insulating glass, e.g. Glass containing SiO□-P2O3 or SiO□-P2O3B2O3 is conventional or conventional chemical vapor deposition, CV D) Deposited on the MOSFET and FOX of the IC using technology. this is the gate A level filler (electrical insulation layer) between the metallization layer and the source/drain metallization layer. Then Il old man. Then this interlevel dielectric or source, train and gate patterned to form via holes. metal conductor, For example, aluminum or Deposited on the conductor between levels of the lever and in the path hole, source, train and gate Electrical contacts are made to the ports. Deposited Al (on the interlevel dielectric) patterned etch mask, e.g. Interconnect runners etched through the resist layer and terminating in contact butts or formed.

重要なことに、金属含有材料のデバイス基板への堆積は、しばしば堆積された材 料と基板との間の望ましくない相互作用を伴なう。例えば、半導体材料、例えば 、シリコンは、ソース及びトレインへの電気コンタクトに用いられる堆積された 金属、例えば、アルミニウム内への高い溶解度を示す。つまりシリコンがアルミ ニウム内に拡散してアルミニウム/シリコン合金を形成する傾向を持つ。このた め、上側の金属コンタクトからアルミニウムが下側のシリコン内に拡散され、ア ルミニウム、スパイク(aluminum 5pike)と呼ばれる物が形成さ れる。周知の如く、アルミニウムはシリコンに対するp−タイプドーパントとな る。従って、アルミニウム スパイクがn−タイプ ソース或はトレインを通じ て(p−タイプ基板に)延びると、ソース/基板或はトレイン/基板界面の所の p−n接合か失われてしまう。アルミニウムスパイクは、典型的には、シリコン 内に約1gm以内しか延びないため、この存在は、通常、ソース及びトレインの p−n+1合が約1gm以上の深さを持つようなデバイスでは大きな問題とはな らない。然し、これらスパイクは、間も無くの商品化か期待されているp−n接 合の深さが約tp■以下のデバイスては重大な問題となる。Importantly, the deposition of metal-containing materials onto device substrates often associated with undesirable interactions between the material and the substrate. For example, semiconductor materials, e.g. , silicon was deposited used for electrical contact to the source and train Shows high solubility in metals such as aluminum. In other words, silicon is aluminum tends to diffuse into aluminum and form aluminum/silicon alloys. others As a result, aluminum from the top metal contact is diffused into the bottom silicon and A substance called aluminum 5pike is formed. It will be done. As is well known, aluminum is a p-type dopant for silicon. Ru. Therefore, aluminum spikes can pass through an n-type source or train. (to a p-type substrate) at the source/substrate or train/substrate interface. The p-n junction is lost. Aluminum spikes are typically silicon Its presence is usually associated with the source and train This is not a major problem in devices where the p-n+1 coupling has a depth of about 1 gm or more. No. However, these spikes are due to the p-n connection, which is expected to be commercialized soon. This becomes a serious problem for devices where the depth of coupling is less than about tp.

アルミニウム スパイクはアルミニウムをシリコン飽和アルミニウム/シリコン 合金、つまり、製造の際に経験する最高温度にてシリコンにて飽和された合金と 置き換えることによって防ぐことかできることが提案されている。(この合金は アルミニウムとソース及びトレインとの間の界面の所のシリコン濃度勾配を大き く落し、従って、シリコンのソース及びトレインからの金属コンタクトへの拡散 を不可能にする。)残念なことに、高温処理か終ると、つまり室温においてこれ ら合金はシリコンか過飽和となり、このため(アルミニウムをトープした)シリ コンか析出する結果となる。この析出の結果、−ト n ソース及びトレイン(通常的1019C11−3以上のレベルにトープされ る)への金属コンタクトが不当に高いコンタクト抵抗、つまり、約10 oh@ −cra2以上のコンタクト抵抗を示す、つまり望ましくない高いコンタクト抵 抗を与えることとなる。(これとは対照的にp+ソース及びトレインへのコンタ クト抵抗は約10 ohm−cm”に等しいか、これ以上となるのみである。) アルミニウム スパイクはアルミニウムとシリコンの相互拡散に対する障壁をソ ースとトレインの所に与えることによって防止てき、しかも高抵抗コンタクトも 回避てきることか提案されている。更に、この障壁としてはタングステン(W) を用いることか提案されている。この提案に対する 1つの理由は、タングステ ンか2つの低圧CVD(LP(:VD)技術のいずれかを用いてパターン化され た堆積マスクを用いることなく、然もその後のエツチングを必要とすることなく ソース及びトレイン上に選択的に堆積てきることが知られているためである。第 1の技術によると、六フッ化タングステン(WF6)がレベル間誘電体内に経路 孔か形成された後のそしてこの経路孔にアルミニウムか堆積される前に処理され たシリコン基板−Eに流される。WF6はレベル間部電体のS i Ozと比べ て相対的に不活性であるため、WF6は露出されたソース及びトレイン領域のS iと侵先的に反応し、これら領域上に形成されるW(固体)及び(反応チャンバ ーから排気されるガス)SiF4を以下の化学式を介して生成する。Aluminum spikes are aluminum and silicon saturated aluminum/silicon alloys, i.e., saturated with silicon at the highest temperatures experienced during manufacturing. It has been proposed that this can be prevented or prevented by replacing it. (This alloy is Increasing the silicon concentration gradient at the interface between the aluminum and the source and train drop and thus the diffusion of silicon from the source and train to the metal contact. make it impossible. ) Unfortunately, after high temperature processing, i.e. at room temperature, this The alloy becomes supersaturated with silicon, and this makes the (aluminum-topped) silicon This results in precipitation of condensate. As a result of this precipitation, -t n source and train (typically toped to a level of 1019C11-3 or higher) metal contacts to - exhibiting contact resistance of cra2 or higher, i.e. undesirably high contact resistance. This will provide resistance. (In contrast, contours to p+ sources and trains (The resistance is only equal to or greater than about 10 ohm-cm.) Aluminum spikes provide a barrier to aluminum and silicon interdiffusion. This prevents high-resistance contacts by applying There are suggestions to avoid this. Furthermore, as this barrier, tungsten (W) It has been proposed to use One reason for this proposal is that tungsten patterned using one of two low-pressure CVD (LP(:VD) techniques). without using a deposition mask and without the need for subsequent etching. This is because it is known to be selectively deposited on the source and train. No. According to technique No. 1, tungsten hexafluoride (WF6) is routed into the interlevel dielectric. After the hole is formed and before the aluminum is deposited into this channel hole, it is processed. It is poured onto the silicon substrate-E. WF6 is compared to S i Oz of inter-level electric body WF6 is relatively inactive in the exposed source and train regions. W (solid) and (reaction chamber) are formed on these areas. (gas exhausted from) SiF4 is produced via the following chemical formula.

2WF6 + 3Si→2W + 3SiF4(1)この反応式はソース及びト レインからのシリコンの除去(エウチング)を伴なうか、これは取るに足りない ものであると信じられてきた。更に、結果としてのタングステン層は、通常、約 15ナノメートル(nm)厚以下であると報告されており、従って、この層はア ルミニウムとシリコンの間の増動な拡散障壁としては薄すぎる。2WF6 + 3Si→2W + 3SiF4 (1) This reaction formula with removal of silicon from the rain (etching), which is negligible It was believed that it was a thing. Furthermore, the resulting tungsten layer typically has a thickness of approximately It has been reported that the layer is less than 15 nanometers (nm) thick, so this layer Too thin to act as an active diffusion barrier between aluminum and silicon.

タングステンを選択的に堆積するための第2の技術においては、WF6及びH2 の両方が処理されたシリコン基板を横切って流される(反応チャンバー内の全て のガスの全圧は従来は約1トンに保たれた)。最初に、WF6が露出されたソー ス及びトレインのSiと反応しく上に説明のような)Wの薄い層を形成する0次 に、堆積温度か約250℃以上、約6006C以下であることを条件に、露°出 されたソースとトレイン領域を覆うがレベル間誘電体のSiOは覆わないWかW F6とH2の間の化学反応を促す触媒として作用し、以下の化学式を介して(ソ ース及びトレイン上に形成される)追加のW及び11F(反応チャンバーから排 出されるガス)を生成する。In a second technique for selectively depositing tungsten, WF6 and H2 are flowed across the processed silicon substrate (all in the reaction chamber). The total pressure of the gas was previously kept at about 1 ton). First, the saw where WF6 was exposed. The zero-order reacts with the Si of the trains and trains to form a thin layer of W (as explained above). Exposure is performed under the condition that the deposition temperature is approximately 250°C or higher and approximately 6006°C or lower. W or W to cover the source and train regions but not the interlevel dielectric SiO. It acts as a catalyst to promote the chemical reaction between F6 and H2, and through the following chemical formula (so additional W (formed on the base and train) and 11F (exhausted from the reaction chamber). gas).

WF6+ 382−+ W + 511F (2)第2の技術は有効な拡散障壁 として機能するタングステンの充分に厚い層を与えるが、約1g−の深さを持つ (Wにて覆われた)p ソース及びトレイン領域へのアルミニウム コンタクト は高過ぎるコンタクト抵抗、つまり、約10 oh+*−cm2以上のコンタク ト抵抗を示すことか報告されている。(約1gmの深さを持つn ソース及びト レインへのコンタクト抵抗は約110−5oh −cm2以上或はこれに等しい 低い抵抗を持つことが報告されている)。WF6+ 382-+ W+ 511F (2) The second technique is an effective diffusion barrier gives a sufficiently thick layer of tungsten to act as Aluminum contacts to p source and train regions (covered with W) is a contact resistance that is too high, i.e. a contact of about 10 oh+*-cm2 or more. It has been reported that it shows some resistance. (N source and tip with a depth of approximately 1gm) The contact resistance to the rain is greater than or equal to approximately 110-5oh-cm2. (reported to have low resistance).

つまり、デバイス製造法の開発に携わる者のいまだに実現されてない問題として 、上の挙げた方法と関連する問題を回避てきる処理された或は処理されてない基 板上に金属含有材料を堆積するための技術の開発か残されている。In other words, as an unrealized problem for those involved in the development of device manufacturing methods, , treated or untreated bases that avoid the problems associated with the methods listed above. Techniques for depositing metal-containing materials onto plates remain to be developed.

&旦yΣ4豹 本発明は少なくとも2つの実体を処理された或は処理されてない基板の1つの領 域、複数の領域、或は全ての上に金属含有材料を形成する目的て反応させるステ ップを含むデバイス製造方法に関する。多くの場合において、この所望の反応は これら反応性実体の1つ(或は複数)と基板材料1例えば、(処理された或は処 理されない基板にみられる)半導体材料、(処理された基板上にみられる)金属 、或は(処理された基板上にみられる)S102との間の第2の反応を伴なう( この第2の反応か前にくる場合さえある)。重要なことは、この第2の反応が今 迄認識されなかった非常に望ましくない結果を生じることである。例えばソース 及びトレインのシリコン表面上にWを形成するために式(2)に与えられる反応 に従ってWF6とH2を反応させたい場合、WF6が必然的に式(1)に与えら れる反応に従ってシリコンと反応し、このためにソース及びトレインを部分的に 侵食する。この侵食は取るに足りないものと信じられてきたか、 n ソース及 びトレインの侵食の程度は、通常p ソース及びトレインの侵食の程度よりはる かに犬きいことか発見された。事実、約1gm以下の深さを持つn ソース及び トレインは、しばしば、激しく侵食され、場合によっては殆ど全部侵食されてし まうことが発見された。これに加えて、約1pm以下の深さをもつ(Wにて覆わ れた)−及びn ソース及びトレインへのアルミニウム コンタクトのコンタク ト抵抗は、これまて報告されてきた約1μm以上の深さをもつ(Wにて覆われた )p 及びn+ソース及びトレインへのコンタクト抵抗よりはるかに高いことか 発見された。例えば、約1井■以下の深さをもつ(Wにて覆われた)p ソース 及びトレインへのコンタクト抵抗は約5x 10 ohm −cm2以してある ことか発見された。これに加えて、約1μm以下の深さをもつく胃にて覆われた )n ソース及びコンタクト抵抗は約10 oh+m−cm2以上であることか 発見された。& DanyΣ4 Leopard The present invention provides at least two entities in one region of a treated or untreated substrate. A reaction step to form a metal-containing material over a region, multiple regions, or all regions. The present invention relates to a device manufacturing method including a chip. In many cases, this desired reaction is One (or more) of these reactive entities and the substrate material 1, e.g. Semiconductor materials (found on untreated substrates), metals (found on treated substrates) , or with a second reaction between S102 (found on the processed substrate) ( (It may even come before this second reaction). What is important is that this second reaction now This has very undesirable consequences that have not been recognized until now. For example source and the reaction given in equation (2) to form W on the silicon surface of the train If you want to react WF6 and H2 according to reacts with silicon according to the reaction that occurs, and for this purpose the source and train are partially erode. This erosion has been believed to be insignificant, or The extent of erosion of p sources and trains is usually much greater than that of p sources and trains. A crab dog was discovered. In fact, an n source with a depth of about 1 gm or less and Trains are often severely eroded, sometimes almost completely eroded. It was discovered that it can move. In addition, it has a depth of approximately 1 pm or less (covered with W). - and n Aluminum contacts to source and train The resistance has a depth of about 1 μm or more, which has been reported so far (covered with W). ) is much higher than the contact resistance to p and n+ sources and trains. It's been found. For example, a p source (covered with W) with a depth of about 1 well or less and the contact resistance to the train is approximately 5 x 10 ohm-cm2. Something was discovered. In addition to this, the stomach is covered with a depth of about 1 μm or less. )n Source and contact resistance must be approximately 10 oh+m-cm2 or more It's been found.

本発明によるデバイス製造方法は、先行技術による方法と第2の(望ましくない )反応と関連する悪影響を防ぐ、或はこの程度を大きく低減するための様々な技 術を含む点において異なる。つまり、基板材料と少なくとも2つの反応性実体の 1つ(或は複数)の間の(望ましくない)反応の速度をこの少なくとも2つの反 応性実体間の(要求される)反応の速度を大きく低減させることなく抑制するた めの技術が開発された。例えば、Wを形成するためにWF とHを反応させたい 場合、WF6とH2との間の反応速度を大きく落すことなく 1. W F 6 とSiの間の(望ましくない反応と関連する)反応速度を、例えば、望ましくな い反応の生成物の1つの濃度を増加すること、つまり S i F 、sの濃度 を(通常に起る濃度より)増加させることによって大きく低減することかてきる ことか発見された。この技術(及びその他)によってn 及びp+ソース及びト レインの両方の侵食か大きく低減される。この腐蝕の低減は約1fi1.11以 下の深さのn ソーストレインをもつデバイスにおいては特に重要である。更に 、全く予期されなかったことに、n 及びp ソース及びトレインの両方へのコ ンタクト抵抗も約110−6oh −0m2以下のレベルに大きく落ちるという 良い結果を与える。The device manufacturing method according to the invention differs from the prior art method and the second (undesirable) ) Various techniques can be used to prevent or significantly reduce the magnitude of adverse effects associated with reactions. They differ in that they include techniques. that is, the substrate material and at least two reactive entities. The rate of the (undesirable) reaction between one (or more) of the at least two reactions In order to suppress the rate of (required) reactions between reactive entities without significantly reducing them, A new technology has been developed. For example, we want to react WF and H to form W. In the case of 1. without significantly reducing the reaction rate between WF6 and H2. W F 6 and Si (associated with undesirable reactions), e.g. increasing the concentration of one of the products of a reaction, i.e. the concentration of S i F, s can be significantly reduced by increasing (above the normally occurring concentration) Something was discovered. With this technique (and others) n and p+ sources and Both erosion and rain are greatly reduced. This corrosion reduction is approximately 1fi1.11 or more. This is particularly important in devices with source trains of n depth. Furthermore , quite unexpectedly, the commands to both n and p sources and trains The contact resistance has also dropped significantly to a level below approximately 110-6oh -0m2. give good results.

図1μΣ隨皇ノ1.団 第1図から第7図は本発明によるデバイス製造法の1つの実施態様に含まれる様 々なステップを示し;そして第8図から第10図は先行技術による製造方法及び 本発明によるデバイス製造方法を用いて達成されるデバイス コンタクト抵抗を 示す。Figure 1 μΣ Emperor No. 1. group FIGS. 1 to 7 show diagrams included in one embodiment of the device manufacturing method according to the present invention. and FIGS. 8 to 10 illustrate the prior art manufacturing method and Device contact resistance achieved using the device manufacturing method according to the present invention show.

1胤鬼盈朋 本発明はデバイス、例えば、離散半導体デバイス、集積回路デバイス、及びバブ ル デバイス(bubble)を製造するための方法に関し、本方法は処理され た或は処理されてない基板の1つの領域、或は複数の領域、或は全体の上に金属 含有材料を形成するステップを含む。本発明は又本発明による方法から得られる デバイスに関する。1 Seed Oni Eitomo The invention relates to devices such as discrete semiconductor devices, integrated circuit devices, and bubbles. Regarding the method for manufacturing bubble devices, the method includes metal on one area, multiple areas, or the entire substrate that has not been processed. forming a containing material. The invention also results from the method according to the invention. Regarding devices.

金属含有材料の形成は、本発明によると、(基板材料以外の)少なくとも2つの 反応性実体を反応させることによって達成される。ここて、この実体の少なくと も1つはこの金属含有材料内に含まれるタイプの金属を含む。説明の如く、この 少なくとも2つの反応性実体間の反応は、多くの場合、この反応性実体の1つ( 或は複数)と基板材料の間の第2の反応(或は一連の反応)を伴なう。これもま た説明の如く、この第2の反応は、通常、以前は認識されてなかった極めて望ま しくない結果を与える。この望ましくない結果を回避するため、或は程度を大き く低減させるために、本発明によるデバイス製造方法においては、第2の望まし くない反応と関連する反応速度を落すための各種技術の1つ(或は複数)が用い られる。重要なことに、これら技術は2つの反応性実体間の反応速度か大きく低 下されないように選択される。(本発明の目的においては、この反応の大きな低 下は金属含有材料の形成の速度が約0.1n■/分以上であるとき回避できたも のとみなされる。) 上に挙げられた技術の幾つかは、従来使用されているのと異なる(反応チャンバ ー内のガスの)全圧を使用して、或は従来使用されているのと異なる反応温度を 使用しての望ましくない反応によって生成される 1つ或は複数の生成物の(通 常からの)変動、例えば、増加を伴なう。特定の状況においてどの技術を使用す るかは、コントロール サンプルを用いて決定すべきである。According to the invention, the formation of the metal-containing material comprises at least two (other than the substrate material) This is accomplished by reacting reactive entities. Here, at least this entity The other includes metals of the type contained within the metal-containing material. As explained, this A reaction between at least two reactive entities often involves one of the reactive entities ( a second reaction (or series of reactions) between the substrate material (or reactions) and the substrate material. This too As explained above, this second reaction is usually a previously unrecognized highly desirable phenomenon. give undesirable results. To avoid or increase this undesirable result, In order to reduce the One (or more) of various techniques may be used to slow down the reaction associated with the It will be done. Importantly, these techniques greatly reduce the rate of reaction between two reactive entities. Selected not to be lowered. (For the purposes of this invention, a large reduction in this reaction The problem below could be avoided when the rate of formation of the metal-containing material was about 0.1 n/min or higher. It is considered as ) Some of the techniques listed above are different from those traditionally used (reaction chamber using a different total pressure (of the gas in the chamber) or using a different reaction temperature than traditionally used. one or more products (generally (from normal) with a change, e.g. an increase. Which technology to use in a particular situation control samples should be used to determine whether the

−例として、上に説明の如く、MO5FETソース及びトレインのシリコン表面 上にWを形成するために1i9F6と112を反応させる場合、必然的にWF6 がシリコンとも反応してソース及びトレインを侵食する。n ソース及びトレイ ンの侵食の程度はp ソース及びトレインの侵食より非常に大きい。事実、上に 説明の如く、約1終■以下の深さをもつn ソース及びトレインは侵食が激しく 1通常殆ど全てか侵食される0本発明の目的においては、ソース或はトレインの 深さは元の基板表面に対する最小二乗補正平面近似値(least−squar es−fit planar apporoxi−mation)からソース或 はトレイン内のドーパント濃度が周囲基板内のドーパント濃度に等しい最も低い ポイント迄延びる垂直の延長の長さと定義される。このポイントは、例えば、S IMS分析、或は従来の接合着色(junctionstaining)技術に よって決定される。これら着色技術に関しては、例えば、W、E、ビードル(W 、E、Beadle)等によgrated C1rcuit Technolo gy)、ジョン ウィーリー アンド サンズ(John Wiley and  5ons %New York 、1985セクション5−9)を参照するこ と。- As an example, the silicon surface of the MO5FET source and train, as explained above. When reacting 1i9F6 and 112 to form W on top, inevitably WF6 also reacts with silicon and erodes the source and train. n Sauce and tray The extent of the erosion of the train is much larger than that of the p source and train. In fact, on As explained, n sources and trains with a depth of less than approximately 1 inch are severely eroded. 1 Usually almost all eroded 0 For purposes of this invention, the source or train The depth is a least-squares corrected plane approximation value (least-square) to the original substrate surface. es-fit planar apporoxi-mation) source or is the lowest dopant concentration in the train equal to the dopant concentration in the surrounding substrate It is defined as the length of the vertical extension extending to a point. This point is, for example, S IMS analysis or traditional junction staining techniques Therefore, it is determined. Regarding these coloring techniques, for example, W, E, Beadle (W , E., Beadle) etc. gy), John Wiley and Sons 5ons % New York, 1985 section 5-9). and.

更に前述の如く、WFsとSiの間の望ましくない反応に対する反応速度は、例 えば望ましくない反応の生成物の濃度、つまり、5IF4の濃度を(通常に発生 するより高く)増加させることによって簡単に落すことができ、従って、 n  ソース及びトレインの過剰エツチングを低減できることか発見された。S i  F 4 の濃度が増加するほど所望の効果か高くなる。但し、S iF 4 の 濃度を増加するとwF6と82との間の反応速度か落ちる傾向かある。従って、 本発明によると、91F6及びH2は反応チャンバーに、例えば、夫々l05C C!l (standard cubic centimeters perm inute)及び2000SCC1に’)流速にて流され、5IF4 は反応チ ャンバーに約1secmから約101005eの範囲の流速にて81との間の反 応速度の減少効果を殺すため望ましくない。約100sec+slu上ノSiF 4ノ流速はコレカwF6トH2ノ間の反応速度を大きく落すために望ましくない 。Furthermore, as mentioned above, the reaction rate for the undesired reaction between WFs and Si is For example, the concentration of undesired reaction products, i.e., the concentration of 5IF4 (normally occurring) can be easily dropped by increasing n It has been discovered that over-etching of sources and trains can be reduced. Si As the concentration of F4 increases, the desired effect increases. However, S iF 4 There is a tendency for the reaction rate between wF6 and 82 to decrease as the concentration increases. Therefore, According to the invention, 91F6 and H2 are added to the reaction chamber, e.g. C! l (standard cubic centimeters perm inute) and 2000SCC1 at a flow rate of 81 at a flow rate in the range of about 1 sec to about 101005e This is undesirable because it kills the response rate reduction effect. Approximately 100sec+slu upper SiF The flow rate of 4 is undesirable because it greatly reduces the reaction rate between Koreka wF6 and H2. .

又、反応チャンバー内の全圧を従来のレベルの133Pa(1トル)以上にあげ たときもWF6とSiの間の反応速度か落ちることか発見された。これに加えて 、従来の温度レンジの外側の反応温度の使用1例えば、約250度C以下の温度 及び約600度C以りの温度は同様の望ましい結果を与える。但し、約600度 C以上の温度はWの形成における選択性を落す。In addition, the total pressure inside the reaction chamber was raised above the conventional level of 133 Pa (1 Torr). It was also discovered that the reaction rate between WF6 and Si decreased. In addition to this , the use of reaction temperatures outside the conventional temperature range, e.g., temperatures below about 250 degrees C. and temperatures above about 600 degrees Celsius give similar desirable results. However, approximately 600 degrees Temperatures above C drop the selectivity in the formation of W.

上の説明の技術は、Wの形成において有効であるばかりでなく、広範囲の金属含 有材料の形成にも有功である。例えば、モリブデン、タンタル、チタニウム及び レニウム等の金属並びにこれらの対応するケイ化物は、これら金属のフッ化物或 は塩化物、例えば、M o F a、T a (l sTiα4、及びReF  6を還元剤、例えば、H2(金属を生成)或は5IH4(ケイ化物を生成)と反 応することによって簡単に生成される。前述のように、これら金属フッ化物或は 塩化物はケイ素と反応し、上に説明の望ましくない結果を与える傾向をもつ、但 し、これら望ましくない反応と関連する反応速度は上に説明の技術を用いて簡単 に落すことかできる。The technique described above is not only effective in forming W, but also applies to a wide range of metal-containing materials. It is also effective in forming materials. For example, molybdenum, tantalum, titanium and Metals such as rhenium and their corresponding silicides are is a chloride, for example, M o F a, T a (l sTiα4, and ReF 6 is reacted with a reducing agent, e.g. H2 (to produce metals) or 5IH4 (to produce silicides). can be easily generated by responding. As mentioned above, these metal fluorides or Chlorides tend to react with silicon and give the undesirable results described above, provided However, these undesirable reactions and associated reaction rates can be easily determined using the techniques described above. It is possible to drop it to

本発明を更に完全に理解するための学術的な側面として、以下ニ1つノn−チャ ネ71/MO3FETを含t?MO3IC1例えば、 n−チャネルMO3IG 或はCMO3ICの製造への本発明によるデバイス製造方法の応用が述べられる 。重要なこととして、このn−チャネルMO5FETは約1μm以下の深さをも つn ソース及びトレインを含む。更に、このMOSFETはソース及びトレイ ンの所でのアルミニウムとケイ素の相互拡散口nterdiffusion)を 防止或は低減するための拡散障壁、例えば、タングステン拡散障壁を含み、従っ て、アルミニウムかこれらソース及びトリ。インを通してスパイク(5pik) することを防ぐ。As an academic aspect for a more complete understanding of the present invention, the following two non-challenges may be helpful: Including 71/MO3FET? MO3IC1 For example, n-channel MO3IG Alternatively, the application of the device manufacturing method according to the present invention to the manufacturing of CMO3IC will be described. . Importantly, this n-channel MO5FET can be Includes source and train. Additionally, this MOSFET can be used for source and tray Aluminum and silicon interdiffusion (interdiffusion) at Diffusion barriers to prevent or reduce, including, for example, tungsten diffusion barriers, Aluminum or these sources and birds. Spike through In (5pik) prevent from doing.

第1図から第7図に示されるように、本発明によると、 n−チャネルMO3F ETを含むMOS IGはトープされた半導体材料20の層の表面上に薄いGO X 30と厚いFOX 40を形成することによって製造される0層20は半導 体材料の基板IOの表面活性層を構成する。MOS ICかn−チャネル及びp −チャネルMO3FETの両方を含むときは、基板10は必然的にp−タイプ及 びロータイブ バルク領域の両方を含む。以下の説明においては、n−チャネル MO5FETは、例えば、1016c11−3のドーピング レベルをもつp− タイプ バルク領域内に製造されるものと想定する。As shown in FIGS. 1 to 7, according to the present invention, n-channel MO3F MOS IG containing ET is a thin GO layer on the surface of a layer of toped semiconductor material 20. The 0 layer 20 manufactured by forming a thick FOX 40 with a semiconductor constitutes the surface active layer of the substrate IO of body material. MOS IC or n-channel and p - When containing both channel MO3FETs, the substrate 10 is necessarily of p-type and Includes both rotary and bulk regions. In the following description, n-channel The MO5FET is, for example, a p-FET with a doping level of 1016c11-3. Assume that the type is manufactured in the bulk area.

厚いFOX 40は層20の表面上のMOSFETか形成されるべきCOXて覆 われたGASAD(gate−and−source−and−drain)領 域50を分離する。例えば、活性層20かシリコンである場合は、GOX 30 及びFOX 40は、典型的には、夫’z S i 02 ノ薄い層及び厚い層 から構成される。FOX 40は、例えば、層20の表面を熱的に酸化すること によって形成される。層20の表面上のGASAD領域50を露出するためにF OX内に(従来の技術によって)窓を開けた後に、GOX 30か、例えば層2 0の表面を再び熱酸化することによって形成される。例えば、VLSI(ver y large 5cale integrated) MO5ICの場合は、 5iOz GOX 30の厚さは約1 Snmから約]00nmの範囲とされ、 好ましくは、約2On+iとされる。約lSnm以下の厚さのGOX 30はこ の薄い層は誘電ブレークダウン(dielectirc breakdown) を起こす危険があるために望ましくない。一方、約100n*より厚い場合は、 デバイス動作か不必要に高い電圧を要求するために望ましくない。The thick FOX 40 covers the MOSFET or COX to be formed on the surface of layer 20. GASAD (gate-and-source-and-drain) territory The region 50 is separated. For example, if the active layer 20 is silicon, GOX 30 and FOX 40 typically have thin and thick layers of It consists of For example, FOX 40 can thermally oxidize the surface of layer 20. formed by. F to expose GASAD region 50 on the surface of layer 20. After opening the window in the OX (by conventional techniques), the GOX 30 or e.g. layer 2 It is formed by thermally oxidizing the surface of 0 again. For example, VLSI (ver y large 5cale integrated) In the case of MO5IC, The thickness of 5iOz GOX 30 ranges from about 1 Snm to about ]00nm, Preferably, it is about 2On+i. GOX 30 with a thickness of about lSnm or less is The thin layer of dielectric breakdown This is undesirable due to the risk of causing On the other hand, if it is thicker than about 100n*, Undesirable because device operation requires unnecessarily high voltages.

MOS ICのS i Ozの厚さは約200nmから約80On11の範囲と され、好ましくは、約400nmとされる。約200nm以下の厚さはラナー( runners)に加えられた電圧か下側の半導体材料を反転させる恐れがある 。一方、約800nm以上の厚さはこのような厚い層ではその後の金属、例えば 、アルミニウムのよく順応した堆積が困難となることから望ましくない。The thickness of SiOz of MOS IC ranges from about 200nm to about 80On11. and preferably about 400 nm. Thicknesses of approximately 200 nm or less are lanner ( The voltage applied to the runners may reverse the underlying semiconductor material. . On the other hand, thicknesses of about 800 nm or more are such that such a thick layer is not suitable for subsequent metals, e.g. , is undesirable because it makes a well-compliant deposition of aluminum difficult.

GOX 30及びFOX 40が形成された後、ゲート材ネ1の層、例えば、ポ リシリコンの層かGOX並びにFOX上に堆積され、次に(従来の技術によって )ゲート60を形成するためにパターン化される。堆積されたゲート材料の厚さ 、従って、ゲートの厚さは、約200nmから約80[1nmの範囲とされ、好 ましくは、約600nsとされる。約20nnm以下の厚さはこのような薄い層 は望ましくない高いシート抵抗をもち、レベル間誘電体(1nterlevel  dielectric)を通しての経路孔(via holes)のエツチン グの際に過剰に侵食される危険かある。約800nm以上の厚さはこのような厚 い層をエツチングするとき実質的に垂直のゲート側壁を達成することか困難とな り望ましくない。After GOX 30 and FOX 40 are formed, a layer of gate material N1, e.g. A layer of silicon is deposited on GOX as well as FOX and then (by conventional techniques) ) is patterned to form gate 60. Deposited gate material thickness , Therefore, the gate thickness is preferably in the range of about 200 nm to about 80 [1 nm]. Preferably, it is about 600 ns. Such a thin layer with a thickness of about 20 nm or less has an undesirably high sheet resistance and an interlevel dielectric (1nterlevel Etching of via holes through dielectric There is a risk of excessive erosion during maintenance. This kind of thickness is about 800 nm or more. Achieving substantially vertical gate sidewalls can be difficult when etching thin layers. undesirable.

ゲート60を堆積マスクとして用いて、ゲートの反対側の活性層20内にドーパ ント(これは後にMOSFETのソース及びトレインを形成するために活性層2 0に拡散される)か注入される。n−チャネルMO3FETであり、活性層20 が、例えば、(p−タイプの)シリコンである場合は、有効な(n ソース及び トレインを形成するための)ドーパントとしては1例えば、リン、ヒ素、及びア ンチモンか含まれる。更に、これらドーパントの放射エネルギー (incid ent energies)は約10keVから300keVの範囲とされ、好 ましくは、約100keVとされる。約10keV以下のエネルギーは結果とし ての接合か浅くなりすぎるために望ましくない。300keV以上のエネルギー では結果としての接合が深くなりすぎる。つまり、拡散の後に1μm以上の深さ に達する。Using gate 60 as a deposition mask, dopants are deposited into active layer 20 on the opposite side of the gate. (This will later be applied to the active layer 2 to form the source and train of the MOSFET. 0) or injected. It is an n-channel MO3FET, and the active layer 20 is, for example, (p-type) silicon, then the effective (n-source and Examples of dopants (for forming trains) include phosphorus, arsenic, and arsenic. Contains a lot of things. Furthermore, the radiant energy of these dopants (incid ent energies) is in the range of approximately 10 keV to 300 keV, and is preferably Preferably, it is about 100 keV. Energy below about 10 keV is the result. This is undesirable because the joint is too shallow. Energy over 300keV In this case, the resulting bond becomes too deep. In other words, after diffusion, a depth of more than 1 μm reach.

MOS ICかp−チャネルMO5FETも含む場合、例えば、1110s I cかCMO3ICである場合は、基板10は約1016C11−3の典型的なド ーパント レベルをもつ(その中にp−チャネルMO3FETか形成される)n −タイプ バルク領域も含む。後にp−チャネルMO5FETのソース及びトレ インを形成するためにロータイブ バルク領域、例えば、n−タイプ シリコン の活性層内に注入される有効なp−タイプ ドーパントとしては、ホウ素、アル ミニウム、及びガリウムか含まれる。これらドーパントの放射エネルギーは、通 常、上記のレベルと同一とされる。If the MOS IC also includes a p-channel MO5FET, for example, 1110s I If it is a CMO3IC, the substrate 10 is a typical driver of about 1016C11-3. - with a punt level (in which a p-channel MO3FET is formed) -Type Includes bulk area. Later, the source and trench of the p-channel MO5FET low type bulk region to form an in, e.g. n-type silicon Effective p-type dopants to be implanted into the active layer of Includes minium, and gallium. The radiant energy of these dopants is Usually the same level as above.

レベル間誘電体70か次にFOX 40 、ゲート60、並びにGASAI域5 0のドーピングされた部分の上に堆積される。レベル間誘電体70としては、例 えば、Sin、 −P2O5或は5in2− P2O5−B2O3か含まれるか 、これら材料は従来のCVD技術を用いて簡単に堆積てきる。レベル間誘電体7 0の厚さは約172終■から約2gmの範囲とされ、好ましくは、約1uL+* とされる。約172μm以下の厚さはこのような薄い層では絶縁が不十分となる ために望ましくない。約2wl11以上の厚さては、このような厚い層てはその 後の金属化において段のカバーがうまくてきなくなる。Interlevel dielectric 70 then FOX 40, gate 60, and GASAI area 5 0 doped portion. Examples of the interlevel dielectric 70 include For example, does it include Sin, -P2O5 or 5in2- P2O5-B2O3? , these materials are easily deposited using conventional CVD techniques. Interlevel dielectric 7 The thickness of 0 ranges from about 172 mm to about 2 gm, preferably about 1 uL+* It is said that If the thickness is less than approximately 172 μm, such a thin layer will not provide sufficient insulation. undesirable for. With a thickness of about 2wl11 or more, such a thick layer During subsequent metallization, the step cover will not work properly.

堆積されたレベル間誘電体70の上側面は、典型的には平坦てはなく(これは、 通常、後の処理において問題となる)。レベル間誘電体70の流動性を導き出し 、従って、表面の平坦性を達成するため、並びに注入されたドーパントを活性層 20内に駆り立てソース80及びトレイン90を形成するために、基板が約85 0度Cから約1100度Cの範囲の温度で、約1時間から約2時間の範囲の対応 する期間だけ加熱される。約850度C以下の温度、及び約1時間以下の加熱時 間は、ガラスの流れる量が小さくなりすぎるため望ましくない。一方、約110 0度C以上の温度、及び約2時間以上の加熱時間では、望ましくない深い接合が 形成される虞れかある。The top side of the deposited interlevel dielectric 70 is typically not flat (this is due to (usually a problem in later processing). Deriving the fluidity of the interlevel dielectric 70 , therefore, to achieve surface flatness as well as the implanted dopants in the active layer 20 to form the source 80 and train 90. Approximately 1 hour to 2 hours at temperatures ranging from 0 degrees C to approximately 1100 degrees C. It is heated only for a certain period of time. When heated at a temperature of about 850 degrees C or less and for about 1 hour or less This is not desirable because the amount of glass flowing is too small. On the other hand, about 110 Temperatures above 0°C and heating times longer than approximately 2 hours may result in undesirable deep bonding. There is a risk that it will form.

ソース及びトレインの形成の後、レベル間詰電体が夫々ソース、トレイン及びゲ ートへの経路孔100、110、及び120を開けるために(従来の技術を用い て)パターン化される。ソース、トレイン及びゲートへの(後に形成されるべき )電気コンタクトとしてアルミニウムを用い、基板IOかシリコンである場合は 、個々のソース及びトレインの上にアルミニウムとシリコンの相互拡散を防ぐた めの障壁(130,140)が形成される。同時に、ゲート60上に層150か 形成される。拡散障壁は、例えば、タングステンの領域を含む。別の方法として 、この障壁はチタニウム、タンタル、モリブデン或はレニウムの領域を含むこと もてきる。拡散障壁の厚さは約30nmから約150nmの範囲とされ、好まし くは、約1100nとされる。約30n■以下の厚さは、このような薄い領域は 弱すぎる拡散領域を与えるために望ましくない。約150nm以上の厚さては、 タングステンの形成における選択性を失うこととなる。After the formation of the source and train, the interlevel electric current is applied to the source, train, and gate, respectively. to drill passage holes 100, 110, and 120 (using conventional techniques). pattern). source, train and gate (to be formed after ) If aluminum is used as the electrical contact and the substrate IO or silicon , over each source and train to prevent aluminum and silicon interdiffusion. A barrier (130, 140) is formed. At the same time, a layer 150 is formed on the gate 60. It is formed. The diffusion barrier includes, for example, a region of tungsten. as an alternative , this barrier may contain regions of titanium, tantalum, molybdenum or rhenium. I can bring it. The thickness of the diffusion barrier is preferably in the range of about 30 nm to about 150 nm. In other words, it is about 1100n. Thicknesses of approximately 30n or less are required for such thin areas. Undesirable as it gives a diffusion area that is too weak. For thicknesses of about 150 nm or more, Selectivity in the formation of tungsten will be lost.

拡散障壁130及び140かタングステンの場合、有効の厚さのタングステンか WF6とH2を反応させることによってソース及びトレイン上に簡単に選択的に 形成される。If the diffusion barriers 130 and 140 are tungsten, the effective thickness of tungsten By reacting WF6 and H2, it can be easily and selectively applied to the source and train. It is formed.

更に、 n ソース及びトレインの過剰のエツチングを抑えるために、過多のS iFか導入される。WF6の流速は約1SCC[lから約30secmの範囲と され、好ましくは、約1105ecIとされる。約4sccrn以下の流速では 、タングステンの形成速度が遅くなりすぎる。一方、約30sec+1以上の流 速ては、タングステンを形成するのに使用される装置の腐蝕か激しくなり望まし くない。Furthermore, in order to suppress excessive etching of n sources and trains, excessive S iF will be introduced. The flow rate of WF6 is in the range of about 1 SCC [l to about 30 sec. and preferably about 1105ecI. At flow rates below about 4 sccrn , the tungsten formation rate becomes too slow. On the other hand, a flow of about 30 sec + 1 or more The sooner the equipment used to form the tungsten becomes more corroded, the less desirable it will be. Not.

H2の流速は約101005eから約5000secmの範囲とされ、好ましく は、約2000sec+oとされる。約100SCC11以下の流速は過剰のシ リコン侵食を起こすため望ましくない。約5000sec+a以上の流速ては望 ましくない高い全圧となる。The flow rate of H2 is in the range of about 101005e to about 5000 seconds, preferably is approximately 2000 sec+o. Flow rates below about 100 SCC11 indicate excessive syringe. This is undesirable because it causes recon erosion. A flow rate of approximately 5000 sec+a or higher is desirable. This results in an undesirably high total pressure.

S i O、sの流速はlsecmから約100sec+eの範囲とされ、望ま しくは、約20scc層とされる。約1secm以下及び約100sCCI1以 上の流速は上記の理由て望ましくない。The flow rate of S Preferably, the number of layers is about 20 scc. Approximately 1sec or less and approximately 100sCCI1 or more Flow rates above are undesirable for the reasons stated above.

選択的形成において用いられるガスの全圧は約13Pa(100ミリトル)から 約267Pa (2)ル)の範囲とされ、好ましくは、約133Pa(1トル) とさる。これに加えて、反応温度は約250度Cから約500度Cの範囲とされ 、望ましくは、約300度C或は約550度Cとされる。The total pressure of the gases used in selective formation ranges from about 13 Pa (100 mTorr) to The range is approximately 267 Pa (2) Torr, preferably approximately 133 Pa (1 Torr). Saru. In addition to this, the reaction temperature ranges from about 250 degrees C to about 500 degrees C. , preferably about 300 degrees Celsius or about 550 degrees Celsius.

約13Pa (100ミリトル)以下の全圧、及び約250度C以下の反応温度 は、低いタングステン形成速度を与えるために望ましくない。約267Pa ( 2トル)以上の全圧はタングステンの気相核形成を与え、ソース及びトレインの 表面上への核形成を与えないために望ましくない、約600度C以上の反応温度 では、タングステン形成における選択性か失われる。Total pressure of about 13 Pa (100 millitorr) or less and reaction temperature of about 250 degrees C or less is undesirable as it gives a low tungsten formation rate. Approximately 267 Pa ( Total pressures above 2 Torr) provide gas-phase nucleation of tungsten and Reaction temperatures above about 600 degrees Celsius, which are undesirable because they do not give rise to nucleation on the surface. In this case, selectivity in tungsten formation is lost.

拡散障壁130及び140の形成の後に、金属の層160、例えば、アルミニウ ム層かレベル間誘電体70上、並びにソース、トレイン及びゲートに通しる経路 孔内に堆積される。層160の厚さは約1/2gmから約2gysの範囲とされ る。約1/2 p−rrr以下の厚さは望ましくない高いシート抵抗を与える、 約2p、ra以上の厚さては、このような厚い層てはこの層のパターン化の際に 実質的に垂直の側壁か達成できなくなる。堆積に続いて、金属コンタクトバット に終端する相互接続金属ラナーを形成するために金属層160がパターン化(図 示なし)、例えば、選択反応性イオン エツチングされる。こうして処理された 基板か次に、反応性イオン エツチングの際に発生した放射損傷を除去するため に、例えば、450度Cの温度にて、約1時間焼なましされる。After the formation of diffusion barriers 130 and 140, a layer of metal 160, e.g. on the layer or interlevel dielectric 70 and through the sources, trains and gates. deposited in the pores. The thickness of layer 160 ranges from about 1/2 gm to about 2 gys. Ru. Thicknesses below about 1/2 p-rrr give undesirably high sheet resistance. With a thickness of approximately 2p, ra or more, such thick layers may be difficult to pattern when patterning this layer. Substantially vertical sidewalls become unattainable. Following the deposition, metal contact batts The metal layer 160 is patterned to form interconnect metal runners terminating in the (not shown), e.g. selective reactive ion etching. This is how it was processed The substrate is then subjected to reactive ion etching to remove radiation damage caused during etching. For example, the material is annealed at a temperature of 450 degrees Celsius for about one hour.

MOS IGか一連の従来のステップによって完成されるか、これらステップに は、典型的には、従来の技術であるプラズマ促進CVDによって、湿気及び物理 的な損傷から守るための障壁を形成するためにシリコン窒化物層かIC上に堆積 される。MOS IG or completed by a series of conventional steps or these steps The moisture and physical A silicon nitride layer is deposited on the IC to form a barrier to protect it from physical damage. be done.

上に説明のMOS ICは、従来のMOS ICと拡散障壁の形成か実質的に侵 食のないn ソース及びトレインを与える点で異なる。(本発明の目的において は、ソース或はトレインは、元の基板表面の最小二乗補正平面近似値から拡散障 壁とソース或はトレインの間の界面の最も低いポイントまでの垂直延長か約30 nm以下であるときに実質的に侵食を受けないと見なされる)。これに加えて、 全く予想されなかったことであるか、 n−チャネルMO3FETのソース及び トレインを含むこのICの全てのソース及びトロ レインへの金属コンタクトは、約10 ohm−cm2以下、典型的には約5x  10 oh+i −cm2以下のコンタクト抵抗を示す0重要なことに、この 予期しなかった低いコンタクト抵抗は熱的に安定、つまり、上に説明のような従 来の焼きなまし手順によって実質的に影響を受けないことである。このため、結 果としてのコンタクト抵抗は熱に安定てあり、然もこれまで達成か可能であった レベルよりもかなり低くなる。(本発明の目的においては、ソース或はトレイン へのコンタクト抵抗はソース或はトレインへのコンタクト抵抗Rcとソース或は トレインの上側表面の面積Aの積とされる。前者はソース或はトレインを含むデ バイス基板の領域を横断しての電流−電圧(1−V)曲線を測定することによっ て簡単に決定てきる。The MOS IC described above differs from the conventional MOS IC by forming or substantially invasive diffusion barriers. It differs in that it provides non-food n sauce and train. (For the purposes of this invention The source or train is a diffusion barrier derived from a least-squares corrected plane approximation of the original substrate surface. The vertical extension to the lowest point of the interface between the wall and the source or train is approximately 30 nm or less). In addition to this, What was completely unexpected was that the source of the n-channel MO3FET and All sources and trolleys of this IC including train The metal contact to the rain is about 10 ohm-cm2 or less, typically about 5x Importantly, this The unexpectedly low contact resistance is due to thermal stability, i.e. It is substantially unaffected by conventional annealing procedures. For this reason, the conclusion The resulting contact resistance is stable against heat, something that has never been possible until now. much lower than the level. (For the purposes of this invention, source or train The contact resistance to the source or train is the contact resistance Rc to the source or train, and the contact resistance Rc to the source or train. It is taken as the product of the area A of the upper surface of the train. The former is a data containing a source or a train. By measuring the current-voltage (1-V) curve across the area of the device board. You can easily decide.

上側表面の面積へとソース或はトレインの深さ4か次に従来の技術、例えば、走 査電子顕微鏡、伝送電子マイクロスコピー、或は二次イオン マス スペクトロ スコピーを用いて測定される。次に、A及び4の測定値に基づいて、ソース或は トレインに対する理想曲線1−Vが、例えば、 S、M、 、:C,スゼ(S、 M、5ze)、 デバイスの埋(Physics of 5esiconduc tor Devices) 、ジョンウィリー アント サンズ(John W iley and 5ons、 N。The depth of the source or train to the area of the upper surface is 4 or more, then using conventional techniques, e.g. Scanning electron microscope, transmission electron microscopy, or secondary ion mass spectrometry Measured using a microscope. Next, based on the measurements of A and 4, the source or If the ideal curve 1-V for the train is, for example, S, M, , :C, Suze(S, M, 5ze), Device embedding (Physics of 5esiconduc) tor Devices), John Willie Ant Sands (John W iley and 5ons, N.

Y、)、第2版、ベージ304に示される方法から計算される。典型的には、測 定されるI−V曲線は理論的なI−■曲線から一定量たけずれる0重要なことは 、 Reがこのずれと一定の関係をもっことである。つまり、Rc=ムV/lに よって表わされる。ここで、△Vは固定の電流■に対する2つのI−V曲線の間 の電圧値の差を表わす。Y, ), 2nd edition, page 304. Typically, the measurement The determined I-V curve deviates from the theoretical I-■ curve by a certain amount.The important thing is that , Re has a certain relationship with this deviation. In other words, Rc=muV/l Therefore, it is expressed as Here, △V is between the two I-V curves for a fixed current ■ represents the difference in voltage values.

別の方法として、Rcはソース或はトレイン間に順方向のバイアス電圧を加え、 これを増加してゆき、これに対応するdV/旧、つまり加えられた電圧の結果と してのソース/トレイン電流に対する導関数を測定することによって簡単に決定 てきる。コンタクト抵抗は飽和状態、つまり、dV/diか順バイアスの増加と ともに変動することを止めた時点のdV/cl+に等しい。Alternatively, Rc applies a forward bias voltage between the sources or trains; As we increase this, we get the corresponding dV/old, the result of the applied voltage. easily determined by measuring the derivative with respect to the source/train current I'll come. The contact resistance is saturated, i.e. with increasing dV/di or forward bias. It is equal to dV/cl+ at the time when both stopped changing.

本発明に必須ではないか、上のMOS ICは、好ましくは上に説明のように拡 散障壁を形成する前にソース或はトレイン上に金属ケイ化物の領域、つまり、コ バルト ケイ化物、チタン ケイ化物、プラチナ ケイ化物、或はモリフデン  ケイ化物を含むように製造される。これら金属ケイ化物領域は、結果としてロー チャネルMO3FETのソース及びトレインを含むMOS ICのソース及びト レインへの熱に安定のコンタクト抵抗を与えるために重要である。こうして得ら れるコンタクト抵抗は、上に説明の値より更に低くなる。つまり、約10 oh m−cm2以下、更には約10 ohm−cm2以下となる。金属ケイ化物の厚 さは約30nmから約1100nの範囲とされる。約:lOnm以下の厚さは、 この程度の薄い層は、通常上側の金属か基板に浸透し結果としてコンタクト抵抗 を増加させることを防止てきないため不適当である。約1100n以上の厚さは 、このように厚い層はケイ化物の形成の際に不当に多量の基板材料を消費するた めに適当でない。Although not essential to the invention, the above MOS IC is preferably expanded as described above. A region of metal silicide, i.e. core, is placed on the source or train before forming the diffusion barrier. Baltic silicide, titanium silicide, platinum silicide, or molyfden Manufactured to contain silicides. These metal silicide regions result in low Source and train of MOS IC including source and train of channel MO3FET This is important for providing stable contact resistance to heat to the rain. obtained in this way The resulting contact resistance will be even lower than the values discussed above. That is, about 10 oh m-cm2 or less, and further, about 10 ohm-cm2 or less. metal silicide thickness The thickness is in the range of about 30 nm to about 1100 nm. Thickness less than approximately: lOnm, A layer this thin usually penetrates into the overlying metal or substrate, resulting in contact resistance. This is inappropriate because it does not prevent the increase in Thickness of approximately 1100n or more , such a thick layer consumes an unreasonably large amount of substrate material during silicide formation. It is not appropriate for this purpose.

好ましくは、金属ケイ化物領域は、対応する純粋の金属をレベル間詰重体内の経 路孔に堆積、つまり、rf−スパッタリングし、次に不活性雰囲気、例えば、ア ルゴン内て焼結し、こうして金属をソース及びトレインのシリコンと反応させる ことによって形成される。堆積される金属の厚さは約15nmから約50nmの 範囲とされる。約15n■以下の厚さ及び約50nm以上の厚さは上に説明の範 囲から外れる厚さの金属ケイ化物を与えるために望ましくない。適当な焼結温度 、及び対応する焼結時間は、約300度Cにて約1時間から約1000度Cにて 約1時間の範囲である。約300度C以下の焼結温度、及び約1時間以下の焼結 時間は、金属とシリコンとの間の反応か不完全となるために適当てない。約11 00nC以上の焼結温度、及び約1時間以上の焼結時間は、金属ケイ化物内の金 属とケイ素と二酸化ケイ素の両方の間の望ましくない反応を引き起こすために適 当でない。Preferably, the metal silicide region has a corresponding pure metal level within the solid body. Depositing, i.e., rf-sputtering, into the via holes and then exposing to an inert atmosphere, e.g. sintering in the Rougon, thus causing the metal to react with the source and train silicon. formed by The thickness of the deposited metal is about 15 nm to about 50 nm. range. Thicknesses of approximately 15 nm or less and approximately 50 nm or more are within the scope of the above description. This is undesirable because it gives the metal silicide a thickness that is out of range. Appropriate sintering temperature , and the corresponding sintering times range from about 1 hour at about 300 degrees C to about 1000 degrees C. The duration is approximately 1 hour. Sintering temperature of about 300 degrees C or less and sintering of about 1 hour or less The time is not suitable as the reaction between the metal and silicon will be incomplete. Approximately 11 A sintering temperature of 00 nC or more and a sintering time of about 1 hour or more will reduce the amount of gold in the metal silicide. suitable for causing undesirable reactions between both silicon and silicon dioxide. Not true.

上に説明の金属ケイ化物領域を形成するための焼結手順を使用すると、ソース及 びトケインの幾らかの侵食が起る。但し、この侵食は、通常、WF6とソース及 びトレインのシリコンとの間の望ましくない反応によって生成される侵食に比べ て小さい。Using the sintering procedure described above to form metal silicide regions, the source and Some erosion of and cane occurs. However, this erosion usually occurs between WF6 and the source. compared to erosion produced by undesirable reactions between silicon and train. It's small.

重要なことに、この金属ケイ化物領域は、多孔性であり、従って、反応性実体、 例えば、WF6かソース及びトレインのケイ素と反応し、これを侵食することを 許す。Importantly, this metal silicide region is porous and therefore free of reactive entities, For example, WF6 can react with and attack silicon in the source and train. forgive.

更に、WF6は溶は出し、金属ケイ化物のケイ素と反応する傾向をもつ。このた め、上に説明の本発明による方法が金属ケイ化物の侵食を防ぎ、実質的に侵食の ないソース及びトレインを達成するために必須となる。(本発明の目的において は、拡散障壁及び金属ケイ化物てカバーされたソース或はトレインは、特定の仮 想平面から金属ケイ化物とソース或はトレインの間の界面の最も低いポイント化 の延長の長さか約30nm以下であるとき実質的に侵食かないとみなされる。こ の仮想平面は元の基板表面に対する最小二乗補正近似平面より下(基板内)にこ れに平行に位置される。これに加え、この2つの平面間に延びる垂直の長さは金 属ケイ化物を形成するのに消費されたシリコンの対応する均一な層の厚さに等し い。Additionally, WF6 has a tendency to leach and react with silicon in metal silicides. others Therefore, the method according to the invention described above prevents the attack of metal silicides and substantially eliminates the attack. No source and train is required to achieve this. (For the purposes of this invention The diffusion barrier and the metal silicide covered source or train are Lowest point of interface between metal silicide and source or train from imaginary plane Substantially no erosion is considered when the length of the extension is less than about 30 nm. child The virtual plane of is below (within the substrate) the least squares correction approximation plane to the original substrate surface. located parallel to this. In addition to this, the vertical length extending between these two planes is equal to the thickness of the corresponding uniform layer of silicon consumed to form the silicide stomach.

この厚さは金属ケイ化物内の金属の量から簡単に推測てき、これは、例えば、従 来のラザフオート バッタ散乱(Rutherford Back−5catt ering)技術を用いて筒単に決定できる。金属ケイ化物を形成するのに用い られたケイ素源かソース或はトレインでない場合は、仮想平面は元の基板表面に 対する最小二乗補正平面近似値と同一となる。) 例 反対の導電タイプの2つのグループのシリコン ウェーハか以下のように処理さ れた。ここて、グループエと呼ばれる最初のクループは20−100Ωcaの抵 抗を示す25個のp−タイプ ウェーハを含み、ここて、グループ■と呼ばれる 第2のグループは、10−20Ωの抵抗を示す25個のn−タイプ ウェーハか ら構成された。This thickness can be easily inferred from the amount of metal within the metal silicide, which can be determined by e.g. Rutherford Back-5catt It can be easily determined using the ering technique. used to form metal silicides If the source or train is not a silicon source or train, the virtual plane is on the original substrate surface. It is the same as the least squares corrected plane approximation value for. ) example Two groups of silicon wafers of opposite conductivity types were processed as follows. It was. Here, the first croup, called groupe, has a resistance of 20-100Ωca. Contains 25 p-type wafers exhibiting resistance, here referred to as group ■ The second group consisted of 25 n-type wafers exhibiting a resistance of 10-20Ω. It was composed of

最初に、約1On−の厚さをもつ二酸化ケイ素層か個々ののウェーハ上に熱的に 成長された0次に相対的に高濃度にドープされたn−タイプのバルク領域かグル ープエのウェーハ内に形成され、そして相対的に高濃度にトープされたn−タイ プのバルク領域かクループHのウェーハ内に形成され、CMOSデバイス内に採 用されるp−タブ及びn−タブか模擬された。これはホウ素イオンをグルー7’  I (1) ウx−ハニ注入L/ (30keV、4X 1012cm−2)  、 ’)ン イオンをグループHのウェーハに注入(100keV、2×10 12c111−2)シ、次ニウx−ハ’j: 11flO度Cニア約2時間加熱 してウェーハ内に拡散させることによって達成された。First, a silicon dioxide layer with a thickness of approximately 1 On- is thermally deposited onto an individual wafer. The grown zero-order relatively heavily doped n-type bulk region or group n-ties formed in a wafer with a relatively high concentration of doping It is formed in the bulk region of the group H or within the wafer of the group H and is incorporated into the CMOS device. The p-tab and n-tab used were simulated. This glues boron ions 7' I (1) Ux-honey injection L/ (30keV, 4X 1012cm-2) , ') ions were implanted into group H wafers (100 keV, 2 x 10 12c111-2) shi, next niu x-ha’j: Heating at 11flO degrees C for about 2 hours This was achieved by diffusing it into the wafer.

約120no+の厚さをもつ窒化ケイ素の層か従来のLPGVD技術を用いてグ ループI及びグループ■の個々のウェーハ上に堆積された。個々のウェーハ上の 窒化ケイ素層か次にCHF3及び02の雰囲気内て選択反応性イオン蝕刻された 。約600nmの厚さをもつフィールド酸化物(FOX)の個々のウェーハの結 果としての露出された表面領域上に熱的に成長された。ケイ化窒化物を除去した 後に、約25n■の厚さをもつゲート酸化物(GOX)が窒化ケイ素によって前 に覆われた表面領域上の個々のウェーハ上に熱的に成長された。A layer of silicon nitride with a thickness of approximately 120 no+ is deposited using conventional LPGVD techniques. Loop I and Group II were deposited on individual wafers. on individual wafers The silicon nitride layer was then selectively reactive ion etched in an atmosphere of CHF3 and 02. . Deposition of individual wafers of field oxide (FOX) with a thickness of approximately 600 nm. Thermal growth was performed on the exposed surface area as a result. Removed silicide nitride Later, a gate oxide (GOX) with a thickness of about 25 nm is pre-layered with silicon nitride. was thermally grown on individual wafers with surface areas covered by .

結果としてウェーハ内のソース及びトレイン領域となるものを最糾的に形成する ために、グループエのウェーハはaxio C1l から ix 1016cm −2の範囲の様々なドーズ レベルて100keVヒ素(n−タイプ ドーパン ト)の注入か施された。ヒ素はGOXにて覆われた領域は通過I7たが、 FO Xにて覆われた領域には到達しなかった。同様に、クループHのウェーハに8x  101科層−2から 1×1016clI−2の範囲の様々なドーズ レベル にて50keVホウ素−二フフ化物(p−タイプ ドーパント)の注入か行なわ れた。The ultimate formation of what will result in source and train regions within the wafer Therefore, Groupe's wafer is from axio C1l to ix 1016cm -100 keV arsenic (n-type dopant) at various dose levels in the range of -2 injection). Arsenic passed through the area covered by GOX, but FO The area covered by X was not reached. Similarly, 8x Various dose levels ranging from 101 family layer-2 to 1 x 1016clI-2 50 keV boron-difluoride (p-type dopant) implantation was performed at It was.

ドープされない二酸化ケイ素の200nm層から成るレベル間誘電体か従来のL  P G V D技術を用いて個々のウェーハ上に堆積された。(レベル間誘電 体はp 領域をリン、つまり、 n−タイプ ドーパントて汚すのを避けるため にトープされなかった。)このレベル間誘電体か次にウェーハを9圓度Cにて3 0分間加熱することによっ゛C密圧された。これに加えて、ウェーハを950度 Cにて約60分間加熱することによって、レベル間誘電体がこの上側表面を平坦 にするために波され、ヒ素及びリンの注入か活性化され、ソース/トレイン領域 を形成するようにウェーハ内に拡散された。Interlevel dielectric consisting of a 200 nm layer of undoped silicon dioxide or conventional L Deposited on individual wafers using PGVD technology. (interlevel dielectric The body avoids contaminating the p-region with phosphorus, or n-type dopants. was not toped. ) This interlevel dielectric is then heated at 9° C. By heating for 0 minutes, it was sealed to a "C" pressure. In addition to this, the wafer is held at 950 degrees. The interlevel dielectric flattens this upper surface by heating for approximately 60 minutes at The source/train region is waved and activated with arsenic and phosphorous implants to was diffused into the wafer to form a .

レベル間誘電体かHFを用いてソース/トレイン領域・\の経路孔(via h ole)を形成するために選択的に湿式エツチングされた。(反応性イオン エ ツチングはこのエツチングによるシリコンの侵食を回避するために敢て用いられ なかった。)この経路孔は(異方反応性イオンエツチングを用いて達成されるよ うな) 1.25 gm長の辺をもっ正方形となるように意図されたが、HFに よって与えられる等方エツチングは経路孔を2.21L−という長い辺をもつ正 方形に広げる傾向をもった。Use interlevel dielectric or HF to connect source/train region/\ via hole. selectively wet etched to form an ole. (Reactive ion Tetching was intentionally used to avoid the erosion of silicon caused by this etching. There wasn't. ) This pathway hole is achieved using anisotropic reactive ion etching ( It was intended to be a square with sides of 1.25 gm length, but in HF Therefore, the given isotropic etching creates a positive hole with a long side of 2.21L-. They tend to spread out in a square shape.

処理されたウェーハが3つのカテゴリー(カテゴリーエ、■及びm)に分けられ た。カテゴリーl及びIIは、夫々、様々なヒ素注入レベルをもつp−タイプ( グループI)及び様々なホウ素工フッ化物注入レベルをもつn−タイプ(グルー プ■)ウェーハを含み、カテゴリー■は]つのp−タイプ ウェーハ及び1つの n−タイプウェーハを含んだ。Processed wafers are divided into three categories (categories E, ■ and M). Ta. Categories I and II are p-type ( Group I) and n-type (Group Category ■ includes two p-type wafers and one p-type wafer. Contains n-type wafers.

タングステンの層が2つのステップからなるプロセスを用いてカテゴリーエのウ ェーハのソース/トレイン領域上に選択的に堆積された。つまり、約l5n−の 厚さをもつタングステンの膜か、最初にWF6をウェーッ1を横切って、例えば 、290度Cの温度にて約1分間流すことによってカテゴリーエのウェーハ上に (式(1)に与えられる反応を介して)選択的に堆積された。堆積チャンバー内 の厚は400Pa(3)−ル)とされた、 WF6の分圧は0.67Pa(5ミ リトル)であり、アルゴンの分圧が全圧の残りの圧力を構成した0次に、約50 nmの厚さをもつタングステンの追加の層がWF 及びH2をウェーハを横切っ て、例えば290度Cの温度にて約15分間流すことによって(式(2)に与え られる反応を介して)選択的に堆積された。堆積チャンバー内の全圧は 186 .7Pa(1,4)ル)とされ、WF の分圧は1jPa(10ミリトル)てあ り、H2の分圧がこの全圧の残りを構成した。A layer of tungsten is applied to the category layer using a two-step process. selectively deposited on the source/train region of the wafer. That is, about l5n- A thick tungsten film or WF6 is first passed across the wafer 1, e.g. , onto a categorical wafer by flowing for about 1 minute at a temperature of 290 degrees Celsius. selectively deposited (via the reaction given in equation (1)). Inside the deposition chamber The thickness of the WF6 was 400 Pa (3) mil), and the partial pressure of WF6 was 0.67 Pa (5 mil). (little) and the partial pressure of argon made up the remainder of the total pressure, approximately 50 An additional layer of tungsten with a thickness of For example, by flowing the water at a temperature of 290 degrees C for about 15 minutes (given in equation (2)), selectively deposited (through a chemical reaction). The total pressure inside the deposition chamber is 186 .. The partial pressure of WF is 1jPa (10 millitorr). The partial pressure of H2 made up the remainder of this total pressure.

厚さ約50ローのタングステンの層は、温度290度Cて、約15分間ウェーハ を横切ってWF6. H2及びS iF t、を流すことによりカテゴリーHの ウェーハのソース/トレイン領域上に選択的に堆積された。堆積チャンバー内の 全圧は1891Pa(1,42)ル)であり、 WF6の分圧は1.3Pa(1 0ミリトル)てあり、S + F 4の分圧は6.7Pa(20ミリトル)であ りそして、H2の分圧はこの全圧の残りを構成した。A layer of tungsten approximately 50 rows thick was heated on the wafer for approximately 15 minutes at a temperature of 290 degrees Celsius. Across WF6. Category H by flowing H2 and S iF t. selectively deposited on the source/train region of the wafer. in the deposition chamber The total pressure is 1891 Pa (1,42), and the partial pressure of WF6 is 1.3 Pa (1,42) 0 mTorr), and the partial pressure of S + F4 is 6.7 Pa (20 mTorr). The partial pressure of H2 then made up the remainder of this total pressure.

カテゴリーmのウェーハの処理は、カテゴリー■のウェーハの処理とタングステ ンの形成の前にソース/ドレイン領域上にケイ化白金(platinum 5i liside)の層か形成された点のみ異なる。つまり、カテゴリーmのウェー ハか最初にHNO3/H2SO4の溶液にて洗浄された。次に。Processing of category M wafers is similar to category ■ wafer processing and tungsten processing. Platinum silicide (platinum 5i) is deposited on the source/drain regions prior to the formation of the The only difference is that a layer of liside is formed. In other words, the wave of category m It was first washed with a solution of HNO3/H2SO4. next.

白金の20nLD厚の層がウェーハの上側表面上にスパッター堆積され、ウェー ハか(容積にて)90パーセントのアルゴン及び10パーセントの酸素を含むガ ス雰囲気内て650度Cにて約15分間焼結された。結果として、約40rvの 厚さをもつケイ化白金の層がソース及びトレインの露出された上側表面上に選択 的に形成された。残りの未反応の白金は王水を用いて除去された。タングステン 形成の前にこれらウェーハか100:IFII溶液を用いて洗浄された。A 20nLD thick layer of platinum is sputter deposited on the upper surface of the wafer and A gas containing 90 percent argon and 10 percent oxygen (by volume) It was sintered at 650 degrees Celsius for about 15 minutes in a gas atmosphere. As a result, about 40rv A thick layer of platinum silicide is selected over the exposed upper surfaces of the source and train. was formed. The remaining unreacted platinum was removed using aqua regia. tungsten Prior to fabrication, the wafers were cleaned using a 100:IFII solution.

選択的タングステン堆積手順に続いて、カテゴリーエ、■及び■の全てのウェー ハが金属化された。つまり、 1μm厚のAI−1/2パーセントCuの膜が個 々のウェーハ上にスパッターされた。次に、レベル間誘電体上のアルミニウムが 、コンタクト パッドに終端するラナー(runner)を形成するためにB( I!/α2雰囲気内て選択反応性イオン蝕刻された。Following the selective tungsten deposition procedure, all wafers in categories E, ■ and ■ Ha was metallized. In other words, a 1 μm thick AI-1/2% Cu film is sputtered onto various wafers. Then the aluminum on the interlevel dielectric , B( I! /α2 atmosphere and selectively reactive ion etching.

全てのウェーハのソース及びトレイン領域へのコンタクト抵抗が従来のケルビン (kelvin)法を用いてソース/ヒレ24表面ドーピングの濃度N、の関数 として測定された。これに関しては、例えばR,A、レビー(R,A、Levy )の論文[C補OSデバイスに対するIn−ソースAI−0,5%Cu金属化( In −5ource AI−〇、5X Cu Metallization  forCMO3Devices) ] 、ジャー ル オ ° ゛ エレクトロ ケミカル ソサエティ(Journal of the Electroche mi−cal 5ociety)、Vol、132ベージ159.1985年を 参照すること。これらコンタクト抵抗の熱安定性をテストするために、ウェーハ が330度Cの温度で45分間及び続いて450度Cて45分間焼結され、個々 の焼結手順の後にコンタクト抵抗が測定された。All wafers have contact resistances to the source and train regions of traditional Kelvin. A function of the concentration N of the source/fin 24 surface doping using the (Kelvin) method. It was measured as. In this regard, for example, R, A, Levy (R, A, Levy) ) paper [In-source AI-0,5% Cu metallization for C-OS devices ( In-5source AI-○, 5X Cu Metallization forCMO3Devices)], jar o   ゛゛ Electro Chemical Society (Journal of the Electroche) mi-cal 5ociety), Vol, 132 pages 159.1985 Please refer. To test the thermal stability of these contact resistances, wafer The individual The contact resistance was measured after the sintering procedure.

N、の関数として測定されたコンタクト抵抗の値か第8図から第1O図にプロッ トされている。カテゴリーエのウェーハによって達成された結果を示す第8図及 び第9図から明らかなように、 p ソース/トレイン領域へのコンタクト抵抗 は、同等の表面ドーピング濃度ではn 領域への値よりも高い。これに加え、  n 及びp 領域の両方へのコンタクト抵抗は熱的に不安定である。つまり焼結 手順の後に大きく上昇する。The value of the contact resistance measured as a function of N is plotted from Figure 8 to Figure 1O. has been recorded. Figures 8 and 8 show the results achieved with category wafers. As is clear from FIG. 9, the contact resistance to the p source/train region is higher than the value for the n region at equivalent surface doping concentrations. In addition to this, Contact resistance to both the n and p regions is thermally unstable. In other words, sintering Great rise after the procedure.

第1O図はカテゴリー■及びカテゴリーmのウェーハによって達成された結果を 示す。図から明らかなように、カテゴリー■のウェーハ内のp 領域へのコンタ クト抵抗はn 領域へのコンタクト抵抗に等しいかこれより低く、両セットのコ ンタクト抵抗はともに熱的に安定である。これに加えて、カテゴリー■のウェー ハはn 及び−領域の両方への大きく低下され熱的に安定なコンタクト抵抗を示 す。Figure 1O shows the results achieved with wafers of category ■ and category m. show. As is clear from the figure, the contour to the p region in the wafer of category ■ contact resistance is equal to or lower than the contact resistance to the n-region, and both sets of contacts Both contact resistances are thermally stable. In addition to this, the category C shows a greatly reduced and thermally stable contact resistance to both the n and − regions. vinegar.

カテゴリーエ、■及びmのウェーハから取られたサンプルについて走査電子、及 び伝送電子マイクロブラフがとられた。これらマイクログラフはカテゴリーII 及び■のウェーハ内のソース及びトレイン領域はカテゴリーIのウェーハ内の対 応する領域よりかなり少ない垂直及び横方向の侵食を受けたことを示した。Scanning electron, and and transmission electronic microbluffs were taken. These micrographs are Category II The source and train regions in the wafers of It was shown that the area had undergone significantly less vertical and lateral erosion than the corresponding area.

n今 nφ FIG、8 FIG、 9 表面ドーピング濃1jjN□ (40” Crn−”)FIG、 10 表面ドーピング製列ひ0 ((O”am−3)国a!lIi査報告 mnffj++1+^eek+1iee−・ PCT/υS 87101230 ANNEX τOTHE rNT三RNATl○NAL 5EARCHR1?O RT ONn now nφ FIG.8 FIG.9 Surface doping concentration 1jjN□ (40" Crn-") FIG, 10 Surface doping column hi0 ((O”am-3) country a!lIi inspection report mnffj++1+^eek+1iee-・PCT/υS 87101230 ANNEX τOTHE rNT3RNATl○NAL 5EARCHR1? O RT ON

Claims (16)

【特許請求の範囲】[Claims] 1.デバイスを製造するための方法において、基板材料を含む処理された或は処 理されてない基板の領域上に金属含有材料を形成するステップが含まれ、該金属 形成ステップが少なくとも第1の反応性実体を少なくとも第2の反応性実体及び 該基板材料と反応させるステップを含み、該第1と第2の実体の間の反応が該金 属含有材料を含む生成物を与え、該方法が更に該デバイスの製造を完結するステ ップを含み、該金属形成ステップの際に該第1の反応性実体と該基板材料との間 の反応速度が該第1と第2の反応性実体との間の反応速度が実質的に落されるこ となく低下されることを特徴とする方法。1. In a method for manufacturing a device, a treated or processed material containing a substrate material is forming a metal-containing material on an untreated area of the substrate; The forming step comprises forming at least the first reactive entity into at least a second reactive entity and reacting with the substrate material, the reaction between the first and second entities causing the gold to react with the substrate material; the method further comprises a step to complete the manufacture of the device. between the first reactive entity and the substrate material during the metal forming step. the reaction rate between the first and second reactive entities is substantially reduced. A method characterized in that the amount is lowered. 2.請求の範囲第1項に記載の方法において、該反応速度の低下ステップが第1 の反応性実体と該基板材料の間の反応の生成物の濃度を該第1と第2の実体の濃 度を増加することなく増加させるステップを含むことを特徴とする方法。2. The method according to claim 1, wherein the step of reducing the reaction rate is a first step. the concentration of the products of the reaction between the reactive entity and the substrate material is determined by the concentration of the first and second entities. A method characterized in that the method comprises the step of increasing the degree without increasing it. 3.請求の範囲第1項乃至第2項に記載の方法において、 該基板材料が半導体材料を含むことを特徴とする方法。3. In the method according to claims 1 and 2, A method characterized in that the substrate material comprises a semiconductor material. 4.請求の範囲第3項に記載の方法において、該半導体材料がシリコンを含むこ とを特徴とする方法。4. The method according to claim 3, wherein the semiconductor material contains silicon. A method characterized by: 5.請求の範囲第1項、第2項、第3項或は第4項のいずれかに記載の方法にお いて、 該半導体材料がシリコンを含み、該金属がアルミニウムを含むことを特徴とする 方法。5. The method according to any one of claims 1, 2, 3, or 4 There, The semiconductor material includes silicon and the metal includes aluminum. Method. 6.請求の範囲第1項から第6項のいずれかに記載の方法において、 該障壁の少なくとも1つがタングステン、タンタル、チタン、モリブデン、及び レニウムの少なくとも1つを含むことを特徴とする方法。6. In the method according to any one of claims 1 to 6, At least one of the barriers is tungsten, tantalum, titanium, molybdenum, and A method characterized in that it comprises at least one of rhenium. 7.請求の範囲第1項から第6項のいずれかに記載の方法において、 該第1の反応性実体がWF6を含むことを特徴とする方法。7. In the method according to any one of claims 1 to 6, A method characterized in that the first reactive entity comprises WF6. 8.請求の範囲第1項から第7項のいずれかに記載の方法において、 該第2の反応性実体がH2を含むことを特徴とする方法。8. In the method according to any one of claims 1 to 7, A method characterized in that the second reactive entity comprises H2. 9.請求の範囲第1項から第8項のいずれかに記載の方法において、 該生成物がSiF4を含むことを特徴とする方法。9. In the method according to any one of claims 1 to 8, A method characterized in that the product contains SiF4. 10.少なくとも1つのn−チャネルMOSFETを含むデバイスにおいて、該 MOSFETが1つのソース/ドレインを含み、該ソース及びドレインの各々が n−タイプ半導体材料を含み、 該ソース及び該ドレインの夫々への第1及び第2の電気コンタクトが含まれ、該 コンタクトの個々が金属を含み、 該ソース及びドレインが夫々約1μm以下の深さをもち、 該金属が、これが該ソース或はドレインのどちらかに浸透した場合に該ソースの 或は該ドレインの深さより浅い対応する浸透深さをもち、 該ソース及び該ドレインが実質的に侵食を受けないことを特徴とするデバイス。10. In a device including at least one n-channel MOSFET, the A MOSFET includes one source/drain, each of the source and drain comprising an n-type semiconductor material; first and second electrical contacts to the source and the drain, respectively; each of the contacts contains metal; the source and drain each have a depth of about 1 μm or less; When the metal penetrates either the source or the drain, or with a corresponding penetration depth shallower than the depth of the drain; A device characterized in that the source and the drain are substantially free from erosion. 11.請求の範囲第10項に記載のデバイスにおいて、該ソースヘの該第1の電 気コンタクト、及び該ドレインヘの該第2の電気コンタクトのコンタクト抵抗が 約10−6ohm−cm2以下であることを特徴とするデバイス。11. The device of claim 10, wherein the first voltage to the source the contact resistance of the electrical contact and the second electrical contact to the drain is A device characterized in that it is about 10-6 ohm-cm2 or less. 12.請求の範囲第10項に記載のデバイスにおいて、該デバイスが該金属と該 半導体材料の相互拡散に対する第1及び第2の障壁を含み、該第1の障壁が該第 1の電気コンタクトと該ソースの間に置かれ、該第2の障壁が該第2の電気コン タクトと該ドレインの間に置かれることを特徴とするデバイス。12. The device according to claim 10, wherein the device comprises the metal and the metal. first and second barriers to interdiffusion of semiconductor materials, the first barrier being the first barrier to the interdiffusion of semiconductor materials; one electrical contact and the source, and the second barrier is located between the second electrical contact and the source. A device, characterized in that it is placed between a tact and the drain. 13.請求の範囲第12項に記載のデバイスにおいて、該半導体材料がシリコン を含み、該金属がアルミニウムを含むことを特徴とするデバイス。13. 13. The device of claim 12, wherein the semiconductor material is silicon. , wherein the metal includes aluminum. 14.請求の範囲第13項に記載のデバイスにおいて、該障壁の少なくとも1つ がタングステン、タンタル、チタン、モリブデン、及びレニウムの少なくとも1 つを含むことを特徴とするデバイス。14. 14. The device of claim 13, wherein at least one of the barriers is at least one of tungsten, tantalum, titanium, molybdenum, and rhenium. A device comprising: 15.請求の範囲第13項に記載のデバイスにおいて、更に個々の該障壁と該シ リコン含有半導体材料の間に材料領域が含まれ、該材料領域がケイ化コバルト、 ケイ化チタン、ケイ化白金、ケイ化タンタル、及びケイ化モリブデンからなる一 群から選択される金属ケイ化物を含むことを特徴とするデバイス。15. The device according to claim 13, further comprising individual said barriers and said shields. A material region is included between the silicon-containing semiconductor materials, the material region comprising cobalt silicide, cobalt silicide, A compound consisting of titanium silicide, platinum silicide, tantalum silicide, and molybdenum silicide. A device characterized in that it comprises a metal silicide selected from the group. 16.請求の範囲第10項に記載のデバイスにおいて、少なくとも1つのp−チ ャネルMOSFETが含まれることを特徴とするデバイス。16. A device according to claim 10, in which at least one p-chip A device comprising a channel MOSFET.
JP62503471A 1986-06-16 1987-05-27 Device manufacturing method Expired - Lifetime JPH0680682B2 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US87447586A 1986-06-16 1986-06-16
PCT/US1987/001230 WO1987007763A1 (en) 1986-06-16 1987-05-27 Method for fabricating devices using chemical vapour deposition, and devices formed thereby
US874475 2001-06-04

Publications (2)

Publication Number Publication Date
JPS63503581A true JPS63503581A (en) 1988-12-22
JPH0680682B2 JPH0680682B2 (en) 1994-10-12

Family

ID=25363874

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62503471A Expired - Lifetime JPH0680682B2 (en) 1986-06-16 1987-05-27 Device manufacturing method

Country Status (6)

Country Link
EP (1) EP0268654A1 (en)
JP (1) JPH0680682B2 (en)
KR (1) KR920010125B1 (en)
CA (1) CA1286798C (en)
ES (1) ES2006502A6 (en)
WO (1) WO1987007763A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814545A (en) * 1995-10-02 1998-09-29 Motorola, Inc. Semiconductor device having a phosphorus doped PECVD film and a method of manufacture

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6050920A (en) * 1983-08-30 1985-03-22 Toshiba Corp Manufacture of semiconductor device
EP0178200A1 (en) * 1984-09-10 1986-04-16 FAIRCHILD CAMERA & INSTRUMENT CORPORATION Method of control for chemical vapor deposition
DE3685449D1 (en) * 1985-03-15 1992-07-02 Fairchild Semiconductor Corp., Cupertino, Calif., Us

Also Published As

Publication number Publication date
KR880701458A (en) 1988-07-27
WO1987007763A1 (en) 1987-12-17
EP0268654A1 (en) 1988-06-01
KR920010125B1 (en) 1992-11-16
JPH0680682B2 (en) 1994-10-12
ES2006502A6 (en) 1989-05-01
CA1286798C (en) 1991-07-23

Similar Documents

Publication Publication Date Title
KR100530401B1 (en) Semiconductor device having a low-resistance gate electrode
US5234850A (en) Method of fabricating a nitride capped MOSFET for integrated circuits
US4384301A (en) High performance submicron metal-oxide-semiconductor field effect transistor device structure
KR960013135B1 (en) Oxide - capped titanium silicide formation
EP0126424B1 (en) Process for making polycide structures
EP0071029B1 (en) Method for fabricating self-passivated composite silicon-silicide conductive electrodes
EP0219827B1 (en) Improved process for forming low sheet resistance metal silicide layers on semiconductor substrates
US4337476A (en) Silicon rich refractory silicides as gate metal
JPS5852342B2 (en) Method of providing a layer of silicided metal on a substrate
US5801444A (en) Multilevel electronic structures containing copper layer and copper-semiconductor layers
JPH0624226B2 (en) Method of manufacturing stacked CMOS device
US4968644A (en) Method for fabricating devices and devices formed thereby
EP0472804B1 (en) Copper germanium compounds capable of being produced at low temperature
KR20050067534A (en) Method for forming contact of semiconductor device including plug-implantation
US4888297A (en) Process for making a contact structure including polysilicon and metal alloys
JPS6133253B2 (en)
US5329161A (en) Molybdenum boride barrier layers between aluminum and silicon at contact points in semiconductor devices
JPH0766146A (en) Fabrication of semiconductor device
KR100289372B1 (en) A method of forming polycide
EP0104079B1 (en) Integrated circuit contact structure
JPS63503581A (en) Device manufacturing method
US6265252B1 (en) Reducing the formation of electrical leakage pathways during manufacture of an electronic device
JPH0362300B2 (en)
JPH06120355A (en) Manufacture of semiconductor device
JP2874885B2 (en) Semiconductor device and manufacturing method thereof

Legal Events

Date Code Title Description
R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term
FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20071012

Year of fee payment: 13