JPS6117074A - Trouble detecting system - Google Patents

Trouble detecting system

Info

Publication number
JPS6117074A
JPS6117074A JP60079447A JP7944785A JPS6117074A JP S6117074 A JPS6117074 A JP S6117074A JP 60079447 A JP60079447 A JP 60079447A JP 7944785 A JP7944785 A JP 7944785A JP S6117074 A JPS6117074 A JP S6117074A
Authority
JP
Japan
Prior art keywords
switch
connector
detection system
fault detection
circuit board
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP60079447A
Other languages
Japanese (ja)
Inventor
ジヨン ウイリアム ベイリー
ポール アラン ハイター
ブライアン ロバート マソン
グラハム ノーマン ターナー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mars Inc
Original Assignee
Mars Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mars Inc filed Critical Mars Inc
Publication of JPS6117074A publication Critical patent/JPS6117074A/en
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/28Testing of electronic circuits, e.g. by signal tracer

Landscapes

  • Engineering & Computer Science (AREA)
  • General Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Tests Of Electronic Circuits (AREA)
  • Testing Of Short-Circuits, Discontinuities, Leakage, Or Incorrect Line Connections (AREA)

Abstract

(57)【要約】本公報は電子出願前の出願データであるた
め要約のデータは記録されません。
(57) [Summary] This bulletin contains application data before electronic filing, so abstract data is not recorded.

Description

【発明の詳細な説明】 本発明はより一般的には改良されたテスト用装置、より
詳細には例えば印刷配線回路基板上に組立てられた電気
素子をその印刷配線回路基板から対応する素子を取外す
あるいは切断することなくテストするためのいわゆるイ
ンサーキットテスト装置に関する。
DETAILED DESCRIPTION OF THE INVENTION The present invention relates more generally to an improved testing apparatus, and more particularly to an apparatus for testing, e.g. Or it relates to a so-called in-circuit test device for testing without disconnecting.

電気素子の完成された印刷配線回路基板アセンブリをテ
ストするための各種の形式の複雑で高価なシステムが市
販されている。ある形式のシステムはパワーアップされ
た印刷配線回路基板アセンブリの入力にテスト信号及び
監視すべきアセンブリの機能動作を起動するようにフォ
ーマット化されたテスト信号のシーケンスを加えて、こ
のアセンブリの出力及び他のテスト節点から得られる対
応する信号を監視することによって機能テストを遂行す
るような設計を持つ。インサーキットテスタとも呼ばれ
る別の形式のシステムは2回路基板アセンブリの総合的
な機能テストに使用されるというよりもむしろ製造上の
欠陥8例えば、短絡回路、障害素子、誤って挿入された
素子あるいは公差外れ素子の発見に使用される。このよ
うなシステムが存在することは事実であるが、アセンブ
ルされた印刷配線回路基板の総合的な機能テストを実施
する前に上述の範ちゅうの殆ん、どの障害を同定できる
ように設計された比較的限定された用途を持つ比較的安
価なテスト装置が要求されることも否定できない。この
要求は、主に障害タイプの分析結果から機能障害の殆は
上述の製造上の欠陥に起因することが発見されたという
事実に由来する。製造上の欠陥の発見に基づく予備の初
等障害検出を行なった場合、総合的な機能テストの合格
率は90%を越えることが発見されている。
Various types of complex and expensive systems are commercially available for testing completed printed wiring circuit board assemblies of electrical devices. One type of system applies a test signal to the input of a powered-up printed circuit board assembly and a sequence of test signals formatted to initiate functional operation of the assembly to be monitored, and outputs of this assembly and others. The design is such that functional testing is performed by monitoring corresponding signals obtained from test nodes of. Another type of system, also called an in-circuit tester, is used for comprehensive functional testing of circuit board assemblies rather than for manufacturing defects, such as short circuits, faulty elements, incorrectly inserted elements or tolerances. Used to find stray elements. While it is true that such systems exist, they are designed to identify faults in most of the above categories before performing comprehensive functional testing of the assembled printed circuit board. There is also an undeniable need for relatively inexpensive test equipment with relatively limited uses. This requirement primarily stems from the fact that fault type analysis has revealed that most of the functional faults are due to the manufacturing defects mentioned above. It has been discovered that when preliminary elementary fault detection is performed based on the discovery of manufacturing defects, the overall functional test pass rate is greater than 90%.

付随の図面の第1図及び第2図は周知の自動障害検出シ
ステムを示す。第1図のシステムは中央処理装置1を含
むが、これはオペレータ装置2の制御下において、テス
トを受ける印刷配線回路基板(B、U、T、)の選択さ
れた回路節点のアダプタ3及びスキャナスイッチマトリ
ックスアレイを通じての測定装置5への接続を制御する
。アダプタ3はB。
Figures 1 and 2 of the accompanying drawings illustrate a known automatic fault detection system. The system of FIG. 1 includes a central processing unit 1 which, under the control of an operator unit 2, controls adapters 3 and scanners at selected circuit nodes of a printed circuit board (B, U, T,) to be tested. Controls the connection to the measuring device 5 through the switch matrix array. Adapter 3 is B.

U、  T、の選択された回路節点に接触するための従
来の任意の装置9例えば、真空動作式”くぎの床”形式
の結合器を含み、マトリックスアレイ4は第2図との関
連で後に詳細するように構成された複数の選択的にアド
レス可能なスイッチを含むが、これはBj  U、  
T。
Any conventional device 9 for contacting selected circuit nodes of U, T, including, for example, a vacuum-operated "nailbed" type coupler, the matrix array 4 is described later in connection with FIG. a plurality of selectively addressable switches configured to specify Bj U;
T.

の任意の回路節点のアダプタ3を通じての測定装置5内
の定電流源及び/あるいは電圧測定装置との接続を可能
にする。
It is possible to connect a constant current source and/or a voltage measuring device in the measuring device 5 through the adapter 3 at any circuit node of the measuring device 5 .

第2図はスキャナスイッチマトリックスアレイ4の構造
を示す。図示されるごとく、測定装置5の要素を構成す
る定電流ソース10及び差動電圧計11は対応するバス
を介して複数のスイッチネットワーク12に結合される
。このスイッチネットワーク1は、それぞれ4個の電界
効果形トランジスタ13を含み。
FIG. 2 shows the structure of the scanner switch matrix array 4. As shown, a constant current source 10 and a differential voltmeter 11, which constitute elements of the measuring device 5, are coupled to a plurality of switch networks 12 via corresponding buses. Each switch network 1 includes four field effect transistors 13.

また各々が、それぞれFET対15の共通接続ゲート1
4.゛あるいはFET対17の共通接続ゲート16への
制御入力に応答して電流の注入あるいは電流の受入れの
ために構成されるテストポイントTPを提供する。例え
ば。
Each also has a common connection gate 1 of FET pairs 15, respectively.
4. or provide a test point TP configured for injecting or accepting current in response to a control input to the common connection gate 16 of the FET pair 17. for example.

スイッチマトリックスのTPlとTP・2の間に接続さ
れた抵抗体の値を対応する抵抗体の端子に接触する適当
なプローブによってテストする動作において、TPlと
関連するスイッチネットワーク121のFET対15の
ゲート14に1つの制御入力が加えられてTPlが注入
節点として構成され、fたTP2と関連するスイッチネ
ットワーク122のFET対17のゲート161:1つ
の制御入力が加えられてTP2が電流受容節点として構
成される。次に、電流ソース10から誘導される電流が
TPlとTP2の間に接続された抵抗体を通じて加えら
れ、この抵抗体間に発生した電圧がそれぞれネットワー
ク1,21と関連するFET対15の下側FET及びネ
ツトワ−り122 と関連するFET対17の上側FB
Tを介してTPl及びTP2に結合された電圧計11に
よって測定される。
In operation, the values of the resistors connected between TPl and TP2 of the switch matrix are tested by means of suitable probes contacting the terminals of the corresponding resistors. One control input is applied to 14 to configure TP1 as the injection node, and gate 161 of FET pair 17 of switch network 122 associated with TP2: one control input is applied to configure TP2 as the current receiving node. be done. A current induced from the current source 10 is then applied through a resistor connected between TPl and TP2, and the voltage developed across this resistor is applied to the underside of the FET pair 15 associated with networks 1 and 21, respectively. Upper FB of FET pair 17 associated with FET and network 122
It is measured by a voltmeter 11 coupled to TPl and TP2 via T.

中央処理装置1は9重要なタスクの1つとして、測定装
置5のタイミング動作との関連でFET対15.17の
ゲートに制御入力をセットするが、全体的なシステム機
能は本質的にデジタルである。抵抗体の抵抗値が電流パ
ルスの注入及び結果としての電圧の測定によって測定さ
れるのと同様に、コンデンサも所定の期間だけ加えられ
る電流パルスに応答してそのコンデンサが充電する電圧
を測定することによって測定できる。同様に、ダイオー
ドの能力及びトランジスタの電極間特性もチェックでき
、また並列及び直列−並列に接続された抵抗体、コンデ
ンサ及びダイオードの組合せも電流パルス特性(特に振
幅及び期間)及び測定のタイミングを適当に選択するこ
とによってチェックが可能である。この装置では、テス
トを受ける印刷配線回路基板はテストの期間中はパワー
ダウンされ、基板アセンブリの総合的な機能がテストさ
れるので ′なく、その基板上の個々のあるいは集合の
素子の能力及び公差値上の合否がテストされる。
Although the central processing unit 1 has 9 important tasks of setting control inputs to the gates of the FET pairs 15 and 17 in conjunction with the timing operation of the measuring device 5, the overall system functionality is essentially digital. be. Just as the resistance of a resistor is measured by injecting a current pulse and measuring the resulting voltage, a capacitor is measured by measuring the voltage that the capacitor charges in response to a current pulse applied for a predetermined period of time. It can be measured by Similarly, the ability of diodes and the interelectrode characteristics of transistors can be checked, and the combination of parallel and series-parallel connected resistors, capacitors, and diodes can also be checked to ensure that current pulse characteristics (especially amplitude and duration) and measurement timing are appropriate. You can check by selecting . With this equipment, the printed circuit board under test is powered down for the duration of the test, and the overall functionality of the board assembly is tested, as well as the capabilities and tolerances of individual or collective elements on the board. The value is tested for pass/fail.

上記に説明のごとく、第1図と第2図との関連で説明し
た上記の障害検出システムは総合的な機能テストの前に
印刷配線回路基板アセンブリ内の素子の障害を検出する
のに有効な装置であり、総合的な機能テストの前にこの
予備テストを実施することによって総合テストでの合格
率をかなりだかめることができる。しかし、この装置は
この性能の範囲に大きな制約を持つ。この制約は主にこ
のスイッチマトリックスアレイ内ζ二使用されるごとく
As explained above, the above-described fault detection system described in connection with FIGS. By conducting this preliminary test before the comprehensive functional test, you can significantly increase the passing rate of the comprehensive test. However, this device has significant limitations in its performance range. This constraint is mainly used in this switch matrix array as ζ2.

本発明の一面においては、スイッチマトリックスアレイ
内に双方向スイッチ構成を使用することが提案される。
In one aspect of the invention, it is proposed to use a bidirectional switch arrangement within a switch matrix array.

このような構成では。In such a configuration.

中でも重要な長所として、素子に交流電流を加えて各種
の周波数にてインピーダンスを測定し、あるデバイスあ
るいはネットワークのインピーダンス特性、つまり、加
えられた所定の刺激に対するこれらの応答特性をチェッ
クするインピーダンスプロファイル技術を使用して性能
のテストとしてインダクタンス並びに並列及び直列−並
列ネットワークの分析を行なうことが可能である。
One of its most important advantages is impedance profile technology, which measures the impedance at various frequencies by applying alternating current to the element to check the impedance characteristics of a device or network, that is, its response characteristics to a given stimulus applied. can be used to perform inductance and parallel and series-parallel network analysis as a performance test.

従って8本発明の一面に従がう印刷配線基板あるいは類
似物上の素子の能力をテストするための障害検出システ
ムは個々がテストを受ける基板の節点への接続のための
テストポイントを定義し、またそのスイッチネットワー
クの制御によって刺激ソースか基準(例えば、大地)電
位のいずれかに接続でき、また同時に測定装置の対応す
る入力に接続できる双方向電流伝導アナログスイッチネ
ットワークのマトリックスアレイを含む。
Accordingly, 8 a fault detection system for testing the capabilities of a device on a printed wiring board or the like in accordance with an aspect of the present invention defines test points for connection to nodes of the board at which each individual is to be tested; It also includes a matrix array of bidirectional current-conducting analog switch networks that can be connected to either a stimulation source or a reference (eg, ground) potential by control of the switch network, and at the same time to corresponding inputs of a measurement device.

本発明における障害検出システムはさらに先行技術との
対比において、これが複数の刺激ソースをスイッチネッ
トワークのアレイに選択的に接続するための装置を含む
ことな特徴とする。先行技術においては、電流ソースの
みが提供され、これが電圧測定を誘導するためにパルス
入力されるが9本発明においては、AC及びDC電流並
びに電圧ソースが回路基板及び素子の状態を検査するの
に使用される。
The fault detection system of the present invention is further characterized in contrast to the prior art in that it includes a device for selectively connecting a plurality of stimulus sources to an array of switch networks. In the prior art, only a current source is provided, which is pulsed to induce voltage measurements.9 In the present invention, AC and DC current and voltage sources are used to test the condition of circuit boards and devices. used.

このアナログスイッチネットワークは、好ましくは、各
々が4個の双方向アナログ送信ゲートを含む。これは個
別の電界効果形トランジスタ回路としても構成できるが
、好ましくは、集積回路形式に構成される。この4個の
双方向アナログ送信ゲートは片方のサイドにおいて共通
に接続され対応するスイッチネットワークのテストポイ
ントを定義し、また別のサイドはネットワークの入/出
力端子を構成する4個の端子を定義する。さらに、この
4個のアナログ送信ゲートの制、卸端子は。
The analog switch network preferably includes four bidirectional analog transmit gates each. Although it can be constructed as a discrete field effect transistor circuit, it is preferably constructed in integrated circuit form. These four bidirectional analog transmit gates are connected together on one side to define the test points of the corresponding switch network, and on the other side define the four terminals that constitute the input/output terminals of the network. . Furthermore, the control and wholesale terminals of these four analog transmission gates are as follows.

互いに接続され、これによってこのネットワークに対す
る2個の制御端子が定義され、この2個のネットワーク
制御端子の対応する1つに適当な入力が加えられ、この
双方向アナログ送信ゲートの対応する2個が導電状態に
スイッチされ、このネットワークのテストポイントが最
初に刺激ソースに接続され次に測定装置の対応する入力
に接続される。適当な入力によって他方のネットワーク
制御端子がアドレスされると、このネットワークのテス
トポイントは逆に大地及び測定装置の別の1つの入力に
接続される。。
are connected to each other, thereby defining two control terminals for this network, applying the appropriate input to a corresponding one of the two network control terminals, and applying the appropriate input to a corresponding one of the two network control terminals. Switched to a conductive state, the test points of this network are first connected to the stimulation source and then to the corresponding inputs of the measuring device. When the other network control terminal is addressed by the appropriate input, the test point of this network is in turn connected to ground and to another input of the measuring device. .

4個の双方向アナログ送信ゲートから構成されるこのス
イッチネットワークは、従って。
This switch network, consisting of four bidirectional analog transmission gates, is therefore:

各々が刺激ソース、基準電位及び2個の測定節点の対応
する1つに選択的に接続できる4個の端子、刺激ソース
と第1の測定節点あるいは基準ソースと第2の測定節点
のいずれかに選択的に接続が可能なテストポイント、及
びこのネットワークを通じて使用できる2個の別個のテ
ストポイントのどちらが利用できるかを制御する2個の
ネットワーク制御端子を持つ。
four terminals, each of which can be selectively connected to a corresponding one of the stimulation source, reference potential and two measurement nodes, either the stimulation source and the first measurement node or the reference source and the second measurement node; It has a selectively connectable test point and two network control terminals that control which of two separate test points are available through this network.

本発明のもう1つの面においては9回路基板の製造上の
欠陥をテストするための装置が提供されるが、この装置
は本体、この本体に取外し可能に挿入できる差込み式モ
ジュール。
Another aspect of the invention provides an apparatus for testing circuit boards for manufacturing defects, the apparatus comprising a body, a pluggable module removably insertable into the body.

この差込み式モジュール上に塔載されるピンマトリック
スアレイ及び本体の上に塔載されるコネクタマトリック
スアレイを含み、この差込み式モジュールと本体との間
の複数の接続を提供するためのインタフェースコネクタ
An interface connector including a pin matrix array mounted on the plug-in module and a connector matrix array mounted on the body for providing a plurality of connections between the plug-in module and the body.

差込み式のモジュールの面を受けるためのこれと関連す
るこの上に受けられる回路基板の選択された回路節点を
同時にプローブするための複数のプローブを持つ回路基
板、及びこのプローブとこのピンマトリックスアレイの
対応する1つの間に提供される接続を含む。
a circuit board having a plurality of probes for simultaneously probing selected circuit nodes of a circuit board received thereon for receiving a surface of a pluggable module; Contains the connections provided between the corresponding one.

本発明のその他の局面、特徴及び長所は特許請求の範囲
に記載される通りであり、また本発明に従がうシステム
の1例としての実施態様の以下の説明を図面を参照にし
て読むことによって一層明白になるものである。
Other aspects, features and advantages of the invention are as set out in the claims and read in the following description of an exemplary embodiment of a system according to the invention with reference to the drawings. This becomes even more obvious.

以降に説明の本発明の実施態様は附属の図面の第1図及
び第2図に説明の前述の先行技術によるシステムと類似
するが、これは使用されるスイッチネットワークの本質
的な双方向能力に起因する向上された機能を遂行するよ
うに設計されている。従って、以下の説明においては5
本発明と先行技術との違いの説明に重点を置き1本発明
と先行技術のシステムの共通な点に関しては極く一般的
に触れるにとどめる。
The embodiments of the invention described hereinafter are similar to the aforementioned prior art systems described in Figures 1 and 2 of the accompanying drawings, but this is due to the inherent bi-directional capabilities of the switch networks used. Designed to perform improved functions due to. Therefore, in the following explanation, 5
Emphasis will be placed on explaining the differences between the present invention and the prior art systems, and common features between the present invention and the prior art systems will only be touched upon in a very general manner.

第3図は本発明の遂行に使用される双方向スイッチネッ
トワークを図式的に示すが、このネットワークは51,
32.33及び34の参照番号で示される4個の双方向
スイッチを持つが、これは図示されるごとく、互いに。
FIG. 3 schematically shows a bidirectional switch network used in carrying out the present invention, which network includes 51,
32. It has four bidirectional switches, designated by reference numerals 33 and 34, which are connected to each other as shown.

及び、それぞれ65から39の参照番号で示される+ソ
ース、−ソース、テストポイント(節点)及び2個の測
定端子と相互接続される。さらにそれぞれスイッチ31
と62及びスイッチ3ろ、64の動作を制御するための
制御端子40及び41が提供される。例えば。
and interconnected with +source, -source, test point (node) and two measurement terminals, respectively indicated by reference numbers 65 to 39. Furthermore, each switch 31
Control terminals 40 and 41 are provided for controlling the operation of switches 3 and 62 and switches 3 and 64. for example.

制御端子40を選択することによって、スイッチ31及
び32を導電状態にし、テストポイント(節点)67を
刺激+ソース端子ろ5及び“正の”測定端子68に接続
することができる。制御端子41が選択されたときは。
By selecting the control terminal 40, the switches 31 and 32 can be made conductive and the test point 67 can be connected to the stimulus + source terminal 5 and the "positive" measurement terminal 68. When control terminal 41 is selected.

スイッチ33及び34が起動され、テストポイント(節
点)乙7が−ソース端子66及び”負の”測定端子39
に接続される。
Switches 33 and 34 are activated, and test point O 7 is connected to the - source terminal 66 and the "negative" measuring terminal 39.
connected to.

第3図:二示されるネットワークは多数の並列(二接続
されたネットワークの1つにすぎず。
FIG. 3: The network shown is only one of many parallel (two-connected) networks.

これら複数のネットワークは個々にその制御端子を介し
てその対応するテストポイント(節点)を刺激+端子3
5と測定端子68あるいは一ソース(基準)端子36と
測定端子39に接続することができる。印刷配線回路基
板上の素子1例えば、その抵抗が公称値の指定公差内に
あるか否かを検証したい抵抗体を任意のスイッチネット
ワークのテストポイント(節点)に結合されたプローブ
をその一端に接触し、モして他端に他の任意のスイッチ
ネットワークのテストポイント(節点)に結合されたプ
ローブを接触し、そしてこの2個のスイッチネットワー
クをそれら適当な制御端子をアドレスして制御すること
によってその抵抗体の一端に結合された1つのテストポ
イント(節点)を刺激ソース(例えば、定電流発生器〕
及び電圧測定装置の1つの端子に接続し、また抵抗体の
他端に結合された他のテストポイント(eJ点)を大地
及びこの電圧測定装置の他の端子に結合する。この刺激
ソースから抵抗体を介して大地に加えられる電流パルス
はこの抵抗体間に対応する電圧を発生させるが、この電
圧を電圧測定装置によって測定することによってこの抵
抗体の抵抗値を計算し、また必要であれば、その公称値
と比較して、この抵抗値が公称値の許容公差範囲内にあ
るか否かを判定することが可能である。好ましくは、後
に説明するごと(、fllえば、印刷配線回路基板内の
複数の素子が前もってプログラムされたル−チンに従っ
て自動的にテストできるように、スイッチネットワーク
の制御端子のアドレス、刺激のタイミング及び特性はコ
ンピュータの制御下に置かれる。
These multiple networks individually stimulate their corresponding test points (nodes) via their control terminals + terminal 3
5 and measurement terminal 68 or one source (reference) terminal 36 and measurement terminal 39. Element 1 on a printed circuit board, for example a resistor whose resistance you wish to verify whether it is within a specified tolerance of its nominal value, is connected to one end of it with a probe coupled to a test point (node) of any switch network. by touching a probe coupled to a test point (node) of any other switch network at the other end, and controlling these two switch networks by addressing their appropriate control terminals. Connect one test point (node) coupled to one end of the resistor to a stimulus source (e.g., a constant current generator)
and one terminal of the voltage measuring device, and another test point (point eJ), which is also coupled to the other end of the resistor, to ground and the other terminal of this voltage measuring device. A current pulse applied from this stimulus source to ground through a resistor generates a corresponding voltage across this resistor, which is measured by a voltage measuring device to calculate the resistance of this resistor; If necessary, it can also be compared with its nominal value to determine whether this resistance value is within an acceptable tolerance range of the nominal value. Preferably, the addresses, timing of stimulation and The properties are placed under computer control.

第4図は先行技術のスイッチネットワークを示す第2図
と類似の方法にて第3図のスイッチネットワークの実際
的な構成を示すが。
Although FIG. 4 illustrates a practical configuration of the switch network of FIG. 3 in a manner similar to FIG. 2 which illustrates a prior art switch network.

第4図に使用される参照番号は第3図に使用される参照
番号と同一の要素を指す。第4図に示されるごとく1個
々゛のスイッチ、31゜32.33.34は1個の双方
向アナログ送信ゲートから構成され、この4個のゲート
はインバータを介してゲー1−31.32,33゜34
の対応する対に結合された2個の制御端子40及び41
を定義するように接続される。
Reference numbers used in FIG. 4 refer to the same elements as reference numbers used in FIG. As shown in FIG. 4, one individual switch, 31°32.33.34, is composed of one bidirectional analog transmitting gate, and these four gates are connected via an inverter to gates 1-31.32, 33°34
two control terminals 40 and 41 coupled to corresponding pairs of
connected to define.

第4図に示される構成で1例えば、テストしたい抵抗体
がテストポイントTP1とTP2の間に結合された場合
、テストポイントTP1と関連するスイッチネットワー
クの制御端子40(二9例えばこのネットワークのスイ
ッチ31及び32を双方向に導電状態にするような入力
を加え、またテストポイント’[’P2と関連するスイ
ッチネットワークの制御端子41にこのネットワークの
スイッチ33及び34を双方向に導電状態にするような
対応する入力を加えると、抵抗体を通じて電流パルスが
流れ、この抵抗体間に生成される対応する電圧を測定節
点68と39の間で測定することができる。同様に、そ
の他の素子1例えば、コンデンサ、誘電子、ダイオード
、トランジスタなども、電流/電圧/周波数刺激及び/
あるいはこれらの組合わせを選択的に加え、また対応す
る素子の結果としての電流/電圧応答を観察することに
よってテストすることができる。
In the configuration shown in FIG. and 32 are applied to the control terminal 41 of the switch network associated with test point '['P2 such as to make the switches 33 and 34 of this network bidirectionally conductive. Applying a corresponding input causes a current pulse to flow through the resistor, and a corresponding voltage generated across this resistor can be measured between measurement nodes 68 and 39. Similarly, other elements 1 e.g. Capacitors, dielectrics, diodes, transistors, etc. can also be used for current/voltage/frequency stimulation and/or
Alternatively, these combinations can be tested by selectively adding them and observing the resulting current/voltage response of the corresponding elements.

第5図は本発明に従がう1例としてのシステムの一般回
路構成を示す。参照番号51は第3図及び第4図との関
連で上述した複数のスイッチネットワークから成るマル
チプレクサを示すが、このマルチプレクサ51はこれと
関連するスイッチネットワークの制御端子40及び41
のアドレスをコンピュータにて制御するための40′ 
 及び41にて参照されるアドレスバス並びに、それぞ
れ+ソース端子65.−ソース(基準)端子36.及び
スイッチネットワークの対応する測定端子68及び39
に対応する35’ 、36’ 、38’及び39′を持
つ。制御コンピュータとスイッチネットワークの制御端
子40と41の間に要求される接続の数を最少にす4る
ため2本発明の実用的な実施態様においては、典型的に
はV千の制御端子が選択でき、また好ましくは、アドレ
スバスに直列並列変換技術が使用さり、る。ソース端子
35′は本システムの中央処理装置(図示なし)から制
御可能なスイッチ装置52を介して選択的にそれぞれ本
システムの一部を構成する電圧、電流及び周波数ソース
53.54及び55.並びに1個の外部端子に接続する
ことができる。電圧ソースライン内の標準抵抗体56は
高値の抵抗体の測定を行なうのに使用される。マルチプ
レクサ51の測定端子38’、39’は高インピーダン
スバッファ58及び差動増幅器59かな成る参照番号5
7によって示される計測増幅器に結合され、またこの計
測増幅器57の出力は双方向スイッチ66を介して1高
”及び”低”基準レベルVH及びVLとの比較によって
、測定素子の許容できる素子の所定公差範囲とその素子
の測定値との関係を表わす”高”1内″″低”出力を提
供する論理回路61に対応する入力を提供するためにウ
ィンドウ比較器装置60に結合される。
FIG. 5 shows the general circuitry of an exemplary system in accordance with the present invention. Reference numeral 51 designates a multiplexer comprising a plurality of switch networks as described above in connection with FIGS.
40' for controlling the address of
and 41, respectively, and the +source terminals 65. -source (reference) terminal 36. and the corresponding measurement terminals 68 and 39 of the switch network.
35', 36', 38' and 39' corresponding to . In order to minimize the number of connections required between the control computer and the control terminals 40 and 41 of the switch network, V,000 control terminals are typically selected in a practical embodiment of the invention. The address bus can and preferably uses serial-to-parallel conversion techniques. Source terminals 35' are selectively connected to voltage, current and frequency sources 53, 54 and 55, respectively forming part of the system, via switch devices 52 controllable from the system's central processing unit (not shown). It can also be connected to one external terminal. A standard resistor 56 in the voltage source line is used to make high value resistor measurements. The measuring terminals 38', 39' of the multiplexer 51 are connected to a high impedance buffer 58 and a differential amplifier 59 with reference number 5.
7, and the output of this instrumentation amplifier 57 is coupled via a bidirectional switch 66 to a predetermined determination of the acceptable element of the measuring element by comparison with ``high'' and ``low'' reference levels VH and VL. It is coupled to a window comparator device 60 to provide a corresponding input to a logic circuit 61 which provides a "high" within 1 "low" output representative of the relationship between the tolerance range and the measured value of that element.

計測増1@器57の出力はまた中央処理装置から計測増
幅器57の直接出力あるいはRMS−DC変換器65の
出力によって制御が可能なスイッチ装置64を介して供
給されるアナログ−デジタル変換器63を介してディス
プレイデータバス62に加えられる。スイッチ64はま
たソース電流を測定するために前述したテスト抵抗体5
6の間に誘導される電圧Vi  を表わす信号を受信す
るように接続される。
The output of the metering amplifier 57 is also supplied to an analog-to-digital converter 63 which is supplied from the central processing unit via a switch device 64 which can be controlled by the direct output of the metering amplifier 57 or by the output of the RMS-DC converter 65. to the display data bus 62 via the display data bus 62. The switch 64 also connects the previously described test resistor 5 to measure the source current.
is connected to receive a signal representative of the voltage Vi induced during 6.

デジタル−アナログ変換器67は双方向スイッチ68を
介して負の基準電圧V−をマルチプレクサ51の″基準
端子36′に選択的に加えるが、このD−A変換器67
及びスイッチ68は本装置の中央処理装置から制御する
ことが可能である。
A digital-to-analog converter 67 selectively applies a negative reference voltage V- to the reference terminal 36' of multiplexer 51 via a bidirectional switch 68;
and switch 68 can be controlled from the central processing unit of the device.

第3図は第5図に示す回路を複数素子ネットワークの分
析において単独トランジスタの利得の測定及びいわゆる
ガーディング技術の使用を可能とするように修正した回
路を示す。
FIG. 3 shows a modification of the circuit shown in FIG. 5 to allow measurement of the gain of a single transistor and the use of so-called guarding techniques in the analysis of multi-element networks.

図かられかるごとく、第5図のバッファ増幅器58の出
力が、追加的に、セレクタ69を介して、リレー75の
選択的な動作(二よって回路節点72に選択的に加えら
れる追加の刺激信号を誘導するためにデジタル−アナロ
グ変換器71の出力を加算するための加算増幅器にも加
えられる。単独トランジスタの利得をチェックするため
にこのような構成を使用するに当っては、そのトランジ
スタのエミッタ及びコレクタが第3図に示される回路節
点37の対応する1つ(つまり9例えば、第4図に示さ
れるテストポイントTP1及びTP2)によってプロー
ブされ、そしてそのトランジスタのベースがリレー73
の対応する1つの動作によって選択される追加の節点7
2の1つによってプローブされる。そのトランジスタエ
ミッタ及びコレクタ電圧を節点37の所で適切にセット
し、またセレクタ69をトランジスタがNPNトランジ
スタであるかあるいはPNPトランジスタであるかに従
ってセットすると、デジタル−アナログ変換器71は追
加の電流値を提供し、このトランジスタの利得を測定す
るためのベース状態を確立する。
As can be seen, the output of buffer amplifier 58 of FIG. is also added to a summing amplifier for summing the outputs of digital-to-analog converter 71 to induce and the collector of which is probed by a corresponding one of the circuit nodes 37 shown in FIG. 3 (i.e. 9, e.g. test points TP1 and TP2 shown in FIG.
An additional node 7 selected by one corresponding action of
probed by one of 2. With the transistor emitter and collector voltages set appropriately at node 37 and selector 69 set according to whether the transistor is an NPN or PNP transistor, digital-to-analog converter 71 will generate an additional current value. and establish a base condition for measuring the gain of this transistor.

第3図の修正された回路構成ではガーデイング技術によ
る測定も可能である。例えば。
The modified circuit configuration of FIG. 3 also allows measurements by guarding techniques. for example.

抵抗体R,l−が第4図の’I’P1と’I’P2の間
で接続され、また直列シニ接続された抵抗体が抵抗体R
1と並列にTPlとTP2の間に接続された場合、第5
図の回路構成では、並列に接続されたR2及びR3の影
響によってR1のみの値を測定することは困難である。
Resistors R and l- are connected between 'I'P1 and 'I'P2 in FIG. 4, and the resistors connected in series are connected to resistor R.
1 in parallel between TPl and TP2, the fifth
In the circuit configuration shown in the figure, it is difficult to measure the value of only R1 due to the influence of R2 and R3 connected in parallel.

しかし、第3図の構成では、R2とR6のジャンークシ
ョンをテスト節点72の1つに結合することによって、
電位をR2(あるいはR3)のいずれかの側で等価し、
これによってR1を隔離してこの値を測定することがで
きる。。
However, in the configuration of FIG. 3, by coupling the junction of R2 and R6 to one of the test nodes 72,
Equivalent the potential on either side of R2 (or R3),
This allows R1 to be isolated and its value measured. .

この方法によって、抵抗体R1を並列【二接続された直
列抵抗体R2及びR3の影響からガードすることが可能
である。
By this method, it is possible to protect the resistor R1 from the influence of the parallel-connected series resistors R2 and R3.

第7図は本発明のシステムの背景にある原理を理解する
ために便利な本発明によるシステムの一般的な図である
。上述のマルチプレクサ51は複数の測定節点1,2.
3・・・N、並びに制御端子選択入力バス40′。
FIG. 7 is a general diagram of a system according to the invention that is useful for understanding the principles behind the system. The multiplexer 51 described above connects a plurality of measurement nodes 1, 2 .
3...N, and control terminal selection input bus 40'.

41′、及び前述のソース節点、基準(大地)節点及び
2個の測定節点35’、36″。
41', and the aforementioned source node, reference (earth) node and two measurement nodes 35', 36''.

38′及び69′を提供する。第5図及び第3図との関
連でより具体的に説明される参照番号60によって示さ
れる測定システムは本システムの中央処理装置(図示な
し)からの。
38' and 69' are provided. The measurement system designated by the reference numeral 60, which will be described more specifically in connection with FIGS. 5 and 3, originates from the central processing unit (not shown) of the system.

測定タイプ(例えば、電圧刺激、電流刺激。Measurement type (e.g. voltage stimulation, current stimulation.

周波数刺激)、使用すべき刺激パルスの期間。Frequency stimulation), duration of stimulation pulses that should be used.

測定を行なうべき期間、及び測定値と比較すべき高及び
低いき値を表わす入力データに応答するが、要素に関し
て実行された測定の結果は測定値出力及び要素の状態指
標を提供する。
Responsive to input data representing the time period during which measurements are to be taken and high and low thresholds to which measurements are to be compared, the results of the measurements performed on the element provide a measurement output and an indication of the condition of the element.

第8図は本発明に従って構成された1例としての障害検
出装置の斜視図を示し、第9図は第8図の線■・・・■
に沿って切断された部分断面図を示す。まず第8図の説
明を行なうが、この装置は卓上で使用されるように設計
されており、鋳造アルミニウムへ−ス80及び鋳造プラ
スチックカバー81を含むが。
FIG. 8 shows a perspective view of an exemplary fault detection device constructed according to the present invention, and FIG. 9 shows the lines in FIG.
A partial cross-sectional view taken along is shown. Referring first to FIG. 8, the apparatus is designed for tabletop use and includes a cast aluminum body 80 and a cast plastic cover 81.

これはモジュール83を受けるための中央後退部82を
持つハウジングを形成する。モジュール86は、後に説
明するとと(、テストすべき特定の回路に対して専用化
され、その下側にインタフェースコネクタ85を持つが
This forms a housing with a central setback 82 for receiving a module 83. The module 86, as will be explained later, is dedicated to the particular circuit to be tested and has an interface connector 85 on its underside.

これは後退部82の底に提供される相補コネクタ85と
はまるように設計される。ベース80とカバー81によ
って定義されるハウジングは電子回路及び本装置の他の
要素を含み。
This is designed to mate with a complementary connector 85 provided at the bottom of the setback 82. The housing defined by base 80 and cover 81 contains the electronic circuitry and other elements of the device.

図示されるごとく、カバー81は傾斜したフロント面を
持ち、これは、好ましくは薄膜形式のスイッチを使用す
る制御パネル゛86.ペーパーロールプリンタ87.及
びオペレータ命令その他を表示するためのLCDディス
プレイ88を塔載するようになっている。フリップアッ
プふた89はハードディスク及び/ムるいはフロッピー
ディスクドライブへのアクセスを提供する。
As shown, the cover 81 has an angled front surface which is connected to a control panel 86. which preferably uses membrane type switches. Paper roll printer87. It also includes an LCD display 88 for displaying operator instructions and other information. A flip-up lid 89 provides access to the hard disk and/or floppy disk drive.

モジュール83は後退部82内に、相補コネクタ部84
.85が互いに正確に位置決めできる程度の余裕を残し
て、ちょうどはまるような正確な寸法を持つ硬質の長方
形の箱から構成される。コネクタ部84内には対の互い
に正確に成形された正確な間隔を持つ穴90(′これら
のうちの1つが第9図に示される)が提供され、コネク
タ部85内には対応する正確に位置決めされたオイルダ
ッシュポット内に塔載された比較的硬質のシャフト上に
塔載された円錐形のヘッド部を持つ位置決め部材91(
第8図)が提供される。コネクタ部84.85が互いに
接近されると9部材91の円錐形ヘッドが穴90にはま
り、その後、この2つのコネクタ部を互いの正確な位置
関係に導びく。
The module 83 has a complementary connector section 84 within the setback section 82.
.. It consists of a rigid rectangular box with precise dimensions that allow the parts 85 to fit together, leaving enough room for them to be accurately positioned relative to each other. A pair of precisely shaped and precisely spaced holes 90 (one of which is shown in FIG. 9) is provided in the connector portion 84 and a corresponding precisely spaced hole 90 is provided in the connector portion 85 (one of which is shown in FIG. 9). A positioning member 91 (having a conical head mounted on a relatively hard shaft mounted in a positioned oil dashpot)
FIG. 8) is provided. When the connector parts 84, 85 are brought close to each other, the conical head of the nine member 91 fits into the hole 90, and then brings the two connector parts into correct position relative to each other.

次に第8図の線■・・・■に沿う拡大断面図である第9
図の説明を行なう。参照番号92はテストすべき回路基
板を示すが、これは柔軟隔膜94によって本装置のモジ
ュール83内に塔載された絶縁担体板93上に受ゆられ
る。担体板93は取外し可能な絶縁床板96内に固定さ
れた複数のばねを持つプローブピン95の位置に対応す
る穴を持つが、板96内のピン95の位置は回路基板の
構成によって決定され、説明の装置内に確立された真空
によって9回路基板90が下方に引かれ。
Next, Fig. 9 is an enlarged sectional view taken along the lines ■...■ in Fig. 8.
Explain the diagram. Reference numeral 92 designates the circuit board to be tested, which is supported by a flexible membrane 94 on an insulating carrier plate 93 mounted within the module 83 of the apparatus. The carrier plate 93 has holes corresponding to the positions of probe pins 95 with a plurality of springs secured within a removable insulating floor plate 96, the position of the pins 95 within the plate 96 being determined by the configuration of the circuit board; 9 Circuit board 90 is drawn downwardly by a vacuum established within the apparatus described.

隔膜94が床板96に向ってたわみ、ピン95が印刷配
線回路基板92の回路節点に接触するようになされる。
Diaphragm 94 flexes toward floorboard 96 such that pins 95 contact circuit nodes on printed circuit board 92 .

回路基板92をピン95上に引くための本装置内の必要
な真空を確立するための装置は簡略的に示されているの
みであるが当業者とって容易に理解できるようなもので
ある。
The apparatus for establishing the necessary vacuum within the apparatus for drawing circuit board 92 onto pins 95 is shown only schematically and is readily understood by those skilled in the art.

床板96内のピン95は対応する接続り一ド97が第1
のコネクタ部84内に形成されたピンマトリックスアレ
イの選択されたピンに結合されるようにワイヤにて包囲
される。
The pin 95 in the floor plate 96 has a corresponding connection pin 97 in the first
is surrounded by wires to be coupled to selected pins of a pin matrix array formed within the connector portion 84 of the connector.

第1のコネクタ部84は絶縁プラスチック支持物98を
持つが1図示されるごとく、この中には複数の端子ピン
あるいは”くぎ”99の列が塔゛載されており、これら
のすね部は支持物98の上側表面から突出ており、これ
らの頭は下側面と水平になっている。例えば。
The first connector section 84 has an insulating plastic support 98 in which is mounted a plurality of rows of terminal pins or "nails" 99, the shank of which are shown in FIG. Projecting from the upper surface of object 98, their heads are horizontal with the lower surface. for example.

コネクタ部84を構成するここに説明のピンマトリック
スアレイ内に数千のピン99を提供することも可能であ
る。協働Iコネクタ部85は絶縁プラスチック支持物1
00を含むが、これは複数のコネクタピン101の列か
ら構成されるコネクタマトリックスを塔載するのに使用
される。コネクタピン101の各々はコネクタ部84内
に提供されるマトリックスアレイのピン99の対応する
1つのヘッド部によって接触されるばねを持つヘッド部
を持つ。コネクタマトリックスのピン101は印刷配線
基板102の対応して位置する穴に向って延び、またこ
れらは板12の下側で第3図から第3図、そして特に第
3図のスイッチネットワークとの関連で前述した本シス
テムの電子回路を構成する表面塔載集積回路デバイス1
03に接続される。このデバイスはピン101の列の間
に基板103上に直接塔載することができ、従って、最
小限のリード長を持ち、結果として、漂遊抵抗、漂遊容
量及び漂遊インダクタンスを最小限に押えることができ
る。
It is also possible to provide thousands of pins 99 in the pin matrix array described herein making up connector portion 84. The cooperative I connector part 85 is an insulating plastic support 1
00, which is used to mount a connector matrix consisting of a plurality of rows of connector pins 101. Each of the connector pins 101 has a spring-loaded head that is contacted by the head of a corresponding one of the matrix array pins 99 provided within the connector portion 84. The pins 101 of the connector matrix extend into correspondingly located holes in the printed circuit board 102, and these are connected to the underside of the board 12 from FIGS. Surface-mounted integrated circuit device 1 that constitutes the electronic circuit of this system as described above
Connected to 03. This device can be mounted directly on the substrate 103 between the rows of pins 101, thus having minimal lead lengths and, as a result, minimizing stray resistance, stray capacitance, and stray inductance. can.

第8図及び第9図の構成は床板96とコネクタ部84の
ピンマトリックスアレイがテストすべき特定の回路基板
に簡単2二専用化でき。
The configuration of FIGS. 8 and 9 allows the pin matrix array of the floor plate 96 and connector section 84 to be easily dedicated to a specific circuit board to be tested.

また別の回路基板をテストするために本装置を変更する
のに他の装置を追加する必要がないという点で特に有利
である。コネクタ部84のピンマトリックスアレイの所
に確立されるピン構成がコネクタ部85のコネクタマト
リックスの所でなされる接続を決定し、一方、これが第
5図から第7図の複数スイッチ回路アレイ51の測定点
1からNのどれが特定の印刷配線回路基板、のテストに
使用されるかを決定する。
It is also particularly advantageous in that no additional equipment is required to modify the apparatus to test different circuit boards. The pin configuration established at the pin matrix array of connector portion 84 determines the connections made at the connector matrix of connector portion 85, which in turn determines the measurements of multiple switch circuit array 51 of FIGS. 5-7. Determine which of points 1 through N will be used to test a particular printed circuit board.

第8図及び第9図の構成はテストすべき回路基板をプロ
ーブピンの方向に下げるために真空を利用し、このため
に第9図に簡略的及び説明的に示される真空供給装置1
04及びシール105を提供することが要求される。
The arrangement of FIGS. 8 and 9 utilizes a vacuum to lower the circuit board to be tested in the direction of the probe pins, and for this purpose the vacuum supply device 1 is shown schematically and explanatoryly in FIG.
04 and seal 105 are required.

真空を動力とする構成がより好ましいのは勿論のことで
あるが、テストすべき回路基板を物理的な手段にてプロ
ーブピンと接触させることも可能である。
Although a vacuum-powered configuration is of course more preferred, it is also possible to bring the circuit board to be tested into contact with the probe pins by physical means.

印刷配線回路基板及びこれに類似するものをスクリーニ
ングすることによって、これらの総合的な機能テストを
行なう前に、公差外れ素子、不正配線素子(例えば、誤
った方向に接続されたダイオード)、損失素子、配線障
害(例えば、U乾”はんだ接続)、その他を発見するの
に使用する障害検出システムについて説明した。本発明
によるこのシステムは、このような障害検出動作を、先
行技術において見られるように、定電流源からパルスを
加えて、適当な時間に電圧応答を測定することによって
遂行できるのみでな(1本発明によるシステムは双方向
に導電できるスイッチデバイスを使用しているためa、
  c刺激を単独であるいはd、c刺激との組合せにて
使用でき、従って前述したごとく、各種の周波数にてイ
ンピーダンスを測定することが可能であり、このための
長所として、インピーダンスプロフィリング(特性認識
)技術によってインダクタンス及び並列ネットワークの
分析をすることが可能である。ここに含まれる中央処即
装置は適当な刺激の生成を制御するのに加えて、テスト
を受げる基板の回路節点への生成された刺」激の負荷、
応答データの収集、及び障害の検出に必要な応答データ
の分析を遂行し、従って1本発明は強力でしかもコスト
効率の高い生産支援装置を提供するものである。
By screening printed circuit boards and the like for out-of-tolerance elements, incorrectly wired elements (e.g. diodes connected in the wrong direction), lossy elements before performing these comprehensive functional tests, A fault detection system has been described for use in locating wiring faults (e.g., "U" solder connections), etc. The system according to the present invention performs such fault detection operations in a manner similar to that found in the prior art. , can be accomplished simply by applying pulses from a constant current source and measuring the voltage response at appropriate times (1. Since the system according to the invention uses a switching device that can conduct in both directions, a.
The c-stimulus can be used alone or in combination with the d- and c-stimuli, and therefore, as mentioned above, it is possible to measure impedance at various frequencies, which has the advantage of impedance profiling (characteristic recognition). ) technique allows analysis of inductance and parallel networks. The central processing unit included here controls the generation of the appropriate stimuli, as well as the loading of the generated stimuli onto the circuit nodes of the board undergoing testing.
By performing the response data collection and analysis of the response data necessary to detect failures, the present invention thus provides a powerful yet cost effective production support system.

当業者にとっては明らかなごとく9本発明に従う製造上
の欠陥分析装置は我々の1984年11月23日に出願
された英国特許明細書第8429657号に開示の自動
テスト装置と使用することができ、この製造上の欠陥分
析装置はこの自動テスト装置のモジュールの1つを構成
するものである。
As will be clear to those skilled in the art, a manufacturing defect analysis device according to the present invention can be used with the automatic test device disclosed in our British Patent Specification No. 8429657 filed 23 November 1984. The manufacturing defect analyzer constitutes one of the modules of the automatic test equipment.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図及び第2図は周知の自動障害検出システムを示す
図であり: 第3図は本発明に従うシステムに使用される双方向スイ
ッチネットワークの略図を示し;第4図は第5図のネッ
トワークアレ−イを上述の先行技術によるシステムを示
す第2図と同程度に詳細に示した図であり; 第5図は本発明の1例としての実施態様の部分回路図で
あり; 第3図は第5図の回路図を修正した回路図を示し; 第7図は第5図及び第3図の実施態様のテスト原・埋を
説明する簡略ブロック図であり;第8図は本発明に従う
自動障害検出システムの1つの可能な物理構成を簡略的
に示す図であり;そして 第9図は第8図の線■・・・■に沿って切断された略断
面図を示す。 1曲の浄書(内容に変更なし)
1 and 2 show a known automatic fault detection system; FIG. 3 shows a schematic diagram of a bidirectional switch network used in the system according to the invention; FIG. 4 shows the network of FIG. 3 shows the array in as much detail as FIG. 2 which shows the prior art system described above; FIG. 5 is a partial circuit diagram of an exemplary embodiment of the invention; FIG. shows a circuit diagram that is a modification of the circuit diagram of FIG. 5; FIG. 7 is a simplified block diagram illustrating the test basis and implementation of the embodiments of FIGS. 5 and 3; FIG. 8 is a circuit diagram according to the present invention. 9 is a diagram schematically illustrating one possible physical configuration of an automatic fault detection system; and FIG. 9 shows a schematic cross-sectional view taken along lines . An engraving of one song (no changes to the content)

Claims (1)

【特許請求の範囲】 1、印刷配線回路基板あるいは類似物上の素子の能力を
テストするための障害検出システムにおいて、該システ
ムが、双方向電流伝導スイッチネットワークのアレイを
含み、個々のネットワークがテストを受ける印刷配線回
路基板あるいは類似物上の節点への接続のためのテスト
ポイントを規定し、またこの対応するスイッチネットワ
ークの制御下で刺激ソースか基準(例えば、地気)電位
に接続でき、また同時に測定装置の対応する入力に接続
が可能であることを特徴とする障害検出システム。 2、特許請求の範囲第1項に記載の障害検出システムに
おいて、該スイッチネットワークの各々が1個のアナロ
グスイッチネットワークを含むことを特徴とする障害検
出システム。 3、特許請求の範囲第1項ないし第2項に記載の障害検
出システムにおいて、該スイッチネットワークの各々が
4個の双方向電流伝導アナログスイッチを含み、個々の
スイッチが該対応するスイッチの電流伝導状態を制御す
るための入力が加えられる制御電極を持ち、該スイッチ
の第1のスイッチの電流伝導経路が刺激ソース端子と該
対応するテストポイントに間に接続されまた該スイッチ
の制御電極が第1の選択端子に接続され、該スイッチの
第2のスイッチの電流伝導経路が該テストポイント基準
(例えば、大地)電位端子の間に接続されまた該スイッ
チの制御電極が第2の選択端子に接続され、該スイッチ
の第3のスイッチの電流伝導経路が該テストポイントと
第1の測定端子の間に接続されまた該スイッチの制御電
極が第3の選択端子に接続され、そして該スイッチの第
4のスイッチの電流伝導経路が該テストポイントと第2
の測定端子の間に接続されまた該スイッチの制御電極が
第4の選択端子に接続されることを特徴とする障害検出
システム。 4、特許請求の範囲第3項に記載の障害検出ステムにお
いて、該第1及び該第3の選択端子が共通に接続され、
また該第2及び該第4の選択端子が共通に接続されるこ
とを特徴とする障害検出システム。 5、特許請求の範囲第3項ないし第4項に記載の障害検
出システムにおいて、該スイッチの各々が双方向アナロ
グ送信ゲートを含むことを特徴とする障害検出システム
。 6、特許請求の範囲第3項、第4項あるいは第5項に記
載の障害検出システムにおいて、該刺激ソース端子及び
基準電位端子並びに該第1の測定端子及び該第2の測定
端子を選択的に該スイッチネットワークに接続するため
のマルチプレクサ、トランジスタの利得を測定するため
にそのトランジスタの極性のタイプに従つて該測定端子
のどちらかの出力を選択するためのスイッチ装置、該選
択された測定端子出力に該トランジスタへのベース入力
を生成するための追加の電流を結合するための装置、及
びスイッチネットワークの対応する1つによつて確立さ
れた該テストポイントにエミッタ及びコネクタが結合さ
れたトランジスタのベースに該ベース入力を加えるため
の装置を備えることを特徴とする障害検出システム。 7、前述の特許請求の範囲のいずれかに記載の障害検出
システムにおいて、該スイッチネットワークのアレイに
これに制御入力を提供するために該アレイにデータ処理
装置が結合され、これによつて個々のテストポイントと
関連する該スイッチネットワークの状態が選択的に制御
されることを特徴とする障害検出システム。 8、前述の特許請求の範囲のいずれかに記載の障害検出
システムにおいて、複数の刺激ソースを該スイッチネッ
トワークのアレイに選択的に結合するためのスイッチ装
置が含まれることを特徴とする障害検出システム。 9、特許請求の範囲第7項及び第8項に記載の障害検出
システムにおいて、該スイッチ装置の該動作が該データ
処理装置によつて制御されることを特徴とする障害検出
システム。 10、特許請求の範囲第9項ないし第9項に記載の障害
検出システムにおいて、該複数の刺激ソースが少なくと
もDC電圧ソース、定電流ソース、及び可変周波数信号
発生器を含むことを特徴とする障害検出システム 11、前述の特許請求の範囲のいずれかに記載の障害検
出システムにおいて、該測定装置が素子の測定値の所定
公差範囲との関係を示す指標を提供するための装置を含
むこと を特徴とする障害検出システム。 12、前述の特許請求の範囲のいずれかに記載の障害検
出システムにおいて、該測定装置がテスト素子あるいは
素子の一群のテスト刺激に対する反応の表示を行なうた
めの装置を含むことを特徴とする障害検出システム。 13、前述の特許請求の範囲のいずれかに記載の障害検
出システムにおいて、該測定装置がテストを受ける素子
あるいは素子の組合せに加えられる所定の刺激の組合せ
に応答して対応する素子あるいは素子の組合せの動作が
合格であることを示す応答を認識するための特性認識装
置を含むことを特徴とする障害検出システム。 14、前述の特許請求の範囲のいずれかに記載の障害検
出システムにおいて、印刷配線回路板の回路節点との接
触を行なうために配置された複数のプローブ部材、電気
的に絶縁性の担体上に塔載された複数のピンから成るピ
ンマトリックスアレイ、該プローブ部材の個々を該ピン
の選択された1つに接続するための装置、該ピンマトリ
ックスアレイの該ピンの対応するピンによつて接触され
るように構成されたピンを含む複数のコネクタピンから
成るコネクマトリックス、及び該コネクタピンを該スイ
ッチネットワークのアレイによつて定義される該テスト
ポイントの対応するポイントに接続するための装置を含
むことを特徴とする障害検出システム。 15、特許請求の範囲第14項に記載の障害検出システ
ムにおいて、該コネクタマトリックスの該ピンが印刷配
線回路基板あるいは類似物上の複数の列内に塔載され、
また該スイッチネットワークが該列の間に塔載されまた
該ピンに接続された集積回路デバイスから構成されるこ
とを特徴とする障害検出システム。 16、特許請求の範囲第14項なし第15項に記載の障
害検出システムにおいて、該複数のプローブ部材が該ピ
ンマトリックスアレイを塔載するためにも使用される第
1の装置部分内に塔載され、また該コネクタトリックス
が第2の装置部分内に塔載され、該装置が異なる印刷配
線回路基板のテストにおいて該プローブ部材及び該ピン
マトリックスアレイの異なる構成を該第1の装置部分と
アセンブリでき、また該第2の装置部分を修正すること
なく該第2の装置部分との動作関係にアセンブリできる
ことを特徴とする障害検出システム。 17、特許請求の範囲第16項に記載の障害検出システ
ムにおいて、該第1の装置部分が該第2の装置部分内の
受容後退部内に受けられるモジュール式の要素を含み、
該モジュール式の要素が該後退部に挿入されると該ピン
マトリックスアレイの該ピンが該コネクタマトリックス
の該ピンの対応する1つのピンと接触することを特徴と
する障害検出システム。 18、特許請求の範囲第17項に記載の障害検出システ
ムにおいて、該第1の装置部分がテストを受ける回路基
板用の受容面を形成する上側面を持つ硬質長方形ハウジ
ングを含み、該受容面がこの上に受けられるテストされ
る回路基板を該ハウジングの内側に向つて移行するよう
に移動でき、該複数のプローブ部材が該回路基板がこう
して移行されたとき該受容面を該回路基板所定の回路節
点が接触されるようなパターンにて覆う絶縁担体内に塔
載されたばねを持つ複数のピンを持ち、該ピンマトリッ
クスアレイが該ハウジングの該受容面の反対側の面に塔
載され、該ピンが該ハウジングの内側に突出しまた該ハ
ウジングの外側からアクセスできるヘッド部分を持ち、
さらに第1の装置部分が該プローブピンを該ハウジング
の内側に突出る該ピンマトリックスアレイの該ピンの選
択されたピンと相互接続するための複数の導線を含み、
そして該第2の装置部分が該第1の装置部分を受けるた
めの寸法を持つ後退部を備える上側面及び該第1の装置
部分が該後退部に挿入されたとき該ピンマトリックスと
インタフェースするために該コネクタマトリックスが塔
載される下側面を持ち、該コネクタマトリックスが複数
のばねを持つピンを持ち、該ピンの各々が該第1の装置
部分内に供される該ピンマトリックスの該ピンの対応す
るピンのヘッド部と接触するよう設計されていることを
特徴とする障害検出システム。 19、特許請求の範囲第18項に記載の障害検出システ
ムにおいて、該ピンマトリックスアレイ及び該コネクタ
マトリックスアレイ上に該第1の装置部分が該第2の装
置部分の該後退部に挿入されたときこれらが互いに所定
の正確な位置関係に来るようにこれらを導くための協動
装置を含み、該協動装置が個々が対のプローブの対応す
る1つとかみあうように設計された対の円部材を含むこ
とを特徴とする障害検出システム。 20、テストを受ける回路基板を電気的にテスト装置に
接続するための電気インタフェース装置において、該装
置がテストを受ける基板の対応する回路接点とかみあう
ことが可能な、担体内での該プローブの構成が該回路基
板と該担体が互いに所定の並置に運こばれたときこのか
みあいが達成できるように該回路基板の配列との関連で
決定される複数の電気プローブ、電気的に縁性の支持体
内に塔載される複数の端子ピンを持つピンマトリックス
アレイ、及び該プローブを該端子ピンの対応する1つに
接続するための装置及び電気的に絶縁性の支持体内に塔
載される複数のコネクタ端子を持つコネクタマトリック
スを含み、該コネクタ端子の各々が該ピンマトリックス
アレイと該コネクタマトリックスが互いに所定の並置に
運こばれたとき該端子ピンの対応する1つによつて接触
するように配列され、該コネクタ端子が印刷配線回路基
板あるいは類似物内に塔載されそして該テスト装置ある
いはこの部分を構成する集積回路デバイスが該回路基板
あるいは類似物上に塔載されまた該コネクタ端子と結合
され、これによつて該コネクタ端子と該集積回路デバイ
スとの間の接続が短かなリードで達成されることを特徴
とする電気インタフェース装置。 21、特許請求の範囲第20項に従がう電気インタフェ
ース装置を含む電気テスト装置において、該テスト装置
が第1のモジュール装置部分と該第1のモジュール部分
を受けるための後退部を持つ第2の装置部分を持ち、該
第1のモジュール部分がテストを受ける回路基板用の受
容面及び該受容面上の回路基板と該複数のプローブとの
間の相対的移行を該プローブが該回路基板回路節点とか
みあうように達成するための装置を含み、該プローブに
ばねが提供されそして該ピンマトリックスアレイが該第
1のモジュール装置部分の第2の面に該ピンのヘッド部
が該第2の面の所でアクセスでき該コネクタマトリック
スの該コネクタ端子の対応する1つとかみあえるように
塔載され、該第2の装置部分の該コネクタマトリックス
が該後退部の面に塔載されそして該コネクタマトリック
スが絶縁担体内に塔載されたばねを持つ複数の端子ピン
から成ることを特徴とする電気テスト装置。 22、各々がテストポイントを刺激ソースか基準ソース
に、及び同時に測定装置に接続することを制御可能な複
数の双方向電流伝導スイッチネットワーク、該刺激ソー
スと該基準ソース及び該測定装置を含みさらにソフトウ
ェアの制御下で動作する該スイッチネットワークの動作
を制御するためのデータ処理装置を含むハウジング部分
、該ハウジングの後退内に取外し可能に受られるように
設計されたモジュール装置の受容面上に受けられるテス
トを受ける回路基板、該受容面と関連する該回路基板の
回路節点をプローブするための複数のプローブ、片方が
該モジュール装置上に提供され他方が該後退部内の該ハ
ウジング部上に提供される2個の部分から成るインタフ
ェースコネクタから構成される回路基板障害検出装置に
おいて、該片方のコネクタ部分が選択されたピンが該プ
ローブの対応する1つに結合されるピンマトリックスア
レイを含み、該他方のコネクタ部分が該モジュール装置
が該ハウジング後退部に挿入されたとき個々のコネクタ
が該ピンマトリックスアレイの該ピンの対応する1つと
かみあうように設計されたコネクタマトリックスアレイ
を含み、該コネクタの各々がテストポイントを構成し該
スイッチネットワークの対応する1つに結合されること
を特徴とる回路基板障害検出装置。 23、回路基板受容モジュールを受けるための後退部を
形成するハウジング、該後退部に取外し可能に受けられ
る回路基板受容モジュール、双方向電流伝導スイッチネ
ットワークのアレイ、刺激ソース及び基準電位を確立す
る装置、及び該ハウジング内に提供されるデータ処理装
置から構成される回路基板障害検出装置において、 該回路基板受容モジュールがこの上に回路基板を受ける
ための受容面該回路基板の回路節点をプローブするため
に前もつて決定された構成にて絶縁担体内に塔載された
複数の電気プローブ及びピンの選択された1つが該プロ
ーブの対応する1つに接続されるピンマトリックスアレ
イから成る第1のインタフェースコネクタ部を含み、該
第1のインタフェースコネクタ部が該受容モジュールの
外側からアクセスでき、該後退部にコネクタマトリック
スアレイから成る第2のンタフェースコネクタ部が提供
され、該コネクタマトリックスアレイが該回路基板受容
モジュールが該後退部内に受けられたとき各々が該ピン
マトリックスアレイの該ピンの対応する1つとかみあう
複数のコネクタピンを持ち、該コネクタピンの各々が該
第1のインタフェースコネクタ部を介してテストを受け
る回路基板の節点に接続される本装置のテストポイント
を構成し、該双方向電流伝導スイッチネットワークのア
レイが該ハウジング内に提供されまた各々が該コネクタ
ピンの対応する1つに結合され該コネクタピンを刺激ソ
ースあるいは基準電位に及び同時に測定装置の入力に選
択的に接続し、該ハウジング内に提供された該刺激ソー
ス及び該基準電位を確立するための該装置によつて該測
定装置が提供され、該ハウジング内の該データ処理装置
が該第1及び該第2のインタフェースコネクタ並びに該
プローブを介してテストを受ける回路基板の該回路節点
に結合された該スイッチネットワークの状態を選択的に
制御して該節点に結合された回路素子に所定の電気刺激
を加え、これによつて該素子の能力が検査されることを
特徴とする回路基板障害検出装置。
Claims: 1. A fault detection system for testing the capabilities of a device on a printed circuit board or the like, the system comprising an array of bidirectional current conducting switch networks, each network being tested. defines a test point for connection to a node on a printed circuit board or the like which receives the signal, and which can be connected to a stimulus source or reference (e.g., earth) potential under the control of this corresponding switch network; A fault detection system characterized in that it can be connected to corresponding inputs of a measuring device at the same time. 2. The fault detection system according to claim 1, wherein each of the switch networks includes one analog switch network. 3. A fault detection system according to claims 1-2, wherein each of the switch networks includes four bidirectional current-conducting analog switches, each switch having a current-conducting current of the corresponding switch. a control electrode to which an input for controlling a state is applied, a current conduction path of a first switch of the switch being connected between the stimulus source terminal and the corresponding test point; a selection terminal of the switch, a current conducting path of a second switch of the switch being connected between the test point reference (e.g., ground) potential terminals, and a control electrode of the switch being connected to the second selection terminal of the switch. , a current conduction path of a third switch of the switch is connected between the test point and the first measurement terminal and a control electrode of the switch is connected to a third selection terminal, and a fourth of the switch The current conduction path of the switch is connected to the test point and the second
A fault detection system, characterized in that the control electrode of the switch is connected between the measurement terminals of the switch and the fourth selection terminal. 4. In the fault detection stem according to claim 3, the first and third selection terminals are connected in common,
The failure detection system is further characterized in that the second and fourth selection terminals are commonly connected. 5. A fault detection system according to claims 3 to 4, wherein each of the switches includes a bidirectional analog transmission gate. 6. In the fault detection system according to claim 3, 4, or 5, the stimulation source terminal and the reference potential terminal, and the first measurement terminal and the second measurement terminal are selectively connected. a multiplexer for connecting to said switch network, a switch device for selecting the output of either of said measuring terminals according to the type of polarity of said transistor for measuring the gain of a transistor, said selected measuring terminal; a device for coupling an additional current to the output to produce a base input to the transistor, and an emitter and a connector of the transistor coupled to the test point established by a corresponding one of the switch networks; A fault detection system comprising a device for applying the base input to a base. 7. A fault detection system according to any of the foregoing claims, wherein a data processing device is coupled to the array of switch networks for providing control inputs thereto; A fault detection system characterized in that a state of the switch network associated with a test point is selectively controlled. 8. A fault detection system according to any of the preceding claims, characterized in that it includes a switching device for selectively coupling a plurality of stimulation sources to the array of switch networks. . 9. The failure detection system according to claims 7 and 8, wherein the operation of the switch device is controlled by the data processing device. 10. A fault detection system according to claims 9-9, characterized in that the plurality of stimulation sources includes at least a DC voltage source, a constant current source, and a variable frequency signal generator. Detection system 11, a fault detection system according to any of the preceding claims, characterized in that the measuring device includes a device for providing an indication of the relationship of the measured value of the element to a predetermined tolerance range. fault detection system. 12. Fault detection system according to any of the preceding claims, characterized in that the measuring device includes a device for displaying the response of a test element or group of elements to a test stimulus. system. 13. A fault detection system according to any of the preceding claims, in which the measuring device responds to a predetermined combination of stimuli applied to the element or combination of elements to be tested. A fault detection system comprising: a characteristic recognition device for recognizing a response indicating that the operation of the fault detection system passes. 14. A fault detection system according to any of the preceding claims, wherein a plurality of probe members arranged for making contact with circuit nodes of a printed circuit board, on an electrically insulating carrier; a pin matrix array consisting of a plurality of pins mounted on a pin; a device for connecting each of the probe members to a selected one of the pins; a connector matrix comprising a plurality of connector pins including pins configured to connect the connector pins to corresponding points of the test points defined by the array of the switch network; A fault detection system featuring: 15. The fault detection system of claim 14, wherein the pins of the connector matrix are mounted in a plurality of rows on a printed circuit board or the like;
A fault detection system further characterized in that said switch network is comprised of integrated circuit devices mounted between said columns and connected to said pins. 16. A fault detection system as claimed in claim 15 without claim 14, wherein the plurality of probe members are mounted in a first device part that is also used to mount the pin matrix array. and the connector matrix is mounted within a second device section, the device being capable of assembling different configurations of the probe member and the pin matrix array with the first device section for testing different printed circuit boards. , and which can be assembled into operative relationship with the second device portion without modification of the second device portion. 17. The fault detection system of claim 16, wherein the first device portion includes a modular element received within a receiving recess in the second device portion;
A fault detection system characterized in that the pins of the pin matrix array contact a corresponding one of the pins of the connector matrix when the modular element is inserted into the recess. 18. The fault detection system of claim 17, wherein the first device portion includes a rigid rectangular housing having an upper surface forming a receiving surface for a circuit board under test; A circuit board to be tested received thereon is movable to migrate toward the interior of the housing, the plurality of probe members positioning the receiving surface of the circuit board in a predetermined circuit position when the circuit board is thus transferred. a plurality of pins with springs mounted in an insulating carrier covering in a pattern such that the nodal points are contacted, the pin matrix array being mounted on a surface opposite the receiving surface of the housing; has a head portion that projects inside the housing and is accessible from the outside of the housing;
further comprising a first device portion including a plurality of conductive wires for interconnecting the probe pins with selected pins of the pin matrix array projecting inside the housing;
and an upper surface with a recess dimensioned for the second device portion to receive the first device portion and for interfacing with the pin matrix when the first device portion is inserted into the recess. a lower surface on which the connector matrix is mounted, the connector matrix having a plurality of spring-loaded pins, each of the pins of the pin matrix being provided within the first device portion; A fault detection system characterized in that it is designed to come into contact with the head of a corresponding pin. 19. In the fault detection system according to claim 18, when the first device part is inserted into the retracted part of the second device part on the pin matrix array and the connector matrix array. a pair of circular members each designed to engage a corresponding one of the pair of probes; A fault detection system comprising: 20. An electrical interface device for electrically connecting a circuit board under test to a test device, the configuration of the probe within a carrier so that the device can engage corresponding circuit contacts of the board under test. a plurality of electrical probes, determined in relation to the arrangement of the circuit board, such that this engagement is achieved when the circuit board and the carrier are brought into a predetermined juxtaposition with each other; a pin matrix array having a plurality of terminal pins mounted on the substrate, and a device for connecting the probe to a corresponding one of the terminal pins, and a plurality of connectors mounted on an electrically insulating support. a connector matrix having terminals, each of the connector terminals arranged in contact with a corresponding one of the terminal pins when the pin matrix array and the connector matrix are brought into a predetermined juxtaposition with each other; , the connector terminals are mounted on a printed circuit board or the like, and an integrated circuit device forming part of the test apparatus or the like is mounted on the circuit board or the like and coupled to the connector terminals; An electrical interface device characterized in that connection between the connector terminal and the integrated circuit device is thereby achieved with short leads. 21. An electrical test device comprising an electrical interface device according to claim 20, wherein the test device has a first module device portion and a second setback portion for receiving the first module device portion. the first module part has a receiving surface for a circuit board to be tested, and the probes detect relative transitions between the circuit board on the receiving surface and the plurality of probes. a device for achieving engagement with a node, the probe is provided with a spring, and the pin matrix array is mounted on a second surface of the first module device portion with the heads of the pins on the second surface. the connector matrix of the second device section is mounted on the face of the setback and the connector matrix is mounted in mating manner with a corresponding one of the connector terminals of the connector matrix; An electrical test device characterized in that it consists of a plurality of terminal pins having springs mounted in an insulating carrier. 22, a plurality of bidirectional current conducting switch networks each capable of controlling the connection of a test point to a stimulus source or a reference source and simultaneously to a measurement device, including a plurality of bidirectional current conducting switch networks, the stimulus source and the reference source and the measurement device; a housing part containing a data processing device for controlling the operation of said switch network operating under the control of said housing, a test to be subjected to a receiving surface of a modular device designed to be removably received within a recess of said housing; a receiving circuit board, a plurality of probes for probing circuit nodes of the circuit board associated with the receiving surface, one provided on the module apparatus and the other provided on the housing portion within the recess; A circuit board fault detection device consisting of an interface connector consisting of two parts, wherein one connector part includes a pin matrix array with selected pins coupled to a corresponding one of the probes; a portion includes a connector matrix array, each connector being designed to mate with a corresponding one of the pins of the pin matrix array when the modular device is inserted into the housing recess, each connector having a test point; A circuit board fault detection device comprising: a circuit board failure detection device configured to be connected to a corresponding one of the switch networks; 23. A housing forming a recess for receiving a circuit board receiving module, a circuit board receiving module removably received in the recess, an array of bidirectional current conducting switch networks, a stimulation source and a device for establishing a reference potential; and a data processing device provided within the housing, wherein the circuit board receiving module has a receiving surface thereon for receiving a circuit board for probing circuit nodes of the circuit board. a first interface connector comprising a plurality of electrical probes mounted within an insulating carrier in a predetermined configuration and a pin matrix array with selected ones of the pins connected to corresponding ones of the probes; a second interface connector portion comprising a connector matrix array, the first interface connector portion being accessible from outside the receiving module, and a second interface connector portion comprising a connector matrix array provided in the recessed portion; has a plurality of connector pins each mating with a corresponding one of the pins of the pin matrix array when received within the recessed portion, each of the connector pins being tested via the first interface connector portion. An array of bidirectional current conducting switch networks is provided within the housing and each coupled to a corresponding one of the connector pins, forming a test point of the device connected to a node of the circuit board. selectively connected to a stimulus source or reference potential and simultaneously to an input of a measurement device, the measurement device being provided with the stimulation source provided within the housing and the device for establishing the reference potential. , the data processing device within the housing selectively controls the state of the switch network coupled to the circuit node of the circuit board under test via the first and second interface connectors and the probe. 1. A circuit board failure detection device characterized in that a predetermined electrical stimulation is applied to a circuit element coupled to the node, thereby testing the ability of the element.
JP60079447A 1984-04-16 1985-04-16 Trouble detecting system Pending JPS6117074A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
GB8409794 1984-04-16
GB848409794A GB8409794D0 (en) 1984-04-16 1984-04-16 Testing apparatus
GB8508319 1985-03-29

Publications (1)

Publication Number Publication Date
JPS6117074A true JPS6117074A (en) 1986-01-25

Family

ID=10559700

Family Applications (1)

Application Number Title Priority Date Filing Date
JP60079447A Pending JPS6117074A (en) 1984-04-16 1985-04-16 Trouble detecting system

Country Status (4)

Country Link
JP (1) JPS6117074A (en)
KR (1) KR850007309A (en)
GB (1) GB8409794D0 (en)
SG (1) SG32591G (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100506287B1 (en) * 1998-02-11 2005-11-11 삼성전자주식회사 Short presence tester and method

Also Published As

Publication number Publication date
KR850007309A (en) 1985-12-02
GB8409794D0 (en) 1984-05-23
SG32591G (en) 1991-06-21

Similar Documents

Publication Publication Date Title
US4714875A (en) Printed circuit board fault location system
US7109736B2 (en) System for measuring signal path resistance for an integrated circuit tester interconnect structure
US5254953A (en) Identification of pin-open faults by capacitive coupling through the integrated circuit package
US5557209A (en) Identification of pin-open faults by capacitive coupling through the integrated circuit package
KR100752448B1 (en) Method and apparatus for testing signal paths between an integrated circuit wafer and a wafer tester
TWI404948B (en) Apparatus for interfacing test signals between tester and electronic device under test and method of testing electronic device comprising a plurality of inputs
JPH06160457A (en) Testing apparatus of circuit board
US4947106A (en) Programmatically generated in-circuit test of analog to digital converters
US5625292A (en) System for measuring the integrity of an electrical contact
KR19990036645A (en) Method and apparatus for inspecting each contact for one or more devices under test and contact inspection circuit
US5760596A (en) Testing series passive components without contacting the driven node
JPS6117074A (en) Trouble detecting system
JPH0547418Y2 (en)
US6442718B1 (en) Memory module test system with reduced driver output impedance
US4038598A (en) Probe contact and junction detector
JPH01292269A (en) Incircuit function testing apparatus and method
JP2004117247A (en) Prober interface device for semiconductor testing device and device interface system for semiconductor testing device
JP2809304B2 (en) Inspection equipment for IC testing equipment
JP4066265B2 (en) Contact ring of semiconductor test equipment
JPH11304880A (en) Semiconductor testing device
JPH0422306Y2 (en)
GB2268277A (en) Testing electronic circuits
JP2002090427A (en) Semiconductor testing device
JPS60143787A (en) Testing method of operational amplifier
JPH04215078A (en) Semiconductor testing device