JPH11219379A - Altering method for vhdl model - Google Patents

Altering method for vhdl model

Info

Publication number
JPH11219379A
JPH11219379A JP10019855A JP1985598A JPH11219379A JP H11219379 A JPH11219379 A JP H11219379A JP 10019855 A JP10019855 A JP 10019855A JP 1985598 A JP1985598 A JP 1985598A JP H11219379 A JPH11219379 A JP H11219379A
Authority
JP
Japan
Prior art keywords
model
vhdl
shell
new
vhdl model
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP10019855A
Other languages
Japanese (ja)
Inventor
Shigeru Yamashita
茂 山下
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NEC Engineering Ltd
Original Assignee
NEC Engineering Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by NEC Engineering Ltd filed Critical NEC Engineering Ltd
Priority to JP10019855A priority Critical patent/JPH11219379A/en
Publication of JPH11219379A publication Critical patent/JPH11219379A/en
Pending legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To generate a new language model by generating a shell language model by covering a layer which is one layer above a specific language model with an ideal shell and altering into the shell language. SOLUTION: A very-high-speed integrated circuit hardware description language(VHDL) model read-in part 11 reads in a normal VHDL model 2. A shell VHDL generation part 12 automatically generates a shell VHDL model as a general model from the read-in normal VHDL model. The VHDL model is generated by covering the layer which is one layer above the normal VHDL model 2 with the ideal shell. Further, a specific alteration merging part 13 adds new specifications 3 to the shell VHDL. To add the new specifications 3, a function alteration part corresponding to the specification alteration of the new specifications 3 is merged with the shell VHDL model. Further, a normal model addition part 14 functions to add the normal model to the shell VHDL model of the generated new function. Consequently, the new VHDL model 4 is generated.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、VHDL(Very H
igh Speed Integrated Circuit Hardware Description
Language)モデルの変更方法に関し、より詳しくは、V
HDL言語により作成されたVHDLモデルを変更する
ための方法に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to VHDL (Very H
igh Speed Integrated Circuit Hardware Description
Language) How to change the model
A method for modifying a VHDL model created by the HDL language.

【0002】[0002]

【従来の技術】VHDL言語は、LSIなどの回路の設
計支援装置において使用される言語である。そして、こ
の設計支援装置では、LSIなどの回路の設計を、実際
の回路構成ではなくVHDL言語により論理的に行って
いる。これにより、LSIなどの動作の確認を論理的に
行うことができる。
2. Description of the Related Art The VHDL language is a language used in a circuit design support apparatus such as an LSI. In this design support apparatus, a circuit such as an LSI is logically designed using a VHDL language instead of an actual circuit configuration. Thus, the operation of the LSI or the like can be logically confirmed.

【0003】VHDL言語における回路の設計は、回路
と外部との接続情報(入出力ピン情報)を記述するため
のたエンティテイ(entity)部、回路の動作を記述する
ためのアーキテクチャ(architecture)部、およびこれ
らエンティテイ部とアーキテクチャ部との対応関係を記
述するコンフィギュレーション(configuration )部な
どを用いて行われている。そして、これらアーキテクチ
ャ部、エンティテイ部、コンフィギュレーション部など
によりVHDLのフォーマットに合わせて回路の記述を
行うことで回路の対応するVHDLモデルを作成し、ま
た、このVHDLモデルをVHDLシミュレータにより
シュミレートしてLSIの論理検証を行っている。
The circuit design in the VHDL language includes an entity section for describing connection information (input / output pin information) between the circuit and the outside, an architecture section for describing the operation of the circuit, And a configuration unit that describes the correspondence between these entity units and the architecture unit. Then, the corresponding VHDL model of the circuit is created by describing the circuit in accordance with the VHDL format by the architecture unit, the entity unit, the configuration unit, and the like. Is being verified.

【0004】また、従来技術において、上記のようなV
HDL言語で作成した既存のVHDLモデルの機能を変
更する場合、そのVHDLモデルを機能変更に応じて代
えることで新しいモデル(類似モデル)を作っていた。
つまり、図3に示したように、既存モデルA31を回路
設計者が手作業で変更して類似モデルB32、類似モデ
ルC33、あるいは類似モデルD34などを作成してい
る。
Further, in the prior art, V
When changing the function of an existing VHDL model created in the HDL language, a new model (similar model) is created by replacing the VHDL model according to the function change.
That is, as shown in FIG. 3, the circuit designer manually changes the existing model A31 to create a similar model B32, a similar model C33, a similar model D34, or the like.

【0005】[0005]

【発明が解決しようとする課題】しかしながら、上記従
来技術のように既存のVHDLモデルを変更して新しい
VHDLモデルを作る手法の場合、既存のVHDLモデ
ルに一部変更や修正などを加えることから、出来上がっ
た新しいVHDLモデルの品質などを全体を通して再度
確認する必要があり、その作成に時間がかかってしま
う。また、既存のVHDLモデルに手を加えることでミ
スなどが混入し易く、新しいVHDLモデルの品質が悪
化する虞がある。
However, in the case of a method of creating a new VHDL model by changing an existing VHDL model as in the above-described conventional technique, a part of the existing VHDL model is changed or modified. It is necessary to reconfirm the quality and the like of the completed new VHDL model throughout, and it takes time to create it. Further, by modifying the existing VHDL model, mistakes and the like are likely to be mixed, and the quality of the new VHDL model may be deteriorated.

【0006】本発明は、上記のような問題なしに、既存
のVHDLモデルから新しいVHDLモデルを容易に作
成することができる、VHDLモデルの変更方法を提供
することを課題とする。
It is an object of the present invention to provide a method of changing a VHDL model that can easily create a new VHDL model from an existing VHDL model without the above-mentioned problems.

【0007】[0007]

【課題を解決するための手段】本発明のVHDLモデル
の変更方法は、既存のVHDLモデルの一階層上に論理
的な殻を被せて殻VHDLモデルを作成し、前記殻VH
DLモデルを変更することで新しいVHDLモデルを作
成することを特徴とする。
According to a method of changing a VHDL model of the present invention, a shell VHDL model is created by putting a logical shell on one layer of an existing VHDL model, and
A new VHDL model is created by changing the DL model.

【0008】より具体的には、上記の殻VHDLモデル
の変更は、殻VHDLモデルに所望の仕様変更に対応し
た機能変更部分をマージすることにより行われる。ま
た、この機能変更部分のマージが、論理合成で最適化し
て不要な冗長回路をなくすことで行われる。
[0008] More specifically, the change of the shell VHDL model is performed by merging a function change portion corresponding to a desired specification change into the shell VHDL model. Further, the merging of the function changed portion is performed by optimizing by logic synthesis to eliminate unnecessary redundant circuits.

【0009】本発明では、既存のVHDLモデルに殻を
被せることで既存のVHDLモデルを流用していること
から、殻VHDLモデルについての論理検証は一切不要
となる。このため、出来上がった新しいVHDLモデル
の品質などの再度確認が容易となる。
In the present invention, since the existing VHDL model is diverted by putting a shell over the existing VHDL model, logic verification of the shell VHDL model is not required at all. For this reason, it is easy to confirm the quality of the completed new VHDL model again.

【0010】[0010]

【発明の実施の形態】以下に、本発明の実施の形態を添
付図面を用いて説明する。図1に、本発明のVHDLモ
デルの変更方法を行うためのVHDLモデル作成システ
ム1を示した。このVHDL作成システム1は、VHD
Lモデル読み込み部11、殻VHDL作成部12、仕様
変更マージ部13、既存モデル追加部14などから構成
されている。
Embodiments of the present invention will be described below with reference to the accompanying drawings. FIG. 1 shows a VHDL model creation system 1 for performing the VHDL model changing method of the present invention. This VHDL creation system 1 is a VHD
It comprises an L model reading unit 11, a shell VHDL creating unit 12, a specification change merging unit 13, an existing model adding unit 14, and the like.

【0011】ここで、上記のVHDLモデル読み込み部
11は、既存のVHDLモデル2を読み込む。また殻V
HDL作成部12は、読み込んだ既存のVHDLモデル
2から自動的に、汎用モデルとなる殻VHDLモデルを
作成する。VHDLモデルの作成は、既存のVHDLモ
デル2の一階層上に論理的な殻を被せることで行われ
る。また、仕様変更マージ部13は、殻VHDLに新仕
様3を追加する。この新仕様3の追加は、殻VHDLモ
デルに新仕様3による仕様変更に対応した機能変更部分
をマージすることにより行われる。また、この場合、機
能変更部分のマージは、論理合成で最適化して不要な冗
長回路をなくすことで行われる。さらに既存モデル追加
部14は、このようにして作成された新機能の殻VHD
Lモデルに既存モデルを追加するように機能する。この
ようにして、VHDLモデル作成システム1は、既存の
VHDLモデル2から新しいVHDLモデル4を作成す
る。
Here, the VHDL model reading section 11 reads an existing VHDL model 2. Also shell V
The HDL creation unit 12 automatically creates a shell VHDL model as a general-purpose model from the existing VHDL model 2 that has been read. The VHDL model is created by putting a logical shell on one layer of the existing VHDL model 2. The specification change merge unit 13 adds the new specification 3 to the shell VHDL. The addition of the new specification 3 is performed by merging a function change portion corresponding to the specification change according to the new specification 3 into the shell VHDL model. Further, in this case, merging of the function changed portions is performed by optimizing by logic synthesis to eliminate unnecessary redundant circuits. Further, the existing model adding unit 14 includes the new function shell VHD thus created.
Functions to add an existing model to the L model. Thus, the VHDL model creation system 1 creates a new VHDL model 4 from the existing VHDL model 2.

【0012】次に、図2により、既存のVHDLモデル
から新しいVHDLモデルを作成する具体例を説明す
る。まず、図2において、既存のVHDLモデル21に
論理的な殻を被せて、汎用モデルとなる殻モデル22を
作成する。この殻モデル22は、より詳しくは、例えば
次のようにして作成される。まず、既存のVHDLモデ
ル21におけるVHDL言語の記述を用いて、外部接続
情報をエンティテイ部で記述する。即ち、殻モデル「k
ara」、入力端子a、b、c、d、e、出力端子f、
g、h、i、jとすればエンテイティ部は次のようにな
る。
Next, a specific example of creating a new VHDL model from an existing VHDL model will be described with reference to FIG. First, in FIG. 2, an existing VHDL model 21 is covered with a logical shell, and a shell model 22 serving as a general-purpose model is created. More specifically, the shell model 22 is created as follows, for example. First, using the description of the VHDL language in the existing VHDL model 21, the external connection information is described by the entity unit. That is, the shell model "k
ara ", input terminals a, b, c, d, e, output terminals f,
If g, h, i, and j are used, the entity unit is as follows.

【0013】[0013]

【数1】 (Equation 1)

【0014】また、アーキテクチャ部により動作を記述
する。ここで、既存モデルkizonの入力出力端子を
コンポーネント(component )記述し、またポートマッ
プ(port map)記述で、エンティテイ部で記述した端子
を次のようにマッピングする。
The operation is described by the architecture section. Here, the input / output terminals of the existing model kizon are described as components, and the terminals described in the entity part are mapped in the port map description as follows.

【0015】[0015]

【数2】 architecture arc_kara of kara is component kizon port( signal a,b,c,d,e:in std_logic; signal f,g,h,i,j:out std_logic ); end component; begin kizon_C0:kizon port map(a,b,c,d,e,f,g,h,i,j); end arc_kara; [Equation 2] architecture arc_kara of kara is component kizon port (signal a, b, c, d, e: in std_logic; signal f, g, h, i, j: out std_logic); end component; begin kizon_C0: kizon port map (a, b, c, d, e, f, g, h, i, j); end arc_kara;

【0016】そして、コンフィギュレーション部におい
て、karaモデルのエンティテイ部とアーキテクチャ
部とkizonモデルとの対応付けを行う。本例の場合
には次のようになる。
Then, in the configuration section, the entity section of the kara model is associated with the architecture section and the kizon model. In the case of this example, it is as follows.

【0017】[0017]

【数3】 configuration cfg_kara of kara is for arc_kara for kizon_C0: kizon use configuration work.cfg_kizon; end for; end for; end cfg_kara;[Equation 3] configuration cfg_kara of kara is for arc_kara for kizon_C0: kizon use configuration work.cfg_kizon; end for; end for; end cfg_kara;

【0018】そして、上記のようにして作成したエンテ
ィテイ部、アーキテクチャ部、コンフィギュレーション
部の殻モデルを出力する。次に、この殻モデルEに対し
て、機能変更部分を適宜入力しマージすることで、新し
いVHDLモデルである類似モデル23、24、25を
それぞれ作成する。
Then, the shell model of the entity unit, the architecture unit, and the configuration unit created as described above is output. Next, similar models 23, 24, and 25, which are new VHDL models, are created by appropriately inputting and merging a function change portion with respect to the shell model E.

【0019】[0019]

【発明の効果】以上の通り、本発明のVHDLモデルの
変更方法によれば、既存のVHDLモデルを一切変更し
ていないことから、品質確認のための時間が短くなり、
新しいVHDLモデルを容易に作成することができる。
As described above, according to the VHDL model changing method of the present invention, since the existing VHDL model is not changed at all, the time for quality confirmation is shortened.
A new VHDL model can be easily created.

【図面の簡単な説明】[Brief description of the drawings]

【図1】本発明のVHDLモデルの変更方法を実行する
ためのVHDLモデル作成システムを示した説明図であ
る。
FIG. 1 is an explanatory diagram showing a VHDL model creation system for executing a VHDL model changing method of the present invention.

【図2】本発明の方法により既存のVHDLモデルから
新しいVHDLモデルを作成する例を示した説明図であ
る。
FIG. 2 is an explanatory diagram showing an example of creating a new VHDL model from an existing VHDL model according to the method of the present invention.

【図3】従来技術を示した説明図であるFIG. 3 is an explanatory view showing a conventional technique.

【符号の説明】[Explanation of symbols]

1 VHDLモデル作成システム 11 VHDLモデル読み込み部 12 殻VHDL作成部 13 仕様変更マージ部 14 既存モデル追加部 1 VHDL model creation system 11 VHDL model reading unit 12 Shell VHDL creation unit 13 Specification change merge unit 14 Existing model addition unit

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 既存のVHDLモデルの一階層上に論理
的な殻を被せて殻VHDLモデルを作成し、 前記殻VHDLモデルを変更することで新しいVHDL
モデルを作成することを特徴とするVHDLモデルの変
更方法。
1. A shell VHDL model is created by overlaying a logical shell on one layer of an existing VHDL model, and a new VHDL is created by changing the shell VHDL model.
A method for changing a VHDL model, comprising creating a model.
【請求項2】 前記殻VHDLモデルの変更が、前記殻
VHDLモデルに所望の仕様変更に対応した機能変更部
分をマージすることにより行われることを特徴とするV
HDLモデルの変更方法。
2. The method according to claim 1, wherein the change of the shell VHDL model is performed by merging a function change portion corresponding to a desired specification change into the shell VHDL model.
How to change the HDL model.
【請求項3】 前記機能変更部分のマージが、論理合成
で最適化して不要な冗長回路をなくすことで行われるこ
とを特徴とする請求項2記載の変更方法。
3. The changing method according to claim 2, wherein the merging of the function changed portions is performed by optimizing by logic synthesis to eliminate unnecessary redundant circuits.
JP10019855A 1998-01-30 1998-01-30 Altering method for vhdl model Pending JPH11219379A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP10019855A JPH11219379A (en) 1998-01-30 1998-01-30 Altering method for vhdl model

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP10019855A JPH11219379A (en) 1998-01-30 1998-01-30 Altering method for vhdl model

Publications (1)

Publication Number Publication Date
JPH11219379A true JPH11219379A (en) 1999-08-10

Family

ID=12010857

Family Applications (1)

Application Number Title Priority Date Filing Date
JP10019855A Pending JPH11219379A (en) 1998-01-30 1998-01-30 Altering method for vhdl model

Country Status (1)

Country Link
JP (1) JPH11219379A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019121404A (en) * 2018-01-10 2019-07-22 ディスペース デジタル シグナル プロセッシング アンド コントロール エンジニアリング ゲゼルシャフト ミット ベシュレンクテル ハフツングdspace digital signal processing and control engineering GmbH Generation by incremental system for fpga (field programmable gate array) mounting using graph-based similarity search

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2019121404A (en) * 2018-01-10 2019-07-22 ディスペース デジタル シグナル プロセッシング アンド コントロール エンジニアリング ゲゼルシャフト ミット ベシュレンクテル ハフツングdspace digital signal processing and control engineering GmbH Generation by incremental system for fpga (field programmable gate array) mounting using graph-based similarity search

Similar Documents

Publication Publication Date Title
US6318911B1 (en) Gated clock design supporting method, gated clock design supporting apparatus, and computer readable memory storing gated clock design supporting program
JP2877303B2 (en) Automatic design equipment for integrated circuits
US6457167B1 (en) Gated clock design supporting method, gated clock design supporting apparatus, and computer readable memory storing gated clock design supporting program
JPH11219379A (en) Altering method for vhdl model
JP5114877B2 (en) Design data creation method, design data creation device, and design data creation program
JPH10240576A (en) Verification device and method
JP2921484B2 (en) Logic circuit modification method
JPH0934927A (en) Logic simulation device and logic circuit information generation method
JP2005321861A (en) Function verification method
JPH11120212A (en) Method and device for designing circuit and recording medium recorded with program therefor
JP2000215217A (en) Device and method for logical synthesis
JP4496055B2 (en) Circuit data creation apparatus for multi-power supply layout and circuit data creation method thereof
JPH07306879A (en) Net list hardware description conversion device
JPH09297784A (en) Function description delay calculating method
JP2001022817A (en) Automatic generation device of logic circuit
JPH1125136A (en) Device and method for generating hdl description, and recording medium recorded with hdl description generating program
JP2004086255A (en) Image processing method and image processor, storage medium and computer program
JPH10116279A (en) Document processor
JPH09251483A (en) Cell library production method
JPH0535782A (en) Language converter
JP2007011912A (en) Model generation system, model generation method and program therefor
JP2848098B2 (en) Logic verification device
JPH0635983A (en) Circuit diagram generation systme
JPH0210430A (en) Online program generating system
JPH11213023A (en) Method and device for designing semiconductor integrated circuit