JPH11186388A - Manufacturing semiconductor device - Google Patents

Manufacturing semiconductor device

Info

Publication number
JPH11186388A
JPH11186388A JP35352497A JP35352497A JPH11186388A JP H11186388 A JPH11186388 A JP H11186388A JP 35352497 A JP35352497 A JP 35352497A JP 35352497 A JP35352497 A JP 35352497A JP H11186388 A JPH11186388 A JP H11186388A
Authority
JP
Japan
Prior art keywords
film
forming
etching
silicon nitride
silicon oxide
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP35352497A
Other languages
Japanese (ja)
Other versions
JP3486331B2 (en
Inventor
Yasuharu Miyagawa
康陽 宮川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oki Electric Industry Co Ltd
Original Assignee
Oki Electric Industry Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Oki Electric Industry Co Ltd filed Critical Oki Electric Industry Co Ltd
Priority to JP35352497A priority Critical patent/JP3486331B2/en
Publication of JPH11186388A publication Critical patent/JPH11186388A/en
Application granted granted Critical
Publication of JP3486331B2 publication Critical patent/JP3486331B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device, without having an Si substrate exposed to an etching gas for a long time, and without directly exposing the Si substrate to a gas contg. at least CD. SOLUTION: This manufacturing method comprises the steps for forming a thin Si nitride film 31 on an Si substrate 10, depositing an Si oxide film 32, and etching to form contact holes in two steps: a first step for using a gas contg. CO or contg. it and the Si nitride film 31 as an etch stopper, and a second step using a gas not contg. CO and under the condition that the Si nitride film 31 be removed.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、半導体装置の基
板工程、および配線工程における製造方法に関し、特
に、コンタクトホールの形成技術に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method for manufacturing a semiconductor device in a substrate process and a wiring process, and more particularly, to a technology for forming a contact hole.

【0002】[0002]

【従来の技術】LSIデバイス等の半導体装置の分野で
は、装置の小型化、高集積度化に伴い、パターンルール
が縮小の一途をたどっている。これに伴い、基板あるい
はトランスファゲート等と配線層とを結ぶためのコンタ
クトホールにも小径でアスペクト比が高い構造が要求さ
れている。
2. Description of the Related Art In the field of semiconductor devices such as LSI devices, pattern rules are steadily shrinking as devices become smaller and more highly integrated. Accordingly, a structure having a small diameter and a high aspect ratio is also required for a contact hole for connecting a wiring layer with a substrate or a transfer gate.

【0003】上記の要望を満たすような従来の半導体装
置の製造方法を図7および図8に基づいて説明する。図
7はダイナミックランダムアクセスメモリ(DRAM)の
製造工程中の基板工程の一部、図8はその配線工程の一
部をそれぞれ段階的に示す拡大断面図である。DRAM
は一般にCMOS回路を基本とする素子であり、nチャ
ンネルMOSFET(nMOS)とpチャンネルMOSF
ET(pMOS)とを配線で接続して構成される。
A conventional method of manufacturing a semiconductor device which satisfies the above demand will be described with reference to FIGS. FIG. 7 is an enlarged sectional view showing a part of a substrate process in a manufacturing process of a dynamic random access memory (DRAM), and FIG. DRAM
Are generally elements based on a CMOS circuit, and include an n-channel MOSFET (nMOS) and a p-channel MOSFET.
ET (pMOS) is connected by wiring.

【0004】図7(A)に示されるように、シリコン基板
10にはウェルイオンの注入によりn形領域(nウェル)11
とp形領域(pウェル)12とが形成されると共に、選択酸
化により素子分離領域13,14が形成されている。また、
シリコン基板10上には、多数のトランスファゲート20が
形成されている。トランスファゲート20は、シリコン基
板10側から順に、ゲート酸化膜21とゲートポリシリコン
膜22とシリコン酸化膜23とを積層して構成されている。
なお、この明細書では、トランスファゲート20を構成す
るシリコン酸化膜23を、トランスファゲート20の上面を
実際の導通層であるゲートポリシリコン膜22に対して変
位(オフセット)させるという意味で、「オフセットシリ
コン酸化膜」と呼ぶこととする。これら3層を一面に堆
積した後、通常のフォトリソグラフィ工程によりエッチ
ングしてトランスファゲート20を形成する。
[0004] As shown in FIG.
An n-type region (n-well) 11 is implanted into well 10 by well ion implantation.
And a p-type region (p-well) 12 are formed, and element isolation regions 13 and 14 are formed by selective oxidation. Also,
On the silicon substrate 10, a number of transfer gates 20 are formed. The transfer gate 20 is configured by stacking a gate oxide film 21, a gate polysilicon film 22, and a silicon oxide film 23 in this order from the silicon substrate 10 side.
In this specification, the term “offset” means that the silicon oxide film 23 forming the transfer gate 20 is displaced (offset) from the top surface of the transfer gate 20 with respect to the gate polysilicon film 22 which is an actual conductive layer. It is referred to as “silicon oxide film”. After these three layers are deposited on one surface, they are etched by a usual photolithography process to form the transfer gate 20.

【0005】素子分離領域13より図中左側の領域は、集
積度が相対的に低い周辺回路領域Rp、右側の領域は、
集積度が相対的に高いメモリセル領域Rmである。両領
域のnウェル11をレジストによりマスクし、pウェル12
の部分にn形不純物を図中に矢印で示したようにイオン
注入法により注入してnMOSのソース・ドレインとな
るn-拡散層15を形成する。なお、イオン注入時のレジ
ストパターンは図示していない。
A region on the left side of the figure with respect to the element isolation region 13 is a peripheral circuit region Rp having a relatively low degree of integration, and a region on the right side is
This is a memory cell region Rm having a relatively high degree of integration. The n-well 11 in both regions is masked with a resist, and the p-well 12
Then, an n-type impurity is implanted into the portion by an ion implantation method as shown by the arrow in the figure to form an n diffusion layer 15 serving as the source / drain of the nMOS. The resist pattern at the time of ion implantation is not shown.

【0006】次に、ウェーハ全面にシリコン酸化膜を化
学的気相成長(CVD)法により堆積し、これを異方的に
エッチングすることにより図7(B)に示されるようなサ
イドウォール30をトランスファゲート20の側面に形成す
る。ここで形成されるサイドウォール30の幅は、周辺回
路領域RpのMOSのソース・ドレインとなる拡散層を
形成する際のマスクとして必要とされる値になるよう定
められる。
Next, a silicon oxide film is deposited on the entire surface of the wafer by a chemical vapor deposition (CVD) method, and is etched anisotropically to form a side wall 30 as shown in FIG. It is formed on the side surface of the transfer gate 20. The width of the sidewall 30 formed here is determined so as to be a value required as a mask when forming a diffusion layer serving as a source / drain of a MOS in the peripheral circuit region Rp.

【0007】続いて、図7(C)に示すように、通常のフ
ォトリソグラフィプロセスにより他の領域をマスクして
周辺回路領域Rpのpウェルにn形の不純物、nウェル
にp形の不純物を順にイオン注入法により注入し、p+
拡散層16、n+拡散層17を周辺回路領域RpのMOSのソ
ース・ドレインとして形成する。メモリセル領域Rmの
MOSはON/OFF動作をするのみであるため、p+拡散層
やn+拡散層が必要ないが、周辺回路領域RpのMOSは
増幅動作等をするため、これらの拡散層が必要となる。
サイドウォール30はイオン注入の位置、すなわち各拡散
層16,17とトランスファゲート20との位置関係を制御し
ており、これによりMOSFETの特性が決定される。
なお、図7(C)においても、イオン注入時のレジストパ
ターンは図示していない。
Subsequently, as shown in FIG. 7C, the other region is masked by a normal photolithography process, and an n-type impurity is implanted into the p-well and a p-type impurity is implanted into the n-well of the peripheral circuit region Rp. Implanted sequentially by ion implantation, p +
The diffusion layer 16 and the n + diffusion layer 17 are formed as the source and drain of the MOS in the peripheral circuit region Rp. Since the MOS in the memory cell region Rm only performs the ON / OFF operation, no p + diffusion layer or n + diffusion layer is required. However, since the MOS in the peripheral circuit region Rp performs an amplification operation or the like, these diffusion layers Is required.
The side wall 30 controls the position of the ion implantation, that is, the positional relationship between each of the diffusion layers 16 and 17 and the transfer gate 20, whereby the characteristics of the MOSFET are determined.
In FIG. 7C, a resist pattern at the time of ion implantation is not shown.

【0008】周辺回路領域Rpにおける拡散層16,17の形
成後、シリコン酸化膜32を堆積し、表面を化学機械研磨
(CMP)により研磨して、図8(A)に示すように平坦化
する。配線工程では、シリコン酸化膜32の上にポリシリ
コン膜33を堆積し、シリコン基板10およびトランスファ
ゲート20に達するコンタクトホールを形成するため、通
常のフォトリソグラフィプロセスによりレジスト膜によ
るマスクパターン40を形成し、これをマスクとしてシリ
コン酸化膜32をストッパーとする条件でポリシリコン膜
33を異方的にエッチングして開口33aを形成する。
After the formation of the diffusion layers 16 and 17 in the peripheral circuit region Rp, a silicon oxide film 32 is deposited and the surface is chemically and mechanically polished.
Polishing by (CMP) and flattening as shown in FIG. In the wiring step, a polysilicon film 33 is deposited on the silicon oxide film 32, and a mask pattern 40 of a resist film is formed by a normal photolithography process in order to form a contact hole reaching the silicon substrate 10 and the transfer gate 20. The polysilicon film is formed under the condition that the silicon oxide film 32 is used as a stopper using this as a mask.
33 is anisotropically etched to form an opening 33a.

【0009】マスクパターン40のレジストを灰化した
後、さらにポリシリコンを堆積し、異方的にエッチバッ
クすることにより、図8(B)に示すように開口33aの内
側にサイドウォール33bを形成する。そして、ポリシリ
コン膜33をマスクとしてシリコン酸化膜32をドライエッ
チングすることにより、図8(C)に示されるようにシリ
コン基板10に達するコンタクトホール41、およびトラン
スファゲート20に達するコンタクトホール42を形成す
る。上記のようにサイドウォール33bを利用して開口33a
の径を小さくすることにより、フォトリソグラフィプロ
セスにより形成されたマスクパターン40をそのまま利用
するよりも径の小さいコンタクトホールを形成すること
ができる。
After the resist of the mask pattern 40 is ashed, polysilicon is further deposited and anisotropically etched back to form a sidewall 33b inside the opening 33a as shown in FIG. 8B. I do. Then, by dry etching the silicon oxide film 32 using the polysilicon film 33 as a mask, a contact hole 41 reaching the silicon substrate 10 and a contact hole 42 reaching the transfer gate 20 are formed as shown in FIG. I do. Opening 33a using sidewall 33b as described above
By reducing the diameter of the contact hole, a contact hole having a smaller diameter can be formed than when the mask pattern 40 formed by the photolithography process is used as it is.

【0010】コンタクトホール41,42をポリシリコンで
埋めてエッチバックによりパッドを形成してビット線に
接続した後、キャパシタ電極の形成等の処理が行われ、
DRAMのウェーハプロセス(前工程)が終了する。前工
程が終了すると、動作確認のテストが実行され、パッケ
ージング(後工程)を経て半導体装置として完成する。
After the contact holes 41 and 42 are filled with polysilicon to form pads by etch back and connect to bit lines, processing such as formation of capacitor electrodes is performed.
The DRAM wafer process (pre-process) ends. When the pre-process is completed, an operation check test is performed, and the semiconductor device is completed through packaging (post-process).

【0011】[0011]

【発明が解決しようとする課題】しかしながら、上述し
た従来の半導体装置の製造方法では、コンタクトホール
形成のためのエッチング時にシリコン基板10がエッチン
グ用のガスに長時間さらされて損傷を受ける可能性があ
り、半導体装置の性能の劣化や製造歩留まりの低下をも
たらすという問題がある。すなわち、コンタクトホール
41,42の形成時には、エッチングされるシリコン酸化膜3
2の膜厚のばらつきを考慮に入れて、全てのコンタクト
ホール41が確実にシリコン基板10に達するように最も膜
厚が大きい部分に合わせてエッチング時間が決められ
る。このため、比較的シリコン酸化膜32の膜厚が薄い部
分では、コンタクトホール41が開口した後、エッチング
が終了するまでの間のガスにさらされる時間が長くな
り、損傷を受けやすくなる。
However, in the above-described conventional method for manufacturing a semiconductor device, there is a possibility that the silicon substrate 10 may be damaged by being exposed to the etching gas for a long time during the etching for forming the contact hole. There is a problem that the performance of the semiconductor device is deteriorated and the manufacturing yield is reduced. That is, the contact hole
When forming 41 and 42, the silicon oxide film 3 to be etched
In consideration of the variation in the film thickness of 2, the etching time is determined according to the portion having the largest film thickness so that all the contact holes 41 reach the silicon substrate 10 reliably. For this reason, in a portion where the silicon oxide film 32 is relatively thin, the time of exposure to the gas from the opening of the contact hole 41 to the end of the etching becomes longer, and the portion is easily damaged.

【0012】特に、ガスに一酸化炭素が添加されている
場合にはシリコン基板が受けるダメージが大きい。コン
タクトホールの径を設計通りに保つためには、ポリシリ
コン膜33に形成されたサイドウォール33bがエッチング
により削られないようにする必要がある。そのため、ポ
リシリコン膜33に対する選択比が高い一酸化炭素を含む
ガス系、例えばCHF3/CO、Ar/C4F8/CO/O2等のガス系が用
いられている。しかしながら、一酸化炭素が添加された
ガスにシリコン基板10がされされると、基板内の少数キ
ャリアのライフタイムが短くなり、これを回復されるこ
とが困難であること、そしてコンタクト抵抗が上昇する
ことが1995 DRY PROCESS SYMPOSIUMの201〜212ページで
報告されている。これらの現象はいずれも半導体装置の
性能を劣化させる。
In particular, when carbon monoxide is added to the gas, the silicon substrate is greatly damaged. In order to keep the diameter of the contact hole as designed, it is necessary to prevent the sidewall 33b formed in the polysilicon film 33 from being etched away. Therefore, a gas system containing carbon monoxide having a high selectivity to the polysilicon film 33, for example, a gas system such as CHF3 / CO and Ar / C4F8 / CO / O2 is used. However, when the silicon substrate 10 is exposed to a gas to which carbon monoxide is added, the lifetime of minority carriers in the substrate is shortened, it is difficult to recover the minority carriers, and the contact resistance increases. Is reported on pages 201-212 of 1995 DRY PROCESS SYMPOSIUM. All of these phenomena degrade the performance of the semiconductor device.

【0013】この発明は、上述した従来技術の問題点に
鑑みてなされたものであり、エッチング用のガスにシリ
コン基板が長時間さらされることがなく、かつ、少なく
とも一酸化炭素を含むガスにはシリコン基板が直接さら
されないような半導体装置の製造方法を提供することを
目的とする。
SUMMARY OF THE INVENTION The present invention has been made in view of the above-mentioned problems of the prior art, and does not expose a silicon substrate to an etching gas for a long time and uses a gas containing at least carbon monoxide. It is an object of the present invention to provide a method for manufacturing a semiconductor device in which a silicon substrate is not directly exposed.

【0014】[0014]

【課題を解決するための手段】この発明にかかる半導体
装置の製造方法は、シリコン基板上にシリコン窒化膜を
薄く形成してからシリコン酸化膜を堆積しておき、コン
タクトホール形成時のエッチングを2段階とし、シリコ
ン窒化膜をストッパーとする条件で第1段階のエッチン
グを行い、一酸化炭素を含まないガス系でシリコン窒化
膜を除去する条件で第2段階のエッチングを行うように
したことを特徴とする。第1のエッチング段階では、シ
リコン酸化膜の膜厚のばらつきを考慮してエッチング時
間を長めに設定するが、この段階ではシリコン基板がガ
スにさらされることがない。また、第2のエッチング段
階では、シリコン窒化膜の膜厚のばらつきが小さいため
にエッチング時間に余裕を持たせる必要がなく、シリコ
ン基板がガスにさらされる時間は短い。しかも、第2の
エッチング段階で使用されるガス系は一酸化炭素を含ま
ないため、シリコン基板に与えるダメージも小さい。
According to a method of manufacturing a semiconductor device according to the present invention, a silicon nitride film is formed thinly on a silicon substrate, and then a silicon oxide film is deposited. The first stage etching is performed under the condition that the silicon nitride film is used as a stopper, and the second stage etching is performed under the condition that the silicon nitride film is removed using a gas containing no carbon monoxide. And In the first etching step, the etching time is set longer in consideration of the variation in the thickness of the silicon oxide film, but in this step, the silicon substrate is not exposed to the gas. In addition, in the second etching step, it is not necessary to allow a margin for the etching time because the variation in the thickness of the silicon nitride film is small, and the time for exposing the silicon substrate to the gas is short. Moreover, since the gas system used in the second etching step does not contain carbon monoxide, damage to the silicon substrate is small.

【0015】より詳細には、この発明の半導体装置の製
造方法は、シリコン基板上の全面にシリコン窒化膜を堆
積する段階と、シリコン基板の全面において、シリコン
窒化膜上にシリコン酸化膜を堆積する段階と、シリコン
酸化膜上に、シリコン酸化膜とシリコン窒化膜とに対す
るエッチング時の選択性を有し、かつ、シリコン酸化膜
をストッパーとしてエッチング可能な選択膜を形成する
段階と、コンタクトホール形成用のマスクをフォトリソ
グラフィプロセスにより上選択膜上に形成する段階と、
マスクを用い、シリコン酸化膜をストッパーとする条件
で選択膜をエッチングして開口を形成する段階と、選択
膜上に、該選択膜と同一の物質をさらに堆積した後、異
方的にエッチバックすることにより開口の内側にサイド
ウォールを形成する段階と、選択膜をマスクとし、シリ
コン窒化膜をストッパーとし、かつ、選択膜に対して十
分な選択比が確保できる条件で、ホールがシリコン窒化
膜に達するまでドライエッチングするコンタクトホール
形成の第1段階と、選択膜をマスクとし、シリコン基板
に与える影響が小さく、かつ、選択膜に対して選択比が
確保できる条件で、シリコン窒化膜を除去するまで一酸
化炭素を含まないガス系を用いてドライエッチングする
コンタクトホール形成の第2段階とを含み、これらの段
階が順に実行されることを特徴とする。
More specifically, in the method of manufacturing a semiconductor device according to the present invention, a step of depositing a silicon nitride film over the entire surface of a silicon substrate and a step of depositing a silicon oxide film over the silicon nitride film over the entire surface of the silicon substrate Forming a selective film on the silicon oxide film, which has selectivity for etching with respect to the silicon oxide film and the silicon nitride film, and which can be etched using the silicon oxide film as a stopper; Forming a mask on the upper selective film by a photolithography process,
Using a mask, etching the selective film under conditions using the silicon oxide film as a stopper to form an opening, and further depositing the same material as the selective film on the selective film, and then anisotropically etching back. And forming holes in the silicon nitride film under conditions that the selection film is used as a mask, the silicon nitride film is used as a stopper, and that a sufficient selection ratio with respect to the selection film can be secured. The first step of forming a contact hole in which dry etching is performed until the silicon nitride film is reached, and the silicon nitride film is removed under conditions that use the selective film as a mask and have a small effect on the silicon substrate and can secure a selectivity to the selective film. And a second step of forming a contact hole in which dry etching is performed using a gas system containing no carbon monoxide. It is characterized in.

【0016】選択膜は、ポリシリコンにより形成するこ
とができる。また、シリコン窒化膜の形成段階の前に、
シリコン基板上にトランスファゲートを形成する段階を
含ませることができる。この場合、シリコン窒化膜の堆
積段階では、トランスファゲート間にコンタクトホール
の径より広い間隔を残すような膜厚でシリコン窒化膜が
堆積される。さらに、トランスファゲートの形成段階と
シリコン窒化膜の堆積段階との間に、シリコン基板の全
面に保護膜として第1のシリコン酸化膜を形成する段階
と、保護膜上に異種膜を堆積する段階と、異種膜を異方
的にエッチングすることにより、トランスファゲートの
側面にサイドウォールを形成する段階と、トランスファ
ゲートの周囲にサイドウォールをマスクとして、それぞ
れn形、p形の不純物をドーピングする段階と、保護膜
をストッパーとして異種膜をエッチングにより除去する
段階とをさらに含ませてもよい。異種膜は、ポリシリコ
ン膜、あるいはシリコン窒化膜により形成することがで
きる。
The selection film can be formed of polysilicon. Before the step of forming the silicon nitride film,
The method may include forming a transfer gate on a silicon substrate. In this case, in the step of depositing the silicon nitride film, the silicon nitride film is deposited to a thickness that leaves a wider gap between the transfer gates than the diameter of the contact hole. A step of forming a first silicon oxide film as a protective film on the entire surface of the silicon substrate between a step of forming a transfer gate and a step of depositing a silicon nitride film; and a step of depositing a heterogeneous film on the protective film. Forming a sidewall on the side surface of the transfer gate by anisotropically etching the heterogeneous film, and doping n-type and p-type impurities around the transfer gate using the sidewall as a mask. And removing the heterogeneous film by etching using the protective film as a stopper. The different kind of film can be formed by a polysilicon film or a silicon nitride film.

【0017】トランスファゲートは、ポリシリコン膜
と、このポリシリコン膜上に形成されたシリコン酸化膜
とを有するように形成してもよい。この場合、トランス
ファゲート上ではシリコン窒化膜の下にシリコン酸化膜
が形成されているため、トランスファゲートにコンタク
トするためのコンタクトホールはシリコン酸化膜、シリ
コン窒化膜、シリコン酸化膜の順にエッチングして形成
される必要がある。そこで、シリコン窒化膜の膜厚は、
トランスファゲート上ではコンタクトホール形成の第1
段階でのストッパーとして機能せず、トランスファゲー
ト以外の部分ではストッパーとして機能するよう定めら
れる。これにより、トランスファゲート以外の部分で
は、第1段階でシリコン酸化膜がエッチングされ、第2
段階でシリコン窒化膜がエッチングされるのに対し、ト
ランスファゲート上では第1段階でシリコン窒化膜がエ
ッチングされ、第2段階でシリコン酸化膜がエッチング
される。なお、コンタクトホール形成の第1段階では、
一酸化炭素を含むガス系を用い、層あるいは、一酸化炭
素を含まないガス系を用いることができる。
The transfer gate may be formed to have a polysilicon film and a silicon oxide film formed on the polysilicon film. In this case, since a silicon oxide film is formed under the silicon nitride film on the transfer gate, a contact hole for contacting the transfer gate is formed by etching a silicon oxide film, a silicon nitride film, and a silicon oxide film in this order. Need to be done. Therefore, the thickness of the silicon nitride film is
The first of contact hole formation on transfer gate
It is determined not to function as a stopper at the stage, but to function as a stopper in portions other than the transfer gate. As a result, in portions other than the transfer gate, the silicon oxide film is etched in the first stage,
While the silicon nitride film is etched in the stage, the silicon nitride film is etched in the first stage on the transfer gate, and the silicon oxide film is etched in the second stage. In the first stage of forming a contact hole,
A gas system containing carbon monoxide can be used, and a layer or a gas system containing no carbon monoxide can be used.

【0018】[0018]

【発明の実施の形態】以下、この発明にかかる半導体装
置の製造方法をダイナミックランダムアクセスメモリ
(DRAM)の製造工程に適用した実施形態を2例、図1
〜図4に基づいて説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS Hereinafter, a method for manufacturing a semiconductor device according to the present invention will be described with reference to a dynamic random access memory.
FIG. 1 shows two examples of an embodiment applied to a (DRAM) manufacturing process.
This will be described with reference to FIG.

【0019】図1および図2は、第1の実施形態にかか
る半導体装置の製造方法を示し、図1はDRAMの製造
工程中の基板工程の一部、図2はその配線工程の一部を
それぞれ段階的に示す拡大断面図である。DRAMは一
般にCMOS回路を基本とする素子であり、nチャンネ
ルMOSFET(nMOS)とpチャンネルMOSFET
(pMOS)とを配線で接続して構成されている。図1
(A)に示されるように、シリコン基板10にはウェルイオ
ンの注入によりn形領域(nウェル)11とp形領域(pウ
ェル)12とが形成されると共に、選択酸化により素子分
離領域13,14が形成されている。素子分離領域13より図
中左側の領域は、トランジスタの集積度が相対的に低い
低集積度領域である周辺回路領域Rp、右側の領域は、
集積度が相対的に高い高集積度領域であるメモリセル領
域Rmである。
1 and 2 show a method of manufacturing a semiconductor device according to the first embodiment. FIG. 1 shows a part of a substrate step in a DRAM manufacturing step, and FIG. 2 shows a part of a wiring step thereof. It is an expanded sectional view shown step by step. DRAM is generally an element based on a CMOS circuit, and includes an n-channel MOSFET (nMOS) and a p-channel MOSFET.
(pMOS) are connected by wiring. FIG.
As shown in FIG. 1A, an n-type region (n-well) 11 and a p-type region (p-well) 12 are formed in a silicon substrate 10 by implanting well ions, and an element isolation region 13 is formed by selective oxidation. , 14 are formed. The region on the left side of the figure with respect to the element isolation region 13 is a peripheral circuit region Rp, which is a low-integration region where the transistor integration is relatively low, and the region on the right side is
This is a memory cell region Rm which is a high integration region having a relatively high integration degree.

【0020】第1の実施形態の製造方法は、シリコン基
板10上にトランスファゲート20を形成する第1段階(図
1(A))、保護膜として第1のシリコン酸化膜35を形成
する第2段階(図1(B))、第1のシリコン酸化膜35上に
異種膜としてポリシリコン膜36を堆積する第3段階(図
1(B))、トランスファゲート20の側面にサイドウォー
ル36aを形成する第4段階(図1(C))、周辺回路領域Rp
のトランスファゲート20の周囲にn形、p形の不純物を
ドーピングする第5段階(図1(C))、第1のシリコン酸
化膜35をストッパーとしてポリシリコン膜36を除去する
第6段階(図1(C)〜(D))、ストッパーとして機能する
シリコン窒化膜31をシリコン基板10の全面に形成する第
7段階(図1(D))、シリコン基板10の全面にトランスフ
ァゲート20を覆う膜厚の第2のシリコン酸化膜32を堆積
する第8段階(図2(A))、第2のシリコン酸化膜32の表
面を平坦化する第9段階(図2(A))、第2のシリコン酸
化膜32上に選択膜であるポリシリコン膜33を形成する第
10段階(図2(A))、コンタクトホール形成用のマスク
40をフォトリソグラフィプロセスによりポリシリコン膜
33上に形成する第11段階(図2(A))、第2のシリコン
酸化膜32をストッパーとする条件でポリシリコン膜33を
エッチングして開口33aを形成する第12段階(図2
(A))、開口33aの内側にサイドウォール33bを形成する
第13段階(図2(B))、ポリシリコン膜33をマスクとし
て第2のシリコン酸化膜32をエッチングする第14段階
(図2(C))、そして、ポリシリコン膜33をマスクとして
シリコン窒化膜31と第1のシリコン酸化膜35とをエッチ
ングする第15段階(図2(C))とを含む。以下、各段階
について順に説明する。
In the manufacturing method of the first embodiment, a first step of forming the transfer gate 20 on the silicon substrate 10 (FIG. 1A), and a second step of forming a first silicon oxide film 35 as a protective film. Step (FIG. 1B), a third step of depositing a polysilicon film 36 as a heterogeneous film on the first silicon oxide film 35 (FIG. 1B), forming sidewalls 36a on the side surfaces of the transfer gate 20 4 (FIG. 1C), the peripheral circuit region Rp
Fifth step (FIG. 1C) of doping n-type and p-type impurities around the transfer gate 20 of FIG. 1, and a sixth step (FIG. 1C) of removing the polysilicon film 36 using the first silicon oxide film 35 as a stopper. 1 (C)-(D)), a seventh step of forming a silicon nitride film 31 functioning as a stopper on the entire surface of the silicon substrate 10 (FIG. 1 (D)), a film covering the transfer gate 20 on the entire surface of the silicon substrate 10 An eighth step of depositing a thick second silicon oxide film 32 (FIG. 2A), a ninth step of flattening the surface of the second silicon oxide film 32 (FIG. 2A), a second step of FIG. A tenth step (FIG. 2A) of forming a polysilicon film 33 as a selective film on the silicon oxide film 32, a mask for forming a contact hole
40 polysilicon film by photolithography process
An eleventh stage (FIG. 2A) for forming an opening 33a on the polysilicon film 33 under the condition that the second silicon oxide film 32 is used as a stopper.
(A)), a thirteenth step of forming a sidewall 33b inside the opening 33a (FIG. 2B), and a fourteenth step of etching the second silicon oxide film 32 using the polysilicon film 33 as a mask.
(FIG. 2C) and a fifteenth step (FIG. 2C) of etching the silicon nitride film 31 and the first silicon oxide film 35 using the polysilicon film 33 as a mask. Hereinafter, each stage will be described in order.

【0021】トランスファゲート20は、図1(A)に示さ
れるように、シリコン基板10側から順に、ゲート酸化膜
21とゲートポリシリコン膜22とを積層して構成されてい
る。基板工程の第1段階では、これら2層をシリコン基
板10の全面に堆積した後、通常のフォトリソグラフィ工
程によりトランスファゲート20の形成部分にレジスト膜
を残し、レジスト膜をマスクとしてゲートポリシリコン
膜22とゲートシリコン酸化膜21とを同時にドライエッチ
ングしてトランスファゲート20を形成する。
As shown in FIG. 1A, the transfer gate 20 is formed by a gate oxide film in order from the silicon substrate 10 side.
21 and a gate polysilicon film 22. In the first stage of the substrate process, after these two layers are deposited on the entire surface of the silicon substrate 10, the resist film is left on the portion where the transfer gate 20 is formed by a usual photolithography process, and the gate polysilicon film 22 is formed using the resist film as a mask. And the gate silicon oxide film 21 are simultaneously dry-etched to form the transfer gate 20.

【0022】トランスファゲート20を形成した後、周辺
回路領域Rpとメモリセル領域Rmとのnウェル11をレジ
ストによりマスクし、pウェル12の部分にn形不純物を
図中に矢印で示したようにイオン注入法(イオンインプ
ランテーション)によりドーピングしてnMOSのソー
ス・ドレインとなるn-拡散層15を形成する。なお、イ
オン注入時のレジストパターンは図示していない。
After the transfer gate 20 is formed, the n-well 11 in the peripheral circuit region Rp and the memory cell region Rm is masked with a resist, and an n-type impurity is added to the p-well 12 as shown by an arrow in the drawing. By doping by ion implantation (ion implantation), an n - diffusion layer 15 serving as the source / drain of the nMOS is formed. The resist pattern at the time of ion implantation is not shown.

【0023】第2、第3段階では、図1(B)に示される
ように、シリコン基板の全面に保護膜として第1のシリ
コン酸化膜35をCVD法により堆積した後、異種膜とし
てポリシリコン膜36をCVD法により堆積する。第4段
階では、ポリシリコン膜36を異方的にエッチングするこ
とにより、図1(C)に示されるように、トランスファゲ
ート20の側面にサイドウォール36aを形成する。第4段
階でのエッチング条件は、例えば、放電周波数2.45GHz
のECRプラズマエッチング装置を用い、圧力5mTorrで
Cl2ガスを流量100cc/minで供給し、マイクロ波パワーを
300W、RFパワーを20W、電極温度を20℃に設定する。こ
のとき、第1のシリコン酸化膜35の膜厚とサイドウォー
ル36aの厚さとの合計が、周辺回路領域RpのMOSのソ
ース・ドレインとなる拡散層を形成する際のマスクとし
て必要な幅となるようにエッチング時間を調整する。ま
た、第1のシリコン酸化膜35の膜厚は、第6段階でサイ
ドウォール36aが除去された後にも第1のシリコン酸化
膜35が残存するような厚さに定められる。
In the second and third stages, as shown in FIG. 1B, a first silicon oxide film 35 is deposited as a protective film on the entire surface of the silicon substrate by CVD, and then a polysilicon film is formed as a heterogeneous film. A film 36 is deposited by a CVD method. In the fourth stage, the polysilicon film 36 is anisotropically etched to form side walls 36a on the side surfaces of the transfer gate 20, as shown in FIG. The etching condition in the fourth stage is, for example, a discharge frequency of 2.45 GHz.
Pressure of 5mTorr using ECR plasma etching equipment
Supply Cl 2 gas at a flow rate of 100 cc / min to reduce microwave power.
Set 300W, RF power to 20W, and electrode temperature to 20 ° C. At this time, the sum of the thickness of the first silicon oxide film 35 and the thickness of the sidewall 36a becomes a width required as a mask when forming a diffusion layer serving as a source / drain of a MOS in the peripheral circuit region Rp. Adjust the etching time as described above. The thickness of the first silicon oxide film 35 is determined so that the first silicon oxide film 35 remains even after the sidewall 36a is removed in the sixth step.

【0024】第5段階では、通常のフォトリソグラフィ
プロセスにより他の領域をマスクして周辺回路領域Rp
のpウェルにn形の不純物、nウェルにp形の不純物を
図1(C)に矢印で示すように順にイオン注入法によりド
ーピングし、p+拡散層16、n +拡散層17を周辺回路領域
RpのMOSのソース・ドレインとして形成する。サイ
ドウォール36aはイオン注入の位置、すなわち各拡散層1
6,17とトランスファゲート20との位置関係を制御してお
り、これによりMOSFETの特性が決定される。な
お、図1(C)においても、イオン注入時のレジストパタ
ーンは図示していない。
In the fifth stage, ordinary photolithography
Other regions are masked by a process to form a peripheral circuit region Rp.
N-type impurity in p-well and p-type impurity in n-well
As shown by arrows in FIG.
And p+Diffusion layer 16, n +Diffusion layer 17 in peripheral circuit area
It is formed as the source / drain of the MOS of Rp. Rhinoceros
The wall 36a is located at the position of ion implantation, that is, each diffusion layer 1
By controlling the positional relationship between 6, 17 and the transfer gate 20,
Thus, the characteristics of the MOSFET are determined. What
In FIG. 1C, the resist pattern at the time of ion implantation is also used.
Are not shown.

【0025】第6段階では、第1のシリコン酸化膜35に
対して十分な選択比を確保できる条件でポリシリコン膜
36で構成されるサイドウォール36aを等方的にエッチン
グして除去する。第6段階でのエッチング条件は、例え
ば、放電周波数2.45GHzのマイクロ波ダウンフローエッ
チング装置を用い、圧力40PaでCF4, O2, Cl2の各ガスを
それぞれ流量175cc/min、125cc/min、50cc/minで供給
し、マイクロ波パワーを500W、電極温度を25℃に設定す
る。
In the sixth step, the polysilicon film is formed under the condition that a sufficient selectivity with respect to the first silicon oxide film 35 can be secured.
The side wall 36a composed of 36 is isotropically etched and removed. The etching conditions in the sixth step are, for example, using a microwave downflow etching apparatus having a discharge frequency of 2.45 GHz, and using CF 4 , O 2 , and Cl 2 gas at a pressure of 40 Pa and flow rates of 175 cc / min, 125 cc / min, Supply at 50cc / min, set microwave power to 500W and electrode temperature to 25 ° C.

【0026】第7段階では、図1(D)に示されるよう
に、メモリセル領域Rmの隣接するトランスファゲート2
0の間にコンタクトホールの径より広い間隙dを残すよ
うに、かつ、第14段階のエッチング時のストッパーと
して機能するのに十分な膜厚のシリコン窒化膜31をCV
D法により全面に形成する。
In the seventh stage, as shown in FIG. 1D, the transfer gate 2 adjacent to the memory cell region Rm
The silicon nitride film 31 having a thickness sufficient to leave a gap d larger than the diameter of the contact hole during the zero-thickness and to function as a stopper at the time of etching in the fourteenth step is formed by CV.
Formed over the entire surface by D method.

【0027】第8段階では、図2(A)に示すように、シ
リコン基板10の全面にトランスファゲート20を覆う膜厚
の第2のシリコン酸化膜32を堆積し、第9段階で第2の
シリコン酸化膜32の表面をCMPにより研磨して平坦化
する。この第9段階までが基板工程である。
In the eighth step, as shown in FIG. 2A, a second silicon oxide film 32 having a thickness covering the transfer gate 20 is deposited on the entire surface of the silicon substrate 10, and in the ninth step, the second silicon oxide film 32 is deposited. The surface of the silicon oxide film 32 is polished and flattened by CMP. The ninth stage is the substrate process.

【0028】配線工程の最初の段階である第10段階で
は、図2(A)に示されるように、コンタクトホール形成
時のマスクとして利用される選択膜としてのポリシリコ
ン膜33が、平坦化された第2のシリコン酸化膜32上に堆
積される。選択膜としては、シリコン酸化膜、およびシ
リコン窒化膜に対するエッチング時の選択性を有し、か
つ、シリコン酸化膜をストッパーとしてエッチング可能
であることが求められる。第11段階では、シリコン基
板10およびトランスファゲート20に達するコンタクトホ
ールを形成するため、通常のフォトリソグラフィプロセ
スによりレジスト膜によるマスクパターン40を形成し、
第12段階ではこれをマスクとしてシリコン酸化膜32を
ストッパーとする条件でポリシリコン膜33を異方的にエ
ッチングして図2(A)に示されるような開口33aを形成
する。第12段階でのエッチング条件は、例えば、平行
平板型反応性イオンエッチング装置を用い、圧力20mTor
rでSF6, HBrの各ガスをそれぞれ流量36cc/min、8cc/min
で供給し、RFパワーを300W、冷却He圧力を4Torrに設
定する。
In a tenth stage, which is the first stage of the wiring process, as shown in FIG. 2A, a polysilicon film 33 as a selection film used as a mask when forming a contact hole is planarized. The second silicon oxide film 32 is deposited. The selective film is required to have selectivity at the time of etching with respect to the silicon oxide film and the silicon nitride film, and to be capable of etching using the silicon oxide film as a stopper. In the eleventh stage, a mask pattern 40 of a resist film is formed by a normal photolithography process in order to form a contact hole reaching the silicon substrate 10 and the transfer gate 20,
In the twelfth step, the polysilicon film 33 is anisotropically etched by using this as a mask and using the silicon oxide film 32 as a stopper to form an opening 33a as shown in FIG. The etching condition in the twelfth step is, for example, using a parallel plate type reactive ion etching apparatus and a pressure of 20 mTorr.
r SF 6 and HBr gas flow 36cc / min, 8cc / min respectively
And the RF power is set to 300 W and the cooling He pressure is set to 4 Torr.

【0029】第13段階では、マスクパターン40のレジ
ストを灰化した後、さらにポリシリコンを堆積し、異方
的にエッチバックすることにより開口33aの内側に図2
(B)に示されるようなサイドウォール33bを形成する。
第13段階でのエッチング条件は、例えば、放電周波数
2.45GHzのECRプラズマエッチング装置を用い、圧力5
mTorrでCl2ガスを流量100cc/minで供給し、マイクロ波
パワーを300W、RFパワーを20W、電極温度を20℃に設定
する。
In the thirteenth step, after the resist of the mask pattern 40 is ashed, polysilicon is further deposited and anisotropically etched back to form the inside of the opening 33a as shown in FIG.
A sidewall 33b is formed as shown in FIG.
The etching condition in the thirteenth stage is, for example, a discharge frequency
Using a 2.45GHz ECR plasma etching system, pressure 5
Supply Cl 2 gas at a flow rate of 100 cc / min at mTorr, and set the microwave power to 300 W, the RF power to 20 W, and the electrode temperature to 20 ° C.

【0030】第14段階、第15段階では、上記のよう
に形成されたポリシリコン膜33をマスクとしてエッチン
グすることによりコンタクトホールを形成する。サイド
ウォール33bを利用して開口33aの径を小さくすることに
より、フォトリソグラフィプロセスにより形成されたマ
スクパターン40をそのまま利用するよりも径の小さいコ
ンタクトホールを形成することができる。
In the fourteenth and fifteenth steps, contact holes are formed by etching using the polysilicon film 33 formed as described above as a mask. By reducing the diameter of the opening 33a using the sidewall 33b, it is possible to form a contact hole having a smaller diameter than using the mask pattern 40 formed by the photolithography process as it is.

【0031】第14段階は、コンタクトホール形成の第
1段階であり、ここではトランスファゲート20以外の部
分に堆積されたシリコン窒化膜31をストッパーとし、か
つ、ポリシリコン膜33に対して十分な選択比が確保でき
る条件でドライエッチングが行われ、ホールがシリコン
窒化膜31に達するまで第2のシリコン酸化膜32がエッチ
ングされる。第14段階でのエッチング条件は、例え
ば、マグネトロンエッチング装置を用い、圧力30mTorr
でAr, CO, C4F8, O2の各ガスを流量200cc/min, 50cc/mi
n, 12cc/min, 6cc/minで供給し、RFパワーを1500W、冷
却He背圧を3/70Torr(センター/エッジ)、電極温度を20
℃に設定する。第14段階では、ポリシリコン膜33に対
する選択比を高くするために一酸化炭素を含むガスが用
いられており、第2のシリコン酸化膜32の膜厚のばらつ
きを考慮してエッチング時間は長めに設定される。
The fourteenth step is the first step of forming a contact hole. In this step, the silicon nitride film 31 deposited on a portion other than the transfer gate 20 is used as a stopper, and the polysilicon film 33 is sufficiently selected. Dry etching is performed under conditions that can ensure the ratio, and the second silicon oxide film 32 is etched until the holes reach the silicon nitride film 31. The etching conditions in the fourteenth stage are, for example, using a magnetron etching apparatus and a pressure of 30 mTorr.
Ar, CO, C 4 F 8 , O 2 gas flow at 200cc / min, 50cc / mi
n, 12cc / min, 6cc / min, RF power 1500W, cooling He back pressure 3 / 70Torr (center / edge), electrode temperature 20
Set to ° C. In the fourteenth step, a gas containing carbon monoxide is used to increase the selectivity with respect to the polysilicon film 33, and the etching time becomes longer in consideration of the variation in the thickness of the second silicon oxide film 32. Is set.

【0032】第15段階は、コンタクトホール形成の第
2段階であり、ここではポリシリコン膜33をマスクと
し、シリコン基板10に与える影響が小さく、かつ、ポリ
シリコン膜に対して選択比が確保できる条件で、シリコ
ン窒化膜を除去されるまで一酸化炭素を含まないガス系
を用いてドライエッチングが行われる。これにより、シ
リコン窒化膜31と第1のシリコン酸化膜35とがエッチン
グされ、図2(C)に示されるようにコンタクトホール4
1,42が形成される。第15段階でのエッチング条件は、
例えば、マグネトロンエッチング装置を用い、圧力40mT
orrでAr, CHF8, O 2の各ガスを流量100cc/min, 28cc/mi
n, 12cc/minで供給し、RFパワーを400W、冷却He背圧を3
/70Torr(センター/エッジ)、電極温度を20℃に設定す
る。第15段階でエッチングされる膜は薄く膜厚のばら
つきの絶対量が小さいため、エッチング時間は余裕を持
たせずに設定される。
The fifteenth step is a step of forming a contact hole.
In this step, the polysilicon film 33 is used as a mask
The effect on the silicon substrate 10 is small and
Under conditions where the selectivity to the silicon film can be secured, silicon
Gas containing no carbon monoxide until the nitride film is removed
Is used to perform dry etching. As a result,
The silicon nitride film 31 and the first silicon oxide film 35 are
Contact hole 4 as shown in FIG.
1,42 are formed. The etching conditions in the fifteenth stage are as follows:
For example, using a magnetron etching device, pressure 40mT
Ar, CHF at orr8, O Two100cc / min, 28cc / mi
n, 12cc / min, RF power 400W, cooling He back pressure 3
/ 70 Torr (center / edge), set the electrode temperature to 20 ° C
You. The film to be etched in the fifteenth step is thin and thick
The etching time has a margin because the absolute amount of
It is set without adding.

【0033】コンタクトホール41,42をポリシリコンで
埋めてエッチバックによりパッドを形成してビット線に
接続した後、キャパシタ電極の形成等の処理が行われ、
DRAMのウェーハプロセス(前工程)が終了する。前工
程が終了すると、動作確認のテストが実行され、パッケ
ージング(後工程)を経て半導体装置として完成する。
After the contact holes 41 and 42 are filled with polysilicon and pads are formed by etch back and connected to bit lines, processing such as formation of capacitor electrodes is performed.
The DRAM wafer process (pre-process) ends. When the pre-process is completed, an operation check test is performed, and the semiconductor device is completed through packaging (post-process).

【0034】上述した第1の実施形態によれば、第14
段階ではシリコン窒化膜31をストッパーとすることによ
りシリコン基板10が一酸化炭素を含むガスに触れるのを
防ぐことができ、第15段階ではエッチング時間に余裕
を持たせずに設定することによりシリコン基板10がガス
にさらされる時間を最小限に抑えることが可能である。
しかも、第15段階で用いられるガスは一酸化炭素を含
まないため、シリコン基板10に与えるダメージを小さく
抑えることができる。したがって、半導体装置の性能劣
化や歩留まりの低下を防ぐことができる。
According to the first embodiment described above, the fourteenth
In the stage, the silicon nitride film 31 is used as a stopper to prevent the silicon substrate 10 from contacting a gas containing carbon monoxide. In the fifteenth stage, the silicon substrate 10 is set without leaving a margin for the etching time. It is possible to minimize the time that 10 is exposed to gas.
Moreover, since the gas used in the fifteenth step does not contain carbon monoxide, damage to the silicon substrate 10 can be suppressed to a small level. Therefore, it is possible to prevent the performance of the semiconductor device from deteriorating and the yield from decreasing.

【0035】図3および図4は、第2の実施形態にかか
る半導体装置の製造方法を示す工程図である。シリコン
基板10上の各ウェル11,12、素子分離領域13,14、トラン
スファゲート20の配置、そして周辺回路領域Rpとメモ
リセル領域Rmとの分離は第1の実施形態と同一であ
る。
FIGS. 3 and 4 are process diagrams showing a method for manufacturing a semiconductor device according to the second embodiment. The arrangement of the wells 11 and 12 on the silicon substrate 10, the element isolation regions 13 and 14, the transfer gate 20, and the separation between the peripheral circuit region Rp and the memory cell region Rm are the same as those in the first embodiment.

【0036】第2の実施形態の製造方法は、シリコン基
板10上にトランスファゲート20を形成する第1段階(図
3(A))、保護膜として第1のシリコン酸化膜35を形成
する第2段階(図3(B))、第1のシリコン酸化膜35上に
異種膜としてシリコン窒化膜37を堆積する第3段階(図
3(B))、トランスファゲート20の側面にサイドウォー
ル37aを形成する第4段階(図3(C))、周辺回路領域Rp
のトランスファゲート20の周囲にn形、p形の不純物を
ドーピングする第5段階(図3(C))、第1のシリコン酸
化膜35をストッパーとしてシリコン窒化膜37を除去する
第6段階(図3(C)〜(D))、ストッパーとして機能する
シリコン窒化膜31をシリコン基板10の全面に形成する第
7段階(図3(D))、シリコン基板10の全面にトランスフ
ァゲート20を覆う膜厚の第2のシリコン酸化膜32を堆積
する第8段階(図4(A))、第2のシリコン酸化膜32の表
面を平坦化する第9段階(図4(A))、第2のシリコン酸
化膜32上にポリシリコン膜33を形成する第10段階(図
4(A))、コンタクトホール形成用のマスク40をフォト
リソグラフィプロセスによりポリシリコン膜33上に形成
する第11段階(図4(A))、第2のシリコン酸化膜32を
ストッパーとする条件でポリシリコン膜33をエッチング
して開口33aを形成する第12段階(図4(A))、開口33a
の内側にサイドウォール33bを形成する第13段階(図4
(B))、ポリシリコン膜33をマスクとして第2のシリコ
ン酸化膜32をエッチングする第14段階(図4(C))、そ
して、ポリシリコン膜33をマスクとしてシリコン窒化膜
31と第1のシリコン酸化膜35とをエッチングする第15
段階(図4(C))とを含む。
In the manufacturing method according to the second embodiment, a first step (FIG. 3A) of forming a transfer gate 20 on a silicon substrate 10 and a second step of forming a first silicon oxide film 35 as a protective film are performed. Step (FIG. 3B), a third step of depositing a silicon nitride film 37 as a heterogeneous film on the first silicon oxide film 35 (FIG. 3B), forming sidewalls 37a on the side surfaces of the transfer gate 20 4 (FIG. 3C), the peripheral circuit region Rp
Fifth step (FIG. 3C) of doping the periphery of the transfer gate 20 with n-type and p-type impurities, and a sixth step (FIG. 3C) of removing the silicon nitride film 37 using the first silicon oxide film 35 as a stopper. 3 (C)-(D)), a seventh step of forming a silicon nitride film 31 functioning as a stopper on the entire surface of the silicon substrate 10 (FIG. 3 (D)), a film covering the transfer gate 20 on the entire surface of the silicon substrate 10 An eighth step of depositing a thick second silicon oxide film 32 (FIG. 4A), a ninth step of flattening the surface of the second silicon oxide film 32 (FIG. 4A), a second step A tenth step of forming a polysilicon film 33 on the silicon oxide film 32 (FIG. 4A), and an eleventh step of forming a contact hole forming mask 40 on the polysilicon film 33 by a photolithography process (FIG. 4A). (A)), the polysilicon film 33 is formed under the condition that the second silicon oxide film 32 is used as a stopper. The twelfth step of forming the opening 33a by etching (FIG. 4A), the opening 33a
The thirteenth step of forming the side wall 33b inside the substrate (FIG. 4)
(B)), a fourteenth step of etching the second silicon oxide film 32 using the polysilicon film 33 as a mask (FIG. 4C), and a silicon nitride film using the polysilicon film 33 as a mask.
Fifteenth etching of the first silicon oxide film 35 and the first silicon oxide film 35
(FIG. 4C).

【0037】第2の実施形態の製造方法の第1の実施形
態の製造方法との違いは、第3段階で形成される異種膜
が第1の実施形態ではポリシリコン膜であるのに対して
第2の実施形態ではシリコン窒化膜37であること、その
結果、第4段階ではこのシリコン窒化膜37をエッチング
してサイドウォール37aが形成され、第6段階ではサイ
ドウォール37aを形成するシリコン窒化膜37がエッチン
グにより除去される。第1段階は第1の実施形態の対応
する段階と同一であるため、以下、第2段階〜第6段階
について説明する。
The difference between the manufacturing method of the second embodiment and the manufacturing method of the first embodiment is that the heterogeneous film formed in the third stage is a polysilicon film in the first embodiment. In the second embodiment, the silicon nitride film 37 is used. As a result, in the fourth stage, the silicon nitride film 37 is etched to form a sidewall 37a, and in the sixth stage, the silicon nitride film forming the sidewall 37a is formed. 37 is removed by etching. Since the first stage is the same as the corresponding stage of the first embodiment, the second to sixth stages will be described below.

【0038】第2、第3段階では、図3(B)に示される
ように、シリコン基板10の全面に保護膜として第1のシ
リコン酸化膜35をCVD法により堆積した後、異種膜と
してシリコン窒化膜37をCVD法により堆積する。第4
段階では、シリコン窒化膜37を異方的にエッチングする
ことにより、図4(C)に示されるように、トランスファ
ゲート20の側面にサイドウォール37aを形成する。第4
段階でのエッチング条件は、例えば、放電周波数13.56M
Hzの平行平板型反応性イオンエッチング装置を用い、圧
力40mTorrでCHF3, O2ガスをそれぞれ流量67cc/min, 13c
c/minで供給し、下部電極に印加されるRFパワーを350
W、電極間隔を35mm、電極温度を40℃に設定してメイン
エッチングをした後、圧力325mTorrでCHF3, SF6ガスを
それぞれ流量10cc/min, 100cc/minで供給し、下部電極
に印加されるRFパワーを140W、電極間隔を20mm、電極温
度を40℃に設定してオーバーエッチングする。このと
き、第1のシリコン酸化膜35の膜厚とサイドウォール37
aの厚さとの合計が、周辺回路領域RpのMOSのソース
・ドレインとなる拡散層を形成する際のマスクとして必
要な幅となるようにエッチング時間を調整する。また、
第1のシリコン酸化膜35の膜厚は、第6段階でサイドウ
ォール37aが除去された後にも第1のシリコン酸化膜35
が残存するような厚さに定められる。
In the second and third stages, as shown in FIG. 3B, a first silicon oxide film 35 is deposited as a protective film on the entire surface of the silicon substrate 10 by a CVD method, and then a silicon film is deposited as a heterogeneous film. A nitride film 37 is deposited by a CVD method. 4th
In the step, the silicon nitride film 37 is anisotropically etched to form side walls 37a on the side surfaces of the transfer gate 20, as shown in FIG. 4C. 4th
Etching conditions at the stage, for example, discharge frequency 13.56M
Using a parallel-plate type reactive ion etching system of Hz, CHF 3 and O 2 gas at a pressure of 40 mTorr and flow rates of 67 cc / min and 13 c, respectively.
c / min and the RF power applied to the lower electrode is 350
After performing main etching with W, electrode spacing set to 35 mm and electrode temperature set to 40 ° C., CHF 3 and SF 6 gas were supplied at a flow rate of 10 cc / min and 100 cc / min, respectively, at a pressure of 325 mTorr and applied to the lower electrode. The RF power is set to 140 W, the electrode interval is set to 20 mm, and the electrode temperature is set to 40 ° C., and over-etching is performed. At this time, the film thickness of the first silicon oxide film 35 and the sidewall 37
The etching time is adjusted so that the sum of the thickness a and the width becomes a width required as a mask when forming a diffusion layer to be a source / drain of a MOS in the peripheral circuit region Rp. Also,
The thickness of the first silicon oxide film 35 is maintained even after the sidewall 37a is removed in the sixth step.
Is determined so as to remain.

【0039】第5段階では、通常のフォトリソグラフィ
プロセスにより他の領域をマスクして周辺回路領域Rp
のpウェルにn形の不純物、nウェルにp形の不純物を
図3(C)に矢印で示すように順にイオン注入法によりド
ーピングし、p++拡散層16、n++拡散層17を周辺回路領
域RpのMOSのソース・ドレインとして形成する。サ
イドウォール37aはイオン注入の位置、すなわち各拡散
層16,17とトランスファゲート20との位置関係を制御し
ており、これによりMOSFETの特性が決定される。
In the fifth stage, other regions are masked by a normal photolithography process to form a peripheral circuit region Rp.
The p-well to n-type impurity, a p-type impurity into the n-well is doped by ion implantation in order as shown by the arrows in FIG. 3 (C), the p ++ diffusion layer 16, n ++ diffusion layer 17 It is formed as the source / drain of the MOS in the peripheral circuit region Rp. The side wall 37a controls the position of the ion implantation, that is, the positional relationship between each of the diffusion layers 16, 17 and the transfer gate 20, whereby the characteristics of the MOSFET are determined.

【0040】第6段階では、第1のシリコン酸化膜35に
対して十分な選択比を確保できる条件でシリコン窒化膜
37で構成されるサイドウォール37aを等方的にエッチン
グして除去する。このときのエッチング条件は、例え
ば、放電周波数2.45GHzのマイクロ波ダウンフローエッ
チング装置を用い、圧力80PaでCF4, O2, N2, Cl2の各ガ
スをそれぞれ流量270cc/min、270cc/min、80cc/min、17
0cc/minで供給し、マイクロ波パワーを600W、電極温度
を25℃に設定する。
In the sixth step, the silicon nitride film is formed under a condition that a sufficient selectivity with respect to the first silicon oxide film 35 can be secured.
The side wall 37a composed of 37 is isotropically etched and removed. The etching conditions at this time are, for example, using a microwave downflow etching apparatus having a discharge frequency of 2.45 GHz, and using a gas of CF 4 , O 2 , N 2 , and Cl 2 at a pressure of 80 Pa at a flow rate of 270 cc / min and 270 cc / min, respectively. , 80cc / min, 17
Supply at 0 cc / min, set microwave power to 600 W and electrode temperature to 25 ° C.

【0041】第7段階では、図3(D)に示されるよう
に、メモリセル領域Rmの隣接するトランスファゲート2
0の間にコンタクトホールの径より広い間隙dを残すよ
うに、かつ、第14段階のエッチング時のストッパーと
して機能するのに十分な膜厚のシリコン窒化膜31をCV
D法により全面に形成する。この第7段階以降の処理は
第1の実施形態と同一であるため、説明は省略する。
In the seventh stage, as shown in FIG. 3D, the transfer gate 2 adjacent to the memory cell region Rm
The silicon nitride film 31 having a thickness sufficient to leave a gap d larger than the diameter of the contact hole during the zero-thickness and to function as a stopper at the time of etching in the fourteenth step is formed by CV.
Formed over the entire surface by D method. The processing from the seventh stage onward is the same as that of the first embodiment, and a description thereof will be omitted.

【0042】上述した第2の実施形態においても、第1
の実施形態と同様に、シリコン基板がエッチング用のガ
スにさらされる時間を最小限にすると共に、一酸化炭素
を含むガスがシリコン基板10に触れないようにすること
ができ、半導体装置の性能劣化や歩留まりの低下を防ぐ
ことができる。
In the second embodiment described above, the first
As in the first embodiment, the time during which the silicon substrate is exposed to the etching gas can be minimized, and the gas containing carbon monoxide can be prevented from touching the silicon substrate 10, thereby deteriorating the performance of the semiconductor device. And a decrease in yield can be prevented.

【0043】図5および図6は、第3の実施形態にかか
る半導体装置の製造方法を示す工程図である。シリコン
基板10上の各ウェル11,12、素子分離領域13,14、トラン
スファゲート20の配置、そして周辺回路領域Rpとメモ
リセル領域Rmとの分離は第1の実施形態と同一であ
る。
FIGS. 5 and 6 are process diagrams showing a method for manufacturing a semiconductor device according to the third embodiment. The arrangement of the wells 11 and 12 on the silicon substrate 10, the element isolation regions 13 and 14, the transfer gate 20, and the separation between the peripheral circuit region Rp and the memory cell region Rm are the same as those in the first embodiment.

【0044】第3の実施形態の製造方法は、シリコン基
板10上にトランスファゲート20を形成する第1段階(図
5(A))、保護膜として第1のシリコン酸化膜35を形成
する第2段階(図5(B))、第1のシリコン酸化膜35上に
異種膜としてポリシリコン膜36を堆積する第3段階(図
5(B))、トランスファゲート20の側面にサイドウォー
ル36aを形成する第4段階(図5(C))、周辺回路領域Rp
のトランスファゲート20の周囲にn形、p形の不純物を
ドーピングする第5段階(図5(C))、第1のシリコン酸
化膜35をストッパーとしてポリシリコン膜36を除去する
第6段階(図5(C)〜(D))、ストッパーとして機能する
シリコン窒化膜31をシリコン基板10の全面に形成する第
7段階(図5(D))、シリコン基板10の全面にトランスフ
ァゲート20を覆う膜厚の第2のシリコン酸化膜32を堆積
する第8段階(図6(A))、第2のシリコン酸化膜32の表
面を平坦化する第9段階(図6(A))、第2のシリコン酸
化膜32上に選択膜であるポリシリコン膜33を形成する第
10段階(図6(A))、コンタクトホール形成用のマスク
40をフォトリソグラフィプロセスによりポリシリコン膜
33上に形成する第11段階(図6(A))、第2のシリコン
酸化膜32をストッパーとする条件でポリシリコン膜33を
エッチングして開口33aを形成する第12段階(図6
(A))、開口33aの内側にサイドウォール33bを形成する
第13段階(図6(B))、ポリシリコン膜33をマスクとし
て第2のシリコン酸化膜32とシリコン窒化膜31のトラン
スファゲート20上の部分とをエッチングする第14段階
(図6(C))、そして、ポリシリコン膜33をマスクとして
シリコン窒化膜31と第1のシリコン酸化膜35とトランス
ファゲート20のオフセットシリコン酸化膜23とをエッチ
ングする第15段階(図6(C))とを含む。
In the manufacturing method according to the third embodiment, a first step (FIG. 5A) of forming a transfer gate 20 on a silicon substrate 10 and a second step of forming a first silicon oxide film 35 as a protective film are performed. Step (FIG. 5B), a third step of depositing a polysilicon film 36 as a heterogeneous film on the first silicon oxide film 35 (FIG. 5B), forming sidewalls 36a on the side surfaces of the transfer gate 20 4 (FIG. 5C), the peripheral circuit region Rp
Fifth step of doping n-type and p-type impurities around transfer gate 20 (FIG. 5C), and a sixth step of removing polysilicon film 36 using first silicon oxide film 35 as a stopper (FIG. 5C). 5 (C) to (D)), a seventh step of forming a silicon nitride film 31 functioning as a stopper on the entire surface of the silicon substrate 10 (FIG. 5D), a film covering the transfer gate 20 on the entire surface of the silicon substrate 10 An eighth step of depositing a thick second silicon oxide film 32 (FIG. 6A), a ninth step of flattening the surface of the second silicon oxide film 32 (FIG. 6A), a second step of FIG. A tenth step (FIG. 6A) of forming a polysilicon film 33 as a selection film on the silicon oxide film 32, a mask for forming a contact hole
40 polysilicon film by photolithography process
An eleventh step (FIG. 6A) for forming an opening 33a by etching the polysilicon film 33 under conditions using the second silicon oxide film 32 as a stopper (FIG. 6A).
(A)), a thirteenth step of forming a sidewall 33b inside the opening 33a (FIG. 6B), using the polysilicon film 33 as a mask, the transfer gate 20 of the second silicon oxide film 32 and the silicon nitride film 31. 14th step of etching the upper part
(FIG. 6 (C)) and a fifteenth step of etching the silicon nitride film 31, the first silicon oxide film 35, and the offset silicon oxide film 23 of the transfer gate 20 using the polysilicon film 33 as a mask (FIG. C)).

【0045】第3の実施形態の製造方法の第1の実施形
態の製造方法との違いは、第3の実施形態ではトランス
ファゲート20がオフセットシリコン酸化膜23を含む3層
で形成されている点、そしてシリコン窒化膜31の膜厚が
トランスファゲート20上では第14段階でのエッチング
のストッパーとして機能せず、トランスファゲート20以
外の部分ではストッパーとして機能するよう定められる
点である。第2段階〜第6段階と第8段階〜第13段階
は第1の実施形態の対応する段階と同一であるため、以
下、第1段階、第7段階、第14段階、第15段階につ
いて説明する。
The manufacturing method of the third embodiment is different from the manufacturing method of the first embodiment in that the transfer gate 20 is formed of three layers including an offset silicon oxide film 23 in the third embodiment. The point is that the thickness of the silicon nitride film 31 is determined not to function as a stopper for etching in the fourteenth step on the transfer gate 20 but to function as a stopper in portions other than the transfer gate 20. Since the second to sixth steps and the eighth to thirteenth steps are the same as the corresponding steps of the first embodiment, the first, seventh, fourteenth, and fifteenth steps will be described below. I do.

【0046】トランスファゲート20は、図5(A)に示さ
れるように、シリコン基板10側から順に、ゲート酸化膜
21とゲートポリシリコン膜22、そしてオフセットシリコ
ン酸化膜23とを積層して構成されている。基板工程の第
1段階では、これら3層をシリコン基板10の全面に堆積
した後、通常のフォトリソグラフィ工程によりトランス
ファゲート20の形成部分にレジスト膜を残し、ゲートポ
リシリコン膜22をストッパーとしてオフセットシリコン
酸化膜23をドライエッチングする。レジストを灰化した
後、オフセットシリコン酸化膜23をマスクとしてゲート
ポリシリコン膜22とゲートシリコン酸化膜21とを同時に
ドライエッチングしてトランスファゲート20を形成す
る。
As shown in FIG. 5A, the transfer gate 20 has a gate oxide film in order from the silicon substrate 10 side.
21, a gate polysilicon film 22 and an offset silicon oxide film 23 are laminated. In the first stage of the substrate process, after these three layers are deposited on the entire surface of the silicon substrate 10, a resist film is left in a portion where the transfer gate 20 is formed by a normal photolithography process, and the offset polysilicon is formed using the gate polysilicon film 22 as a stopper. The oxide film 23 is dry-etched. After the resist is ashed, the transfer gate 20 is formed by simultaneously dry-etching the gate polysilicon film 22 and the gate silicon oxide film 21 using the offset silicon oxide film 23 as a mask.

【0047】なお、オフセットシリコン酸化膜23をマス
クとして利用するのは、薄いレジスト層を用いてトラン
スファゲート20のような大きな段差をエッチングするこ
とにより、レジスト層が欠損するのを避けるためであ
る。露光装置により現像できるレジスト層の厚さは、露
光装置の焦点深度に依存しているが、フォトリソグラフ
ィで形成されるパターンが微細化するほど、露光装置の
解像度を上げるために開口数(NA)を小さくしなければ
ならず、これにより焦点深度が浅くなる。このように、
微細化が進むほど現像できるレジスト層の厚さは薄くな
るため、段差の大きいエッチングが必要な場合には、上
記のオフセットシリコン酸化膜23のようなマスクが必要
となる。
The reason that the offset silicon oxide film 23 is used as a mask is to prevent the resist layer from being damaged by etching a large step like the transfer gate 20 using a thin resist layer. The thickness of the resist layer that can be developed by the exposure apparatus depends on the depth of focus of the exposure apparatus, but the finer the pattern formed by photolithography, the higher the numerical aperture (NA) in order to increase the resolution of the exposure apparatus. Must be reduced, which reduces the depth of focus. in this way,
The resist layer that can be developed becomes thinner as the miniaturization progresses. Therefore, when etching with a large step is required, a mask such as the above-mentioned offset silicon oxide film 23 is required.

【0048】第7段階では、図5(D)に示されるよう
に、メモリセル領域Rmの隣接するトランスファゲート2
0の間にコンタクトホールの径より広い間隙dを残すよ
うにシリコン窒化膜31をCVD法により全面に形成す
る。第3の実施形態では、トランスファゲート20上では
シリコン窒化膜31の下にオフセットシリコン酸化膜23が
形成されているため、トランスファゲート20のゲートポ
リシリコン膜22にコンタクトするためのコンタクトホー
ルはシリコン酸化膜32、シリコン窒化膜31、オフセット
シリコン酸化膜23をエッチングして形成される必要があ
る。ここで、シリコン窒化膜31が全ての領域で第14段
階のエッチングのストッパーとなるような膜厚である
と、第15段階のエッチング時にシリコン基板10に達す
るコンタクトホール41が開口する時間内にオフセットシ
リコン酸化膜23をエッチングできない可能性がある。そ
こで、第7段階で堆積されるシリコン窒化膜の厚さは、
トランスファゲート20上では第14段階でのエッチング
のストッパーとして機能せず、トランスファゲート20以
外の部分ではストッパーとして機能する膜厚に定められ
ている。
In the seventh stage, as shown in FIG. 5D, the transfer gate 2 adjacent to the memory cell region Rm
A silicon nitride film 31 is formed on the entire surface by a CVD method so as to leave a gap d wider than the diameter of the contact hole during 0. In the third embodiment, since the offset silicon oxide film 23 is formed below the silicon nitride film 31 on the transfer gate 20, the contact hole for contacting the gate polysilicon film 22 of the transfer gate 20 is formed of silicon oxide. It must be formed by etching the film 32, the silicon nitride film 31, and the offset silicon oxide film 23. Here, if the silicon nitride film 31 has such a thickness as to serve as a stopper for the fourteenth stage etching in all regions, the offset will occur within the opening time of the contact hole 41 reaching the silicon substrate 10 during the fifteenth stage etching. There is a possibility that the silicon oxide film 23 cannot be etched. Therefore, the thickness of the silicon nitride film deposited in the seventh stage is
On the transfer gate 20, the thickness is determined so as not to function as a stopper for etching in the fourteenth step, and to function as a stopper in portions other than the transfer gate 20.

【0049】第14段階では、トランスファゲート20以
外の部分に堆積されたシリコン窒化膜31をストッパーと
し、かつ、ポリシリコン膜33に対して十分な選択比が確
保できる条件でドライエッチングが行われ、トランスフ
ァゲート20以外の部分では第2のシリコン酸化膜32がエ
ッチングされ、トランスファゲート20上では第2のシリ
コン酸化膜32とシリコン窒化膜31とがエッチングされ
る。エッチング条件は第1の実施形態と同一である。第
2のシリコン酸化膜32の厚さは、トランスファゲート20
上の方が薄いため、トランスファゲート20上ではそれ以
外の部分におけるより早い段階からシリコン窒化膜31に
対するエッチングが開始される。したがって、トランス
ファゲート20上のシリコン窒化膜31がエッチングされて
除去された段階でも、それ以外の部分ではシリコン窒化
膜31の少なくとも一部は残存している。
In the fourteenth stage, dry etching is performed under the condition that the silicon nitride film 31 deposited on the portion other than the transfer gate 20 is used as a stopper and a sufficient selectivity with respect to the polysilicon film 33 can be secured. In portions other than the transfer gate 20, the second silicon oxide film 32 is etched, and on the transfer gate 20, the second silicon oxide film 32 and the silicon nitride film 31 are etched. The etching conditions are the same as in the first embodiment. The thickness of the second silicon oxide film 32 is
Since the upper part is thinner, the etching on the silicon nitride film 31 is started earlier on the transfer gate 20 in the other parts. Therefore, even when the silicon nitride film 31 on the transfer gate 20 is removed by etching, at least a part of the silicon nitride film 31 remains in other portions.

【0050】第15段階では、ポリシリコン膜33をマス
クとし、シリコン窒化膜を除去する条件で一酸化炭素を
含まないガス系を用いてドライエッチングが行われ、ト
ランスファゲート20以外の部分ではシリコン窒化膜31と
第1のシリコン酸化膜35とがエッチングされ、トランス
ファゲート20上では第1のシリコン酸化膜35とオフセッ
トシリコン酸化膜23とがエッチングされて図6(C)に示
されるようにコンタクトホール41,42が形成される。
In the fifteenth step, dry etching is performed using a polysilicon film 33 as a mask and a gas system containing no carbon monoxide under the conditions for removing the silicon nitride film. The film 31 and the first silicon oxide film 35 are etched, and the first silicon oxide film 35 and the offset silicon oxide film 23 are etched on the transfer gate 20 to form contact holes as shown in FIG. 41 and 42 are formed.

【0051】上述した第3の実施形態においても、第1
の実施形態と同様に、シリコン基板がエッチング用のガ
スにさらされる時間を最小限にすると共に、一酸化炭素
を含むガスがシリコン基板10に触れないようにすること
ができ、半導体装置の性能劣化や歩留まりの低下を防ぐ
ことができる。また、オフセットシリコン酸化膜23をマ
スクにすることにより、微細なトランスファゲート20を
形成することができる。しかも、ストッパーとして機能
するシリコン窒化膜31の膜厚を適宜設定することによ
り、オフセットシリコン酸化膜23を含まない他の実施例
と同様にトランスファゲート20に達するコンタクトホー
ル42とシリコン基板に達するコンタクトホール41とを同
時に形成することができる。
In the third embodiment described above, the first
As in the first embodiment, the time during which the silicon substrate is exposed to the etching gas can be minimized, and the gas containing carbon monoxide can be prevented from touching the silicon substrate 10, thereby deteriorating the performance of the semiconductor device. And a decrease in yield can be prevented. Further, by using the offset silicon oxide film 23 as a mask, a fine transfer gate 20 can be formed. In addition, by appropriately setting the thickness of the silicon nitride film 31 functioning as a stopper, the contact hole 42 reaching the transfer gate 20 and the contact hole reaching the silicon substrate as in the other embodiments that do not include the offset silicon oxide film 23. 41 can be simultaneously formed.

【0052】なお、上記の各実施形態では、コンタクト
ホール形成の第1段階である第14段階では一酸化炭素
を含むガスを使用しているが、電極を冷却する等の手段
を講じることにより、一酸化炭素を含まないガスでもポ
リシリコン膜33に対する選択比が高い条件でエッチング
することが可能である。例えば、第1の実施形態の第1
4段階で、マグネトロンエッチング装置を用い、圧力30
mTorr、Ar, C4F8, O2の各ガスをそれぞれ流量500sccm,
12sccm, 8sccmで供給し、RFパワーを1500W、冷却He背圧
を3/70Torr(センター/エッジ)、電極温度を20℃に設定
し、第15段階では、続けて圧力40mTorr、Ar, CHF3, O
2の各ガスをそれぞれ流量100cc/min,28cc/min, 12cc/mi
nで供給し、RFパワーを400W、冷却He背圧を3/70Torr(セ
ンター/エッジ)、電極温度を20℃に設定する。
In each of the above embodiments, the gas containing carbon monoxide is used in the fourteenth stage, which is the first stage of the contact hole formation. However, by taking measures such as cooling the electrode, Even a gas containing no carbon monoxide can be etched under the condition that the selectivity to the polysilicon film 33 is high. For example, the first embodiment of the first embodiment
In four stages, using magnetron etching equipment, pressure 30
mTorr, Ar, C 4 F 8 , O 2 gas each flow 500sccm,
The power was supplied at 12 sccm and 8 sccm, the RF power was set to 1500 W, the cooling He back pressure was set to 3/70 Torr (center / edge), and the electrode temperature was set to 20 ° C. In the fifteenth stage, the pressure was continuously set to 40 mTorr, Ar, CHF 3 , O
2 each gas flow rate 100cc / min, 28cc / min, 12cc / mi
n, RF power is set to 400 W, cooling He back pressure is set to 3/70 Torr (center / edge), and electrode temperature is set to 20 ° C.

【0053】上記のような条件でエッチングを行うこと
により、一酸化炭素を添加したガスを用いる第1の実施
形態とほぼ同様に、ポリシリコン膜33に対するエッチン
グの進行を抑えつつ、コンタクトホール41,42を形成す
ることが可能である。この場合には、有毒な一酸化炭素
ガスに対する除外設備や警報機などが不要となり、製造
設備にかかるコストを抑えることができる。
By performing the etching under the above-described conditions, the contact hole 41 and the contact hole 41, while suppressing the progress of the etching to the polysilicon film 33, as in the first embodiment using the gas to which carbon monoxide is added. 42 can be formed. In this case, a facility for excluding toxic carbon monoxide gas, an alarm, and the like are not required, and the cost of manufacturing equipment can be suppressed.

【0054】[0054]

【発明の効果】以上説明したように、この発明によれ
ば、コンタクトホール形成時のストッパーとしてシリコ
ン基板上にシリコン窒化膜を形成してからシリコン酸化
膜を堆積するようにし、コンタクトホールのエッチング
をシリコン窒化膜までの層を削る第1段階と、シリコン
窒化膜を削る第2段階とに分離したことにより、シリコ
ン基板がガスにさらされる時間を最小限にすることがで
き、しかも、第2段階で使用されるガスには一酸化炭素
が含まれないため、シリコン基板に与えるダメージを小
さく抑えることができる。したがって、製造される半導
体装置の性能を良好に保ち、歩留まりを高く保つことが
できる。
As described above, according to the present invention, a silicon nitride film is formed on a silicon substrate as a stopper when a contact hole is formed, and then a silicon oxide film is deposited. Separation into a first step of cutting the layer up to the silicon nitride film and a second step of cutting the silicon nitride film minimizes the time for which the silicon substrate is exposed to gas, and furthermore, the second step Since the gas used in the method does not contain carbon monoxide, damage to the silicon substrate can be suppressed to a small level. Therefore, the performance of the manufactured semiconductor device can be kept good, and the yield can be kept high.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 第1の実施形態にかかる半導体装置の製造方
法の工程中の基板工程の一部を段階的に示す半導体装置
の拡大断面図。
FIG. 1 is an enlarged cross-sectional view of a semiconductor device, showing a part of a substrate process in steps of a method of manufacturing a semiconductor device according to a first embodiment;

【図2】 第1の実施形態にかかる半導体装置の製造方
法の工程中の配線工程の一部を段階的に示す半導体装置
の拡大断面図。
FIG. 2 is an enlarged cross-sectional view of the semiconductor device, showing a part of a wiring step in a method of manufacturing the semiconductor device according to the first embodiment in a stepwise manner;

【図3】 第2の実施形態にかかる半導体装置の製造方
法の工程中の基板工程の一部を段階的に示す半導体装置
の拡大断面図。
FIG. 3 is an enlarged cross-sectional view of a semiconductor device showing a part of a substrate step in a method of manufacturing a semiconductor device according to a second embodiment in a stepwise manner;

【図4】 第2の実施形態にかかる半導体装置の製造方
法の工程中の配線工程の一部を段階的に示す半導体装置
の拡大断面図。
FIG. 4 is an enlarged cross-sectional view of a semiconductor device, showing a part of a wiring step in a method of manufacturing a semiconductor device according to a second embodiment in a stepwise manner;

【図5】 第3の実施形態にかかる半導体装置の製造方
法の工程中の基板工程の一部を段階的に示す半導体装置
の拡大断面図。
FIG. 5 is an enlarged cross-sectional view of a semiconductor device, showing a part of a substrate process in a process of a method of manufacturing a semiconductor device according to a third embodiment in a stepwise manner.

【図6】 第3の実施形態にかかる半導体装置の製造方
法の工程中の配線工程の一部を段階的に示す半導体装置
の拡大断面図。
FIG. 6 is an enlarged cross-sectional view of a semiconductor device, showing a part of a wiring step in a method of manufacturing a semiconductor device according to a third embodiment in a stepwise manner;

【図7】 従来の半導体装置の製造方法の工程中の基板
工程の一部を段階的に示す半導体装置の拡大断面図。
FIG. 7 is an enlarged cross-sectional view of a semiconductor device showing stepwise a part of a substrate process in a conventional semiconductor device manufacturing method.

【図8】 従来の半導体装置の製造方法の工程中の配線
工程の一部を段階的に示す半導体装置の拡大断面図。
FIG. 8 is an enlarged cross-sectional view of a semiconductor device showing stepwise a part of a wiring step in a conventional method of manufacturing a semiconductor device.

【符号の説明】[Explanation of symbols]

10 シリコン基板 20 トランスファゲート 32 第2のシリコン酸化膜 31 シリコン窒化膜 35 第1のシリコン酸化膜 41,42 コンタクトホール 10 Silicon substrate 20 Transfer gate 32 Second silicon oxide film 31 Silicon nitride film 35 First silicon oxide film 41,42 Contact hole

Claims (10)

【特許請求の範囲】[Claims] 【請求項1】 シリコン基板上の全面にシリコン窒化膜
を堆積する段階と、前記シリコン基板の全面において、
前記シリコン窒化膜上にシリコン酸化膜を堆積する段階
と、 前記シリコン酸化膜上に、シリコン酸化膜とシリコン窒
化膜とに対するエッチング時の選択性を有し、かつ、シ
リコン酸化膜をストッパーとしてエッチング可能な選択
膜を形成する段階と、 コンタクトホール形成用のマスクをフォトリソグラフィ
プロセスにより前記上選択膜上に形成する段階と、 前記マスクを用い、前記シリコン酸化膜をストッパーと
する条件で前記選択膜をエッチングして開口を形成する
段階と、 前記選択膜上に、該選択膜と同一の物質をさらに堆積し
た後、異方的にエッチバックすることにより前記開口の
内側にサイドウォールを形成する段階と、 前記選択膜をマスクとし、前記シリコン窒化膜をストッ
パーとし、かつ、前記選択膜に対して十分な選択比が確
保できる条件で、ホールが前記シリコン窒化膜に達する
までドライエッチングするコンタクトホール形成の第1
段階と、 前記選択膜をマスクとし、前記シリコン基板に与える影
響が小さく、かつ、前記選択膜に対して選択比が確保で
きる条件で、前記シリコン窒化膜を除去するまで一酸化
炭素を含まないガス系を用いてドライエッチングするコ
ンタクトホール形成の第2段階とを含み、これらの段階
が順に実行されることを特徴とする半導体装置の製造方
法。
A step of depositing a silicon nitride film on the entire surface of the silicon substrate;
Depositing a silicon oxide film on the silicon nitride film; and having a selectivity for etching with respect to the silicon oxide film and the silicon nitride film on the silicon oxide film, and capable of etching using the silicon oxide film as a stopper. Forming a selective film, forming a contact hole forming mask on the upper selective film by a photolithography process, and using the mask and the silicon oxide film as a stopper. Forming an opening by etching; and further depositing the same material as the selective film on the selective film, and then forming an sidewall anisotropically by etching back anisotropically. Using the selection film as a mask, the silicon nitride film as a stopper, and a sufficient selection ratio with respect to the selection film. In conditions can be ensured, the first contact hole forming dry etching until hole reaches the silicon nitride film
A gas containing no carbon monoxide until the silicon nitride film is removed, under the condition that the select film is used as a mask, the influence on the silicon substrate is small, and the selectivity with respect to the select film is ensured. A second step of forming a contact hole by dry etching using a system, wherein these steps are sequentially performed.
【請求項2】 前記選択膜は、ポリシリコンにより形成
されていることを特徴とする請求項1に記載の半導体装
置の製造方法。
2. The method according to claim 1, wherein the selection film is formed of polysilicon.
【請求項3】 前記シリコン窒化膜の形成段階の前に、
前記シリコン基板上にトランスファゲートを形成する段
階を含み、前記シリコン窒化膜の堆積段階では、前記ト
ランスファゲート間に前記コンタクトホールの径より広
い間隔を残すような膜厚で前記シリコン窒化膜が堆積さ
れることを特徴とする請求項1または2のいずれかに記
載の半導体装置の製造方法。
3. The method according to claim 1, wherein before the step of forming the silicon nitride film,
Forming a transfer gate on the silicon substrate, wherein, in the step of depositing the silicon nitride film, the silicon nitride film is deposited to a thickness that leaves a wider gap than the diameter of the contact hole between the transfer gates. 3. The method of manufacturing a semiconductor device according to claim 1, wherein:
【請求項4】 前記トランスファゲートの形成段階と前
記シリコン窒化膜の堆積段階との間に、前記シリコン基
板の全面に保護膜として第1のシリコン酸化膜を形成す
る段階と、前記保護膜上に該保護膜に対してエッチング
時の選択性を有する異種膜を堆積する段階と、前記異種
膜を異方的にエッチングすることにより、前記トランス
ファゲートの側面にサイドウォールを形成する段階と、
前記トランスファゲートの周囲に前記サイドウォールを
マスクとして不純物をドーピングする段階と、前記保護
膜をストッパーとして前記マスク層をエッチングにより
除去する段階とをさらに含むことを特徴とする請求項3
に記載の半導体装置の製造方法。
4. A step of forming a first silicon oxide film as a protective film on the entire surface of the silicon substrate between the step of forming the transfer gate and the step of depositing the silicon nitride film; Depositing a heterogeneous film having selectivity during etching with respect to the protective film, and forming a sidewall on the side surface of the transfer gate by anisotropically etching the heterogeneous film;
4. The method according to claim 3, further comprising: doping impurities around the transfer gate using the sidewalls as a mask; and removing the mask layer by etching using the protective film as a stopper.
13. The method for manufacturing a semiconductor device according to item 5.
【請求項5】 前記異種膜は、ポリシリコン膜により形
成されることを特徴とする請求項4に記載の半導体装置
の製造方法。
5. The method according to claim 4, wherein the heterogeneous film is formed of a polysilicon film.
【請求項6】 前記異種膜は、シリコン窒化膜により形
成されることを特徴とする請求項4に記載の半導体装置
の製造方法。
6. The method according to claim 4, wherein the heterogeneous film is formed of a silicon nitride film.
【請求項7】 前記トランスファゲートは、ポリシリコ
ン膜と該ポリシリコン膜上に形成されたシリコン酸化膜
とを有することを特徴とする請求項3に記載の半導体装
置の製造方法。
7. The method according to claim 3, wherein the transfer gate has a polysilicon film and a silicon oxide film formed on the polysilicon film.
【請求項8】 前記シリコン窒化膜の膜厚は、前記トラ
ンスファゲート上では前記コンタクトホール形成の第1
段階でのストッパーとして機能せず、前記トランスファ
ゲート以外の部分ではストッパーとして機能するよう定
められていることを特徴とする請求項7に記載の半導体
装置の製造方法。
8. The method according to claim 1, wherein the thickness of the silicon nitride film is a first thickness of the contact hole on the transfer gate.
The method of manufacturing a semiconductor device according to claim 7, wherein the function is not set to function as a stopper at a stage, but is set to function as a stopper in a portion other than the transfer gate.
【請求項9】 前記コンタクトホール形成の第1段階で
は、一酸化炭素を含むガス系を用いてドライエッチング
することを特徴とする請求項1〜8のいずれかに記載の
半導体装置の製造方法。
9. The method according to claim 1, wherein in the first step of forming the contact hole, dry etching is performed using a gas containing carbon monoxide.
【請求項10】 前記コンタクトホール形成の第1段階
では、一酸化炭素を含まないガス系を用いてドライエッ
チングすることを特徴とする請求項1〜6のいずれかに
記載の半導体装置の製造方法。
10. The method of manufacturing a semiconductor device according to claim 1, wherein in the first step of forming the contact hole, dry etching is performed using a gas system containing no carbon monoxide. .
JP35352497A 1997-12-22 1997-12-22 Method for manufacturing semiconductor device Expired - Fee Related JP3486331B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP35352497A JP3486331B2 (en) 1997-12-22 1997-12-22 Method for manufacturing semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP35352497A JP3486331B2 (en) 1997-12-22 1997-12-22 Method for manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
JPH11186388A true JPH11186388A (en) 1999-07-09
JP3486331B2 JP3486331B2 (en) 2004-01-13

Family

ID=18431428

Family Applications (1)

Application Number Title Priority Date Filing Date
JP35352497A Expired - Fee Related JP3486331B2 (en) 1997-12-22 1997-12-22 Method for manufacturing semiconductor device

Country Status (1)

Country Link
JP (1) JP3486331B2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002261171A (en) * 2001-03-02 2002-09-13 Mitsubishi Electric Corp Manufacturing method of semiconductor device and the semiconductor device
KR100400302B1 (en) * 2000-06-30 2003-10-01 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
JP2012142599A (en) * 1999-10-13 2012-07-26 Sony Corp Semiconductor device and manufacturing method of the same

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2012142599A (en) * 1999-10-13 2012-07-26 Sony Corp Semiconductor device and manufacturing method of the same
KR100400302B1 (en) * 2000-06-30 2003-10-01 주식회사 하이닉스반도체 Method for manufacturing semiconductor device
JP2002261171A (en) * 2001-03-02 2002-09-13 Mitsubishi Electric Corp Manufacturing method of semiconductor device and the semiconductor device

Also Published As

Publication number Publication date
JP3486331B2 (en) 2004-01-13

Similar Documents

Publication Publication Date Title
JP3164026B2 (en) Semiconductor device and manufacturing method thereof
US7504287B2 (en) Methods for fabricating an integrated circuit
US5990524A (en) Silicon oxime spacer for preventing over-etching during local interconnect formation
US20020001938A1 (en) Process for fabricating semiconductor integrated circuit device having polycide line and impurity region respectively exposed to contact holes different in depth
JP3349937B2 (en) Method for manufacturing semiconductor device
KR100469913B1 (en) Manufacturing method for semiconductor device
US8574980B2 (en) Method of forming fully silicided NMOS and PMOS semiconductor devices having independent polysilicon gate thicknesses, and related device
US6979651B1 (en) Method for forming alignment features and back-side contacts with fewer lithography and etch steps
JP3990858B2 (en) Semiconductor device
JP3486331B2 (en) Method for manufacturing semiconductor device
US9805971B2 (en) Method of forming a via contact
US20030001205A1 (en) Transistor of semiconductor device and method of manufacturing the same
KR20010046863A (en) Gate electrode of a semiconductor device
US20050142740A1 (en) Method and resulting structure for fabricating dram cell structure using oxide line spacer
KR100394524B1 (en) Method For Manufacturing Semiconductor Devices
JP4938211B2 (en) Manufacturing method of MOS transistor
KR20040041792A (en) A method for manufacturing of merged memory logic in semiconductor device
KR100443079B1 (en) Method of manufacturing semiconductor device
KR100367400B1 (en) Manufacturing Method of Composite Semiconductor Device
KR100565452B1 (en) Semiconductor Device And Method For Manufacturing The Same
KR100565448B1 (en) Semiconductor Device And Method For Manufacturing The Same
US6613655B2 (en) Method of fabricating system on chip device
KR20030058634A (en) Manufacturing method for semiconductor device
JPH11135628A (en) Manufacture of semiconductor device
KR20050002075A (en) Method for fabrication of semiconductor device

Legal Events

Date Code Title Description
FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20081024

Year of fee payment: 5

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 5

Free format text: PAYMENT UNTIL: 20081024

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 6

Free format text: PAYMENT UNTIL: 20091024

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313111

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 6

Free format text: PAYMENT UNTIL: 20091024

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 6

Free format text: PAYMENT UNTIL: 20091024

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 7

Free format text: PAYMENT UNTIL: 20101024

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20111024

Year of fee payment: 8

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 9

Free format text: PAYMENT UNTIL: 20121024

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 9

Free format text: PAYMENT UNTIL: 20121024

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 9

Free format text: PAYMENT UNTIL: 20121024

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

FPAY Renewal fee payment (prs date is renewal date of database)

Year of fee payment: 9

Free format text: PAYMENT UNTIL: 20121024

FPAY Renewal fee payment (prs date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131024

Year of fee payment: 10

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

LAPS Cancellation because of no payment of annual fees