JPH11186224A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH11186224A
JPH11186224A JP35753397A JP35753397A JPH11186224A JP H11186224 A JPH11186224 A JP H11186224A JP 35753397 A JP35753397 A JP 35753397A JP 35753397 A JP35753397 A JP 35753397A JP H11186224 A JPH11186224 A JP H11186224A
Authority
JP
Japan
Prior art keywords
nitride film
silicon nitride
film
etching
semiconductor device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP35753397A
Other languages
Japanese (ja)
Inventor
Keiko Mochizuki
圭子 望月
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP35753397A priority Critical patent/JPH11186224A/en
Publication of JPH11186224A publication Critical patent/JPH11186224A/en
Withdrawn legal-status Critical Current

Links

Landscapes

  • Drying Of Semiconductors (AREA)

Abstract

PROBLEM TO BE SOLVED: To provide a method of manufacturing a semiconductor device, which can raise significantly the selection of etching of a silicon oxide film to a silicon nitride film and can effectively etch the flat silicon film formed on the silicon nitride film having steps and by a simple method. SOLUTION: A method of manufacturing a semiconductor device, which is constituted by such a method that the surface of a semiconductor substrate 10 is treated by a reactive ion etching, consists of a first process of etching selectively a silicon oxide film on the upper flat surface of a silicon nitride film 30 having steps using first treatment gas containing fluorocarbon gas not having a hydrogen bond and a second process of etching selectively the remnant of the silicon oxide film and the lower flat surface of the film 30 having the steps on the sidewalls of the film 30, which is not etched in the first process and has the steps, using second treatment gas containing fluorocarbon gas having a hydrogen bond and CO gas.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】この発明は、たとえばフロロ
カーボン系ガスを用いた反応性イオンエッチングにより
半導体基板の表面を処理するようにしてなる半導体装置
の製造方法に関するもので、特に、SAC(Self
Aligned Contact hole)エッチン
グプロセスを用いて、DRAMにおけるビット線コンタ
クトなどのコンタクトホールを形成する場合に用いられ
るものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device in which the surface of a semiconductor substrate is treated by, for example, reactive ion etching using a fluorocarbon-based gas.
This is used when a contact hole such as a bit line contact in a DRAM is formed by using an aligned contact hole etching process.

【0002】[0002]

【従来の技術】一般に、DRAM等のような集積回路を
有する半導体装置は、近接する電界効果トランジスタの
ゲート間に位置した絶縁膜を貫通し、ドレイン拡散領域
に電気的に接続されたコンタクトを備えている。このコ
ンタクトは金属配線層にドレイン拡散領域を接続させる
ためにドレイン拡散領域から電極を引き出す役割を果た
している。
2. Description of the Related Art Generally, a semiconductor device having an integrated circuit such as a DRAM has a contact penetrating an insulating film located between gates of adjacent field effect transistors and electrically connected to a drain diffusion region. ing. The contact plays a role of extracting an electrode from the drain diffusion region to connect the drain diffusion region to the metal wiring layer.

【0003】このような半導体装置の製造にあたって
は、近接したゲート等の間隔を最小にし、このゲート間
に位置する絶縁膜に形成されるコンタクトホールの面積
を最小にして高集積化をはかるためにSACエッチング
が用いられている。
In manufacturing such a semiconductor device, in order to achieve high integration by minimizing the distance between adjacent gates and the like and minimizing the area of contact holes formed in an insulating film located between the gates. SAC etching is used.

【0004】エッチングとしては、フロロカーボン系ガ
スを用いた反応性イオンエッチング(RIE)が主に用
いられている。シリコン窒化膜を下地ストッパとして、
その上のシリコン酸化膜をエッチングしようとする場
合、下地のシリコン窒化膜に対して高いエッチング選択
比が要求される。
As etching, reactive ion etching (RIE) using a fluorocarbon-based gas is mainly used. Silicon nitride film as base stopper
When etching a silicon oxide film thereon, a high etching selectivity with respect to the underlying silicon nitride film is required.

【0005】上記RIEにおいて、従来より一般的に用
いられている、たとえば、フロロカーボン系のCHF3
とCOとの混合ガスのプラズマ放電での、シリコン酸化
膜のシリコン窒化膜に対するエッチング選択比は約0.
8であり、同じく、C4 8/CO/Ar混合ガスを用
いた場合のエッチング選択比は約12であった。
[0005] In the above-mentioned RIE, for example, a fluorocarbon-based CHF 3 generally used conventionally.
The etching selectivity of the silicon oxide film to the silicon nitride film in the plasma discharge of the mixed gas of CO and CO is about 0.5.
The etching selectivity in the case of using a mixed gas of C 4 F 8 / CO / Ar was about 12.

【0006】SACは、マスクの臨界面積偏差、ミスア
ラインメントの公差、レンズディストーション及び絶縁
層の厚肉等により高い段差を有する金属配線層とゲート
電極との短絡を誘発させるという問題を有していた。
The SAC has a problem that a short circuit between a metal wiring layer having a high step and a gate electrode is induced due to a critical area deviation of a mask, a misalignment tolerance, a lens distortion and a thick insulating layer. .

【0007】図1は、所定幅の活性領域(A)等の間に
配列された4個のビットライン領域(B)と、近接した
ビットライン領域等と自らの上段および下段隅部が折り
重なるように配置されたコンタクト領域(C)等とを有
する半導体装置を示している。
FIG. 1 shows four bit line regions (B) arranged between active regions (A) having a predetermined width, and adjacent bit line regions, etc., so that the upper and lower corners of the bit lines overlap with each other. 1 shows a semiconductor device having a contact region (C) and the like arranged in FIG.

【0008】図2〜5は、図1のa−a’線で切断した
断面図である。これらの図を参照して、従来のSACの
製造工程を説明する。
FIGS. 2 to 5 are sectional views taken along the line aa 'of FIG. With reference to these drawings, a conventional SAC manufacturing process will be described.

【0009】図2において、不純物拡散領域11が形成
された基板10を備える半導体基板を示す。不純物拡散
領域11は、図1に示された活性領域に位置し、さらに
フィールド領域(図示せず)に形成されたフィールド酸
化膜(図示せず)により分離されている。基板10の上
に、第1の絶縁層12、第1の導電層13および第2の
絶縁層14が順次形成される。
FIG. 2 shows a semiconductor substrate including a substrate 10 on which an impurity diffusion region 11 is formed. The impurity diffusion region 11 is located in the active region shown in FIG. 1, and is further separated by a field oxide film (not shown) formed in a field region (not shown). On a substrate 10, a first insulating layer 12, a first conductive layer 13, and a second insulating layer 14 are sequentially formed.

【0010】図3に示すように、第1の絶縁層12、第
1の導電層13および第2の絶縁層14は、第1の絶縁
層パターン12A、第1の導電層パターン13Aおよび
第2の絶縁層パターン14Aを形成するように分離され
る。この第1の絶縁層パターン12A、第1の導電層パ
ターン13Aおよび第2の絶縁層パターン14Aは、ビ
ットライン用マスクを用いて前記不純物拡散領域上に位
置する第1の絶縁層12、第1の導電層13および第2
の絶縁層14をエッチングすることにより形成される。
第1の導電層パターン13Aはビットラインに用いられ
る。第1の絶縁層パターン12A、第1の導電層パター
ン13Aおよび第2の絶縁層パターン14Aが形成され
た基板10の表面に第3の絶縁層15が形成され、さら
に、この第3の絶縁層15の上にコンタクトマスク用の
感光膜パターン16が形成される。
As shown in FIG. 3, the first insulating layer 12, the first conductive layer 13 and the second insulating layer 14 are composed of a first insulating layer pattern 12A, a first conductive layer pattern 13A and a second Are separated to form the insulating layer pattern 14A. The first insulating layer pattern 12A, the first conductive layer pattern 13A, and the second insulating layer pattern 14A are formed on the first insulating layer 12, the first insulating layer 12 located on the impurity diffusion region by using a bit line mask. Conductive layer 13 and second
Is formed by etching the insulating layer 14 of FIG.
The first conductive layer pattern 13A is used for a bit line. A third insulating layer 15 is formed on the surface of the substrate 10 on which the first insulating layer pattern 12A, the first conductive layer pattern 13A, and the second insulating layer pattern 14A are formed. A photosensitive film pattern 16 for a contact mask is formed on 15.

【0011】感光膜パターン16の間に露出された第3
の絶縁層15を、第2の絶縁層パターン14Aの上部お
よび不純物拡散領域11の表面が露出されるようにエッ
チングすることにより形成されたコンタクトホール20
および第3の絶縁層の一部からなるスペーサ15Aを図
4に示す。図3に示された感光膜パターン16は、エッ
チング工程後に除去される。スペーサ15Aは、第1の
絶縁層パターン12A、第1の導電層パターン13Aお
よび第2の絶縁層パターン14Aの側壁に位置する。
The third exposed between the photosensitive film patterns 16
Contact hole 20 formed by etching insulating layer 15 so that the upper part of second insulating layer pattern 14A and the surface of impurity diffusion region 11 are exposed.
FIG. 4 shows a spacer 15A formed of a part of the third insulating layer. The photoresist pattern 16 shown in FIG. 3 is removed after the etching process. The spacer 15A is located on the side wall of the first insulating layer pattern 12A, the first conductive layer pattern 13A, and the second insulating layer pattern 14A.

【0012】さらに、コンタクトホール20に、金属材
料を堆積させて第2の導電層17を形成し、その第2の
導電層17の上部に貯蔵電極マスク用感光膜パターン1
8を形成する。
Further, a metal material is deposited in the contact hole 20 to form a second conductive layer 17, and the photosensitive film pattern 1 for a storage electrode mask is formed on the second conductive layer 17.
8 is formed.

【0013】図5に示すように、第2の導電層パターン
17Aは、図4に示された感光膜パターン18の間に露
出した第2の導電層パターン17Aを選択的にエッチン
グすることにより形成される。感光膜パターン18は、
第2の導電層17のエッチング工程後に除去される。さ
らに、第2の導電層17のエッチング工程時に除去され
ず第3の絶縁層15の段差部に残存する第3の導電層の
残留物17Bは、第3の絶縁層15の表面に形成される
比較的大きい段差部に形成される。第2の導電層の残留
物17Bは、コンタクト形成工程の次の工程により形成
される他の配線ライン等を短絡させて半導体装置の不良
を招く。
As shown in FIG. 5, the second conductive layer pattern 17A is formed by selectively etching the second conductive layer pattern 17A exposed between the photosensitive film patterns 18 shown in FIG. Is done. The photosensitive film pattern 18
The second conductive layer 17 is removed after the etching step. Furthermore, the residue 17 </ b> B of the third conductive layer that is not removed during the etching step of the second conductive layer 17 and remains at the step portion of the third insulating layer 15 is formed on the surface of the third insulating layer 15. It is formed in a relatively large step. The residue 17B of the second conductive layer short-circuits other wiring lines and the like formed in the step subsequent to the contact forming step and causes a failure of the semiconductor device.

【0014】このように、従来の半導体装置の製造方法
では、特に段差を有する構造の場合は良好なコンタクト
ホールを形成することができなかった。
As described above, according to the conventional method of manufacturing a semiconductor device, a good contact hole cannot be formed particularly in the case of a structure having a step.

【0015】[0015]

【発明が解決しようとする課題】上記したように、従
来、特に、段差を有するシリコン窒化膜においてはその
構造のために、シリコン窒化膜の上部平坦面と側壁を残
してシリコン酸化膜とシリコン窒化膜の下部平坦面を選
択的にエッチングすることは難しかった。本発明者は、
これはシリコン酸化膜のシリコン窒化膜に対するエッチ
ング選択比ばかりでなく、シリコン窒化膜の上部平坦面
と側壁に対するシリコン窒化膜の下部平坦面のエッチン
グ選択比が低いことに起因することを見出した。
As described above, the structure of a silicon nitride film having a step has been conventionally known because of its structure. It was difficult to selectively etch the lower flat surface of the film. The inventor has
This has been found to be caused not only by the etching selectivity of the silicon oxide film to the silicon nitride film but also to the low etching selectivity of the lower flat surface of the silicon nitride film to the upper flat surface and the side walls of the silicon nitride film.

【0016】本発明は、シリコン酸化膜のシリコン窒化
膜に対するエッチング選択比を大幅に向上できるばかり
でなく、段差を有するシリコン窒化膜の上に形成された
平坦なシリコン酸化膜と、シリコン窒化膜の下部平坦面
を効果的に、かつ簡便な方法でエッチングすることの可
能な半導体装置の製造方法を提供することを目的として
いる。
According to the present invention, not only can the etching selectivity of a silicon oxide film to a silicon nitride film be greatly improved, but also a flat silicon oxide film formed on a silicon nitride film having a step, It is an object of the present invention to provide a method of manufacturing a semiconductor device capable of etching a lower flat surface effectively and simply.

【0017】[0017]

【課題を解決するための手段】上記の目的を達成するた
めに、この発明の半導体装置の製造方法にあっては、反
応性イオンエッチングにより半導体基板の表面を処理す
るようにしてなる場合において、水素結合を持たないフ
ロロカーボン系ガスを含む第1の処理ガスを用いて、段
差を有するシリコン窒化膜の上部平坦面に対して選択的
にシリコン酸化膜をエッチングする第1の工程と、水素
結合を有するフロロカーボン系ガスとCOガスとを含む
第2の処理ガスを用いて、第1の工程でエッチングされ
なかった段差を有するシリコン窒化膜の上部平坦面と側
壁に対して選択的に前記シリコン酸化膜の残部および前
記段差を有するシリコン窒化膜の下部平坦面をエッチン
グする第2の工程とからなっている。
In order to achieve the above-mentioned object, a method of manufacturing a semiconductor device according to the present invention is characterized in that, when the surface of a semiconductor substrate is treated by reactive ion etching, A first step of selectively etching a silicon oxide film on an upper flat surface of a silicon nitride film having a step using a first processing gas containing a fluorocarbon-based gas having no hydrogen bond; Using a second processing gas containing a fluorocarbon-based gas and a CO gas, the silicon oxide film selectively on an upper flat surface and a side wall of a silicon nitride film having a step not etched in the first step And the second step of etching the lower flat surface of the silicon nitride film having the step.

【0018】また、この発明の半導体装置の製造方法に
あっては、シリコン基板の表面にゲート絶縁膜を介して
形成されたゲート電極に沿って段差(上部平坦面、側
壁、下部平坦面)を有するシリコン窒化膜を形成し、こ
のシリコン窒化膜の上層に平坦なシリコン酸化膜を形成
した後、そのシリコン酸化膜にゲート電極に対して自己
整合的にコンタクトホールを形成する場合において、C
4 8 /ArもしくはC4 8 /CO/Arの混合ガス
プラズマ中にて、シリコン窒化膜の上部平坦面に対して
選択的にシリコン酸化膜をエッチングし、シリコン窒化
膜の上部平坦面がプラズマ中に晒された後、CHF3
COの混合ガスプラズマ中にて、シリコン窒化膜の上部
平坦面と側壁に対して選択的に、シリコン酸化膜の残部
および下部平坦面をエッチングするようになっている。
In the method of manufacturing a semiconductor device according to the present invention, a step (upper flat surface, side wall, lower flat surface) is formed along a gate electrode formed on a surface of a silicon substrate via a gate insulating film. In the case where a silicon nitride film is formed, a flat silicon oxide film is formed on the silicon nitride film, and a contact hole is formed in the silicon oxide film in a self-aligned manner with respect to a gate electrode,
In a mixed gas plasma of 4 F 8 / Ar or C 4 F 8 / CO / Ar, the silicon oxide film is selectively etched with respect to the upper flat surface of the silicon nitride film. After exposure to plasma, CHF 3 /
In a mixed gas plasma of CO, the remaining flat portion and the lower flat surface of the silicon oxide film are selectively etched with respect to the upper flat surface and the side wall of the silicon nitride film.

【0019】この発明の半導体装置の製造方法によれ
ば、シリコン酸化膜に対するシリコン窒化膜の高選択比
のエッチングはもちろんのこと、シリコン窒化膜の部位
によってエッチングレートを抑制できるようになる。こ
れにより、シリコン窒化膜の上部平坦面と側壁に対する
シリコン窒化膜の下部平坦面のエッチング選択比を十分
に確保することが可能となるものである。
According to the method of manufacturing a semiconductor device of the present invention, the etching rate can be suppressed depending on the portion of the silicon nitride film as well as the etching of the silicon nitride film with a high selectivity relative to the silicon oxide film. Thus, it is possible to sufficiently secure an etching selectivity of the lower flat surface of the silicon nitride film with respect to the upper flat surface and the side wall of the silicon nitride film.

【0020】[0020]

【発明の実施の形態】以下、この発明の実施の形態につ
いて図面を参照して説明する。
Embodiments of the present invention will be described below with reference to the drawings.

【0021】図6は、本発明の実施の一形態にかかる、
フロロカーボン系ガスを用いたRIEによって、DRA
Mにおけるビット線コンタクトなどのコンタクトホール
を形成する際の工程を示すものである。
FIG. 6 shows an embodiment of the present invention.
DRA by RIE using fluorocarbon-based gas
5 shows a process for forming a contact hole such as a bit line contact in M.

【0022】シリコン基板10に、ソース・ドレイン領
域となる不純物拡散層11を形成した後、第1の絶縁層
12、第1の導電層13Aを順次形成する。次に、ビッ
トライン用マスクを用いて、不純物拡散層11の上に位
置する第1の導電層13Aの部分をエッチングする。第
1の導電層13Aの上に窒化膜30を形成し、BPS
G、SOG、APL等の平坦性の良い、例えばシリコン
酸化膜からなる第2の絶縁層14を形成する。ただし、
平坦性がさほど良くない膜であってもレジストエッチバ
ックもしくはCMPにより平坦化処理を施せば第2の絶
縁層14として用いることができる。
After an impurity diffusion layer 11 serving as a source / drain region is formed on a silicon substrate 10, a first insulating layer 12 and a first conductive layer 13A are sequentially formed. Next, the portion of the first conductive layer 13A located on the impurity diffusion layer 11 is etched using the bit line mask. A nitride film 30 is formed on the first conductive layer 13A,
A second insulating layer 14 made of, for example, a silicon oxide film having good flatness such as G, SOG, and APL is formed. However,
Even if the film has poor flatness, the film can be used as the second insulating layer 14 by performing a flattening process by resist etch back or CMP.

【0023】図7に示すように、第2の絶縁層14上に
形成したコンタクトマスク用の感光膜パターン16をマ
スクに、水素を含有しないC4 8 主体のガス系(すな
わち、C4 8 /CO/Ar)でRIEを行う。例え
ば、このガスの流量は10/100/200secm、圧力
は40mTorr、RF.出力は850Wとする。基板の温
度は約20℃である。
As shown in FIG. 7, using a photosensitive film pattern 16 for a contact mask formed on the second insulating layer 14 as a mask, a gas system mainly composed of C 4 F 8 containing no hydrogen (that is, C 4 F 8). 8 / CO / Ar). For example, the flow rate of this gas is 10/100/200 secm, the pressure is 40 mTorr, and RF. The output is 850W. The temperature of the substrate is about 20 ° C.

【0024】第1の導電層13Aの上部の平坦な窒化膜
30が露出すると、これがエッチストッパとして機能す
る。ここでガスをCHF3 主体のガス系(すなわち、C
HF3 /CO)に切り替え、自己整合的に、窒化膜30
の上部平坦面と側壁に対して選択的に、不純物拡散層1
1の表面が露出されるまで窒化膜30の下部平坦面およ
び第1の絶縁層12をエッチングする。例えば、このガ
スの流量を45/155secmとし、圧力を40mRorr、
RF.出力を800Wとする。
When the flat nitride film 30 on the first conductive layer 13A is exposed, it functions as an etch stopper. Here, the gas is converted to a gas system mainly composed of CHF 3 (that is, C
HF 3 / CO), and the nitride film 30 is self-aligned.
Impurity diffusion layer 1 selectively with respect to the upper flat surface and side wall of
The lower flat surface of the nitride film 30 and the first insulating layer 12 are etched until the surface of the first insulating layer 12 is exposed. For example, the flow rate of this gas is 45/155 secm, the pressure is 40 mRorr,
RF. The output is 800 W.

【0025】次に、図8および9に示すように、コンタ
クトマスク用の感光膜16を除去し、金属材料を堆積さ
せて第2の導電層17を形成し、感光膜パターン18を
マスクとしてその金属材料をエッチング後、感光膜パタ
ーン18を除去し、配線を形成する。
Next, as shown in FIGS. 8 and 9, the photosensitive film 16 for the contact mask is removed, a metal material is deposited to form a second conductive layer 17, and the photosensitive film pattern 18 is used as a mask. After etching the metal material, the photosensitive film pattern 18 is removed, and a wiring is formed.

【0026】RIEにおけるガスのタイミングは、たと
えば、窒化膜の表面がプラズマ中にさらされることによ
って減少するCOの変化を、発光分光法などによってエ
ンドポイントとしてモニタすることで、比較的正確に検
知することができる。
The timing of gas in RIE is detected relatively accurately by monitoring a change in CO, which is reduced by exposing the surface of the nitride film to plasma, as an end point by emission spectroscopy or the like. be able to.

【0027】こうして、エッチングの途中で条件を切り
換えることにより、高選択性をもって第1の絶縁層がパ
タ−ニングされる、つまり、窒化膜の削れ量(エッチン
グレート)を抑制しつつ、第1の導電層12Aに対して
自己整合的にコンタクトホールを形成できる。
By switching the conditions in the course of the etching, the first insulating layer is patterned with high selectivity, that is, while the amount of etching of the nitride film (etching rate) is suppressed, the first insulating layer is etched. A contact hole can be formed in self-alignment with conductive layer 12A.

【0028】これにより、SACエッチングプロセスの
実行が確実に可能となるため、セルサイズを大幅に低減
できるとともに、小型で信頼性の高いDRAMが得られ
るようになる。
As a result, the execution of the SAC etching process can be reliably performed, so that the cell size can be significantly reduced and a small and highly reliable DRAM can be obtained.

【0029】以下、本発明の半導体装置の製造方法にお
いて、シリコン酸化膜のシリコン窒化膜に対するエッチ
ング選択比を、本発明と従来技術とを比較して表1に示
す。
The etching selectivity of the silicon oxide film to the silicon nitride film in the method of manufacturing a semiconductor device according to the present invention is shown in Table 1 by comparing the present invention with the prior art.

【表1】 本発明のガス系によるプラズマ条件下においては、上部
平坦面と側壁のシリコン窒化膜に対するエッチングレー
トが極端に減少する一方、シリコン酸化膜のエッチング
レー卜はほとんど落ちない。このため、シリコン窒化膜
に対するエッチング選択比を、従来技術に比して格段に
向上させることが可能となる。
[Table 1] Under the plasma conditions of the gas system of the present invention, the etching rate of the silicon nitride film on the upper flat surface and the side wall decreases extremely, while the etching rate of the silicon oxide film hardly drops. For this reason, the etching selectivity with respect to the silicon nitride film can be significantly improved as compared with the prior art.

【0030】ここで、上記した本発明における、C4
8 /CO/Arの混合ガスでのエッチングの途中で、C
HF3 /COの混合ガスでのエッチングに切り換えるこ
とにより、シリコン窒化膜のパタ−ニングが高選択性を
もって可能となる理由について考察する。
Here, C 4 F in the present invention described above is used.
During etching with a mixed gas of 8 / CO / Ar, C
The reason why patterning of the silicon nitride film can be performed with high selectivity by switching to etching with a mixed gas of HF 3 / CO will be considered.

【0031】表2は、それぞれのガス系によるプラズマ
条件下における、シリコン窒化膜上での反応生成膜の組
成をESCA分析した際の結果を示すものである。
Table 2 shows the results of ESCA analysis of the composition of the reaction product film on the silicon nitride film under the plasma conditions of each gas system.

【0032】[0032]

【表2】 この分析の結果において、SiもしくはNの値が大きい
ということは、下地のシリコン窒化膜が見えやすく、シ
リコン窒化膜上に堆積した反応生成膜の膜厚が薄いこと
を意味する。
[Table 2] In the result of this analysis, a large value of Si or N means that the underlying silicon nitride film is easy to see and the thickness of the reaction product film deposited on the silicon nitride film is small.

【0033】また、C/F比が大きいということは、有
機物膜としての結合度が高く、反応生成膜としても強い
ことを意味する。
Further, a large C / F ratio means that the degree of bonding as an organic film is high, and that the reaction product film is strong.

【0034】このことから、C4 8 /CO/Arのガ
ス系によるプラズマ条件下においては、シリコン窒化膜
上に成長する反応生成膜は厚いが、その膜は弱く、ま
た、CHF3 /COのガス系によるプラズマ条件下にお
いては、反応生成膜は薄いが、強いことが分かる。
From the above, under the plasma conditions of the gas system of C 4 F 8 / CO / Ar, the reaction product film growing on the silicon nitride film is thick, but the film is weak and the CHF 3 / CO Under the plasma conditions of the gas system, the reaction product film is thin but strong.

【0035】したがって、C4 8 /CO/Arの混合
ガスを用いてエッチングを行い、その後、CHF3 /C
Oの混合ガスを用いてエッチングを行う、本発明のガス
系によるプラズマ条件下においては、理論上、シリコン
窒化膜上に、厚いが弱い(C/F比が小さい)反応生成
膜と薄いが強い(C/F比が大きい)反応生成膜とが連
続して形成されることになる。
Therefore, etching is performed using a mixed gas of C 4 F 8 / CO / Ar, and thereafter, CHF 3 / C
Under plasma conditions using the gas system of the present invention in which etching is performed using a mixed gas of O, theoretically, a thick but weak (low C / F ratio) reaction product film and a thin but strong reaction film are formed on a silicon nitride film. The reaction product film (having a large C / F ratio) is formed continuously.

【0036】このことは、シリコン窒化膜上に、かなり
厚くて強い反応生成膜を形成することと等しく、よっ
て、シリコン窒化膜をイオンの衝撃から充分に保護でき
るようになる結果、シリコン窒化膜に対するエッチング
レートが落ちるものと考えられる。
This is equivalent to forming a considerably thick and strong reaction product film on the silicon nitride film, and thus, the silicon nitride film can be sufficiently protected from ion bombardment. It is considered that the etching rate decreases.

【0037】すなわち、本発明のガス系によるプラズマ
条件下においては、まず、C4 8/CO/Arの混合
ガスを用いてエッチングを行ってシリコン窒化膜上に厚
い反応生成膜を形成した後、その上に、CHF3 /CO
の混合ガスを用いて強い反応生成膜を成長させながらエ
ッチングを行うことで、シリコン窒化膜に対するイオン
の衝撃を緩和させ、これにより、シリコン窒化膜のエッ
チングレートを抑えて、シリコン窒化膜が過度にエッチ
ングされるのを防ぐことが可能となるものである。
That is, under the plasma conditions of the gas system of the present invention, first, etching is performed using a mixed gas of C 4 F 8 / CO / Ar to form a thick reaction product film on the silicon nitride film. , On which CHF 3 / CO
By performing etching while growing a strong reaction product film using a mixed gas of the above, the impact of ions on the silicon nitride film is reduced, thereby suppressing the etching rate of the silicon nitride film and excessively increasing the silicon nitride film. This makes it possible to prevent etching.

【0038】しかも、段差を有するシリコン窒化膜に対
するエッチング選択比が向上されることにより、高選択
性をもってシリコン酸化膜のパターニングが行えるよう
になるため、コンタクトホールをゲート電極に対して自
己整合的に形成することができる。
In addition, since the etching selectivity with respect to the silicon nitride film having a step is improved, the silicon oxide film can be patterned with high selectivity. Can be formed.

【0039】上記したように、シリコン窒化膜のエッチ
ングレートを抑制することが可能となり、これにより、
SACエッチングプロセスを高精度に実行できるもので
ある。
As described above, it becomes possible to suppress the etching rate of the silicon nitride film.
The SAC etching process can be performed with high accuracy.

【0040】なお、上記した本発明の実施の一形態にお
いては、第1の処理ガスとしてC48 /CO/Arの
混合ガスを、第2の処理ガスとしてCHF3 /COの混
合ガスを用いた場合について説明したが、これに限ら
ず、例えば第1の処理ガスとしてC4 8 /Ar、C3
6 /Ar等の混合ガス、第2の処理ガスとして、CH
3 F/CO、CH2 2 /CO、CHF2 CF3 /CO
等の混合ガスを用いても同様の効果が期待できる。ま
た、第2の処理ガスの成分としてCOは必須である。
In the embodiment of the present invention, a mixed gas of C 4 F 8 / CO / Ar is used as the first processing gas, and a mixed gas of CHF 3 / CO is used as the second processing gas. has been described the case of using, not limited thereto, C 4 F 8 / Ar, C 3 , for example, as a first process gas
Mixed gas such as F 6 / Ar, CH 2
3 F / CO, CH 2 F 2 / CO, CHF 2 CF 3 / CO
The same effect can be expected by using a mixed gas such as. Also, CO is essential as a component of the second processing gas.

【0041】また、DRAMに限らず、各種の半導体装
置の製造に適用することが可能である。
The present invention is not limited to DRAMs, but can be applied to the manufacture of various semiconductor devices.

【0042】その他、この発明の要旨を変えない範囲に
おいて、種々変形実施可能なことは勿論である。
Of course, various modifications can be made without departing from the scope of the present invention.

【0043】[0043]

【発明の効果】以上、詳述したようにこの発明によれ
ば、シリコン窒化膜に対するシリコン酸化膜のエッチン
グ選択比はもちろん、シリコン窒化膜の上部平坦面と側
壁に対するシリコン窒化膜の下部平坦面のエッチング選
択比を大幅に向上できる。すなわち、ガスの組成を変え
るだけで窒化膜の部位による選択比を非常に高くするこ
とができるため、特に、段差を有する構造のシリコン窒
化膜において、セルフアラインエッチングを実現するこ
とのできる半導体装置の製造方法を提供できる。
As described above in detail, according to the present invention, not only the etching selectivity of the silicon oxide film to the silicon nitride film but also the lower flat surface of the silicon nitride film to the upper flat surface and the side wall of the silicon nitride film. The etching selectivity can be greatly improved. That is, since the selectivity depending on the portion of the nitride film can be extremely increased only by changing the composition of the gas, a semiconductor device capable of realizing self-aligned etching, particularly, in a silicon nitride film having a stepped structure. A manufacturing method can be provided.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 半導体装置のコンタクト領域およびビットラ
インのレイアウトを示す平面図。
FIG. 1 is a plan view showing a layout of a contact region and a bit line of a semiconductor device.

【図2】 従来の半導体装置のコンタクト形成方法の工
程を示す断面図。
FIG. 2 is a sectional view showing steps of a conventional method for forming a contact of a semiconductor device.

【図3】 従来の半導体装置のコンタクト形成方法の工
程を示す断面図。
FIG. 3 is a sectional view showing steps of a conventional method for forming a contact in a semiconductor device.

【図4】 従来の半導体装置のコンタクト形成方法の工
程を示す断面図。
FIG. 4 is a sectional view showing steps of a conventional method for forming a contact of a semiconductor device.

【図5】 従来の半導体装置のコンタクト形成方法の工
程を示す断面図。
FIG. 5 is a cross-sectional view showing steps of a conventional method for forming a contact in a semiconductor device.

【図6】 本発明の半導体装置のコンタクト形成方法の
工程を示す断面図。
FIG. 6 is a sectional view showing a step of a method for forming a contact of a semiconductor device of the present invention.

【図7】 本発明の半導体装置のコンタクト形成方法の
工程を示す断面図。
FIG. 7 is a sectional view showing a step of a method for forming a contact of a semiconductor device of the present invention.

【図8】 本発明の半導体装置のコンタクト形成方法の
工程を示す断面図。
FIG. 8 is a cross-sectional view showing the steps of the method for forming a contact in a semiconductor device of the present invention.

【図9】 本発明の半導体装置のコンタクト形成方法の
工程を示す断面図。
FIG. 9 is a cross-sectional view showing the steps of the method for forming a contact in a semiconductor device of the present invention.

【符号の説明】 10…基板、11…不純物拡散層、12…第1の絶縁
層、13…第1の導電層、14…第2の絶縁層、15…
第3の絶縁層、15A…スペーサ、16…感光膜パター
ン、17…第2の導電層、18…感光膜パターン、20
…コンタクトホール、30…窒化膜
[Description of Signs] 10 ... substrate, 11 ... impurity diffusion layer, 12 ... first insulating layer, 13 ... first conductive layer, 14 ... second insulating layer, 15 ...
Third insulating layer, 15A: spacer, 16: photosensitive film pattern, 17: second conductive layer, 18: photosensitive film pattern, 20
... contact hole, 30 ... nitride film

Claims (9)

【特許請求の範囲】[Claims] 【請求項1】 反応性イオンエッチングにより半導体基
板の表面を処理するようにしてなる半導体装置の製造方
法において、 水素結合を持たないフロロカーボン系ガスを含む第1の
処理ガスを用いて、段差を有するシリコン窒化膜の上部
平坦面に対して選択的にシリコン酸化膜をエッチングす
る第1の工程と、 水素結合を有するフロロカーボン系ガスとCOガスとを
含む第2の処理ガスを用いて、前記第1の工程でエッチ
ングされなかった前記段差を有するシリコン窒化膜の前
記上部平坦面と側壁に対して選択的に前記シリコン酸化
膜の残部および前記段差を有するシリコン窒化膜の下部
平坦面をエッチングする第2の工程とからなることを特
徴とする半導体装置の製造方法。
In a method of manufacturing a semiconductor device, wherein a surface of a semiconductor substrate is processed by reactive ion etching, a step is formed by using a first processing gas containing a fluorocarbon-based gas having no hydrogen bond. A first step of selectively etching a silicon oxide film with respect to an upper flat surface of a silicon nitride film; and a first process using a second processing gas containing a fluorocarbon-based gas having a hydrogen bond and a CO gas. A second step of selectively etching the remaining portion of the silicon oxide film and the lower flat surface of the silicon nitride film having the step with respect to the upper flat surface and the side wall of the silicon nitride film having the step which have not been etched in the step. A method for manufacturing a semiconductor device, comprising:
【請求項2】 前記水素結合を持たないフロロカーボン
系ガスは、プラズマ中にCF2 + イオンを多く生成でき
るものであることを特徴とする請求項1記載の半導体装
置の製造方法。
2. The method of manufacturing a semiconductor device according to claim 1, wherein said fluorocarbon-based gas having no hydrogen bond can generate a large amount of CF 2 + ions in plasma.
【請求項3】 前記プラズマ中にCF2 + イオンを多く
生成できるフロロカーボン系ガスとは、C4 8 である
ことを特徴とする請求項2記載の半導体装置の製造方
法。
3. The method according to claim 2, wherein the fluorocarbon-based gas capable of generating a large amount of CF 2 + ions in the plasma is C 4 F 8 .
【請求項4】 前記第1の処理ガスはC4 8 とArと
の混合ガスであることを特徴とする請求項1記載の半導
体装置の製造方法。
4. The method according to claim 1, wherein the first processing gas is a mixed gas of C 4 F 8 and Ar.
【請求項5】 前記第1の処理ガスはC4 8 とCOと
Arとの混合ガスであることを特徴とする請求項1記載
の半導体装置の製造方法。
5. The method according to claim 1, wherein the first processing gas is a mixed gas of C 4 F 8 , CO and Ar.
【請求項6】 前記水素結合を有するフロロカーボン系
ガスとは、CHF3 であることを特徴とする請求項1記
載の半導体装置の製造方法。
6. The method according to claim 1, wherein the fluorocarbon-based gas having a hydrogen bond is CHF 3 .
【請求項7】 前記水素結合を有するフロロカーボン系
ガスとは、CH3 Fであることを特徴とする請求項1記
載の半導体装置の製造方法。
7. The method according to claim 1, wherein the fluorocarbon-based gas having a hydrogen bond is CH 3 F.
【請求項8】 前記段差を有するシリコン窒化膜の上部
平坦面は、エッチング停止層として機能するものである
ことを特徴とする請求項1記載の半導体装置の製造方
法。
8. The method according to claim 1, wherein an upper flat surface of the silicon nitride film having a step functions as an etching stop layer.
【請求項9】 シリコン基板の表面にゲート絶縁膜を介
してゲート電極を形成し、前記ゲート絶縁膜および前記
ゲート電極に沿って段差を有するシリコン窒化膜を形成
し、前記段差を有するシリコン窒化膜の上に平坦なシリ
コン酸化膜を形成した後、そのシリコン酸化膜に前記ゲ
ート電極に対して自己整合的にコンタクトホールを形成
する半導体装置の製造方法において、 C4 8 /ArもしくはC4 8 /CO/Arの混合ガ
スプラズマ中にて、前記段差を有するシリコン窒化膜の
上部平坦面に対して選択的に前記シリコン酸化膜をエッ
チングし、 CHF3 /COの混合ガスプラズマ中にて、前記段差を
有するシリコン窒化膜の前記上部平坦面と側壁に対して
選択的に、前記シリコン酸化膜の残部および前記段差を
有するシリコン窒化膜の下部平坦面をエッチングするよ
うにしたことを特徴とする半導体装置の製造方法。
9. A gate electrode is formed on a surface of a silicon substrate via a gate insulating film, a silicon nitride film having a step is formed along the gate insulating film and the gate electrode, and a silicon nitride film having the step is formed. Forming a contact hole in the silicon oxide film in a self-aligned manner with respect to the gate electrode after the formation of a flat silicon oxide film on the semiconductor device, comprising: C 4 F 8 / Ar or C 4 F In a mixed gas plasma of 8 / CO / Ar, the silicon oxide film is selectively etched with respect to the upper flat surface of the silicon nitride film having the step, and in a mixed gas plasma of CHF 3 / CO, The remaining portion of the silicon oxide film and the silicon nitride film having the step are selectively formed on the upper flat surface and the side wall of the silicon nitride film having the step. Method of manufacturing a semiconductor device is characterized in that the parts flat surface so as to etching.
JP35753397A 1997-12-25 1997-12-25 Manufacture of semiconductor device Withdrawn JPH11186224A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP35753397A JPH11186224A (en) 1997-12-25 1997-12-25 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP35753397A JPH11186224A (en) 1997-12-25 1997-12-25 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JPH11186224A true JPH11186224A (en) 1999-07-09

Family

ID=18454621

Family Applications (1)

Application Number Title Priority Date Filing Date
JP35753397A Withdrawn JPH11186224A (en) 1997-12-25 1997-12-25 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JPH11186224A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002100612A (en) * 2000-09-25 2002-04-05 Sony Corp Etching method and method for manufacturing semiconductor device
US6893973B2 (en) 1999-12-21 2005-05-17 Nec Electronics Corporation Method of etching silicon nitride film and method of producing semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6893973B2 (en) 1999-12-21 2005-05-17 Nec Electronics Corporation Method of etching silicon nitride film and method of producing semiconductor device
JP2002100612A (en) * 2000-09-25 2002-04-05 Sony Corp Etching method and method for manufacturing semiconductor device

Similar Documents

Publication Publication Date Title
US6716761B2 (en) Method of forming fine patterns
JPH11330245A (en) Method for contact formation of semiconductor device
JPH09205145A (en) Integrated circuit and its manufacture
US7537998B2 (en) Method for forming salicide in semiconductor device
JP3248072B2 (en) Oxide film etching method
KR100192521B1 (en) Method of manufacturing semiconductor device
JPH10135331A (en) Contact hole forming method for semiconductor device
US7381640B2 (en) Method of forming metal line and contact plug of flash memory device
US5902133A (en) Method of forming a narrow polysilicon gate with i-line lithography
JP2757838B2 (en) Method for manufacturing semiconductor device
JP3172998B2 (en) Semiconductor device and manufacturing method thereof
JPH11186224A (en) Manufacture of semiconductor device
JPH09321024A (en) Manufacture of semiconductor device
US6326312B1 (en) Contact hole of semiconductor and its forming method
US20070004105A1 (en) Method for fabricating semiconductor device
JP4257357B2 (en) Manufacturing method of semiconductor device
JP2000260871A (en) Manufacture of semiconductor device
JPH07230968A (en) Manufacture of semiconductor device
JPH1012868A (en) Semiconductor and its manufacture
KR20040007991A (en) Bit line forming method of semiconductor device
JPH0481323B2 (en)
JPH10242275A (en) Manufacture of semiconductor device
JPH1056062A (en) Manufacture of semiconductor device
KR19980080479A (en) How to Form Self-Contact Contact Holes
JPH09139423A (en) Semiconductor integrated circuit and its manufacture

Legal Events

Date Code Title Description
A300 Withdrawal of application because of no request for examination

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20050301