JPH1021289A - Lsi設計方法 - Google Patents

Lsi設計方法

Info

Publication number
JPH1021289A
JPH1021289A JP8178131A JP17813196A JPH1021289A JP H1021289 A JPH1021289 A JP H1021289A JP 8178131 A JP8178131 A JP 8178131A JP 17813196 A JP17813196 A JP 17813196A JP H1021289 A JPH1021289 A JP H1021289A
Authority
JP
Japan
Prior art keywords
circuit
processing
delay
elements
path
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8178131A
Other languages
English (en)
Inventor
Masahiko Toyonaga
昌彦 豊永
Masanobu Mizuno
雅信 水野
Michiaki Muraoka
道明 村岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Holdings Corp
Original Assignee
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd filed Critical Matsushita Electric Industrial Co Ltd
Priority to JP8178131A priority Critical patent/JPH1021289A/ja
Publication of JPH1021289A publication Critical patent/JPH1021289A/ja
Pending legal-status Critical Current

Links

Landscapes

  • Semiconductor Integrated Circuits (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

(57)【要約】 【課題】 クロック信号の遅延、クロックスキュー、消
費電力又は信号遅延を最小化するLSI設計方法を提供
する。 【解決手段】 計算機を用いた自動設計による同期式設
計のレイアウト設計において、回路及び設計制約データ
の入力処理1を実行し、その後、設計制約に基づき配置
関係が制約された素子の自動配置を行う制約自動配置処
理2を実行し、次いで自動配置された素子間の信号の通
過経路の概略を決定する概略自動配線処理3を実行す
る。その後、既に行った論理設計を変更せずに、回路の
消費電力、スピード又は面積を改善するパフォーマンス
改善処理4を実行する。次に、配置された素子間をグロ
ーバル配線に基づき詳細に配線する詳細配線処理5を実
行する。従って、設計制約の保証への収束性を高めつ
つ、クロック信号の遅延、クロックスキュー及び信号遅
延の最小化、及び低電力化が可能となる。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、LSI設計方法、
特に、レイアウトを含む下流設計のパフォーマンス改善
方法の改良に関し、遅延制約が容易に遵守できない難解
なLSIレイアウト設計における自動配置配線設計方法
に関する。
【0002】
【従来の技術】今日、LSI回路をミスなく設計するた
めに、定期的な信号(クロック信号)に同期した記憶素
子(レジスタ)間のデータ転送に基づく同期式設計方法
が多く採用されている。一方、VLSIの製造技術の進
展は著しく、1チップに搭載される回路規模は、100
万トランジスタを越えることも珍しくなく、チップ面積
は増加している。このような大面積のVLSIを高速に
動作させるためには、同期式設計方法では以下のような
問題の解決が不可欠である。
【0003】1)遅延の問題 LSIの集積度に比例してLSIの面積は増大する。そ
のため、LSIのレイアウト設計における配置設計の領
域が増え、場合によっては記憶素子間の距離が長くな
り、その結果、素各記憶子間を結ぶ配線も長くなる。配
線長が長くなると、これに比例して信号伝搬における抵
抗値及び容量値が増加するため、信号伝搬時間が長くな
る。従って、同期クロック信号の時間内にデータ転送が
間に合わなくなる場合が生じ、高速に動作するLSIの
設計が困難になる難点がある。
【0004】2)クロック信号自体の問題 既述のように同期設計では、レジスタ間のデータ転送の
タイミングが全回路を通じてクロック信号により画一化
されている。LSIの面積の増大に伴い、レジスタへの
クロック信号の配線長自体が増加すると共に、その各配
線長相互間で長さのバラツキが生じる。このクロック配
線長のバラツキにより、各レジスタに対してクロック信
号の遅延差が生じる。クロック配線のバラツキが拡大し
クロック信号の遅延差が増大すると、レジスタの駆動タ
イミングのずれが大きくなり、データ転送のタイミング
との間にずれが生じ、その結果、データ転送にエラーが
発生する。このような場合には同期設計に基づくLSI
設計は不可能である。
【0005】更に、データ転送の発生に拘らず“1”値
及び“0”値を定期的に繰り返すクロック信号では、ク
ロック信号の配線長が長くなると、配線容量が増加する
ため、その“1”及び“0”値に相当する充電,放電に
伴う電力消費が増加するという難点も生じる。
【0006】3)信号遅延差に基づく消費電力の増大の
問題 レジスタ間に位置する組み合せ論理回路では、各論理素
子へ入力される複数の信号間で信号伝搬時間の差が大き
くなった場合には、その全ての信号の入力の結果(最終
論理結果)としては出力が変化しない論理素子でも、同
論理素子への各入力信号の遅延差に起因する遷移状態
で、論理出力に変化が生じる可能性がある。この冗長な
出力値の変化は消費電力を増加させるという難点が生じ
る。
【0007】前記1)の問題を解決する従来の技術とし
て、市販の自動配置配線システムには、特定の配線や信
号パスについてタイミング制約を守るように、配置,配
線時に配線長をより一層短くする処理が設けられてい
る。しかし、この機能はタイミング制約を完全に保証す
るものではない。従って、従来では、配置,配線でタイ
ミング制約が保証されない場合には、論理合成の条件を
変更し、この新たな条件の下で配置,配線を行っていた
が、前記論理合成の条件の変更に伴い配置,配線も前回
とは異なり、従って、タイミング制約が保証されない場
合もあり、タイミング制約の保証への収束性が悪い難点
があった。
【0008】また、前記2)の問題を解決する従来技術
として、クロック信号のレジスタへの到着時間のずれを
少なくするクロックスキュー削減の方法が提案されてい
る。その代表的な方法は、クロック信号の出力点から各
レジスタの位置までの距離を等距離で配線する方法であ
る。しかし、この等距離配線方法は、信号到着のバラツ
キ(スキュー)を少なくするために、最も信号線の長い
レジスタに合せて他のレジスタへの配線長を延ばすこと
になり、クロック配線全体の容量の増加が生じる。その
ため、絶対的なクロック信号の遅延を解決できない。ま
た、容量増加に伴う消費電力の増加が問題となる。
【0009】前記2)の問題を解決する他の従来技術と
して、クロック信号の遅延とクロックスキューを限りな
く“0”に近づける方法、即ち、クロック信号の配線形
状をリング状にしたPLL(フェーズロックループ)法
が提案されている。しかし、このPLL法では、チップ
全体に渡る巨大なループを大駆動能力のトランジスタで
動作させるため、電力消費の増大化が回避できないとい
う課題がある。
【0010】更に、前記3)の問題を解決する従来技術
は、現在のところ、人手による調整のみが実施されてお
り、計算機による手続きに従った自動タイミング調整を
する方法は提案されていない。従って、論理素子への複
数の入力信号の遅延差に起因する消費電力の増大を簡易
に低減することは困難である。
【0011】
【発明が解決しようとする課題】従って、以上の従来技
術から、前記3つの問題点に対し以下の課題がある。
【0012】1)自動配置配線システムによりタイミン
グ制約が守られなかった場合に、このタイミング制約の
保証への収束性を高めることが必要である。
【0013】2)クロック信号の遅延、スキューを最小
にし且つ低消費電力化が図れるLSIレイアウト方法が
必要である。
【0014】3)複数の信号の入力タイミングのずれに
起因する遷移状態での素子の冗長な動作により、消費電
力が増大することを抑制ないし低減できる計算機による
手続きに従う自動設計方法が必要である。
【0015】
【課題を解決するための手段】前記の技術的課題を解決
するために、本発明のLSI設計方法は、論理設計後
は、既に行った論理設計を変更せず、その後のレイアウ
ト設計においてタイミング制約等の設計制約を保証する
ようにパフォーマンス改善処理を行って、タイミング制
約の保証への収束性を高める。また、設計制約が保証さ
れた場合であっても前記パフォーマンス改善処理におい
て、クロック信号の遅延、スキューの最小化、回路遅延
の最小化及び低電力化を行うこととする。
【0016】即ち、請求項1記載の発明のLSI設計方
法は、計算機を用いた自動設計による同期式設計のレイ
アウト自動設計において、回路及び設計制約データの入
力処理を行い、前記入力された設計制約に基づき配置関
係が制約された素子の自動配置を行う制約自動配置処理
を実行し、前記自動配置された素子間の信号の通過経路
の概略を決定する概略自動配線処理を行い、その後、前
記配置された素子より成る回路の消費電力、スピード又
は面積を改善するパフォーマンス改善処理を実行し、前
記パフォーマンス改善処理後の各素子間を前記概略自動
配線処理での概略配線に基づき詳細に配線する詳細配線
処理を行い、前記詳細配線後のレイアウトデータを出力
するレイアウトデータ出力処理を行うことを特徴とす
る。
【0017】また、請求項2記載の発明は、前記請求項
1記載のLSI設計方法において、パフォーマンス改善
処理は、素子の追加又は変更による回路の変更をも行っ
て、回路の消費電力、スピード又は面積の改善を行うパ
フォーマンス回路変更処理と、前記回路の変更に係わる
部分の配線の概略自動配線を再度行う再概略自動配線処
理とを備えることを特徴とする。
【0018】更に、請求項3記載の発明は、前記請求項
2記載のLSI設計方法において、パフォーマンス回路
変更処理は、配置位置の隣接する複数のレジスタ素子を
グループ化するレジスタグループ化処理と、前記グルー
プ化された複数のレジスタ素子を更に近接して配置する
近接配置処理とを備えることを特徴とする。
【0019】加えて、請求項4記載の発明は、前記請求
項2記載のLSI設計方法において、パフォーマンス回
路変更処理は、配置された全ての素子より成る組合せ回
路部において、最大遅延パス上の素子をグループ化する
パスグループ化処理と、前記グループ化された素子を、
前記組合せ回路部の入力と出力とをマンハッタン距離で
配線するパス上に配置するパスマンハッタン配置処理と
を備えることを特徴とする。
【0020】更に加えて、請求項5記載の発明は、前記
請求項2記載のLSI設計方法において、パフォーマン
ス回路変更処理は、配置された全ての素子より成る組合
せ回路部の回路構成を変更して、この組合せ回路部を低
電力にする回路低電力化処理と、前記変更後の組合せ回
路部を再配置する再配置処理とを備えることを特徴とす
る。
【0021】また、請求項6記載の発明は、前記請求項
5記載のLSI設計方法において、回路低電力化処理
は、複数の信号の遅延差に起因する素子の出力遷移時で
の消費電力を、その出力遷移時に生じるトグル値を用い
て計算するパス遅延パワー解析処理と、前記トグル値を
削減するために回路のセルの変更又は追加を行う低電力
回路生成処理とを備えることを特徴とする。
【0022】更に、請求項7記載の発明は、前記請求項
6記載のLSI設計方法において、パス遅延パワー解析
処理は、最大遅延又は単位時間により回路の段数を算出
する段数算出処理と、前記最大遅延に相当する範囲の位
相フラグを各素子に設定する位相フラグ設定処理と、組
合せ回路部の入力から出力までの経路で各素子の位相フ
ラグの値を設定する位相フラグ値設定処理と、入力位相
毎に出力される各素子のトグル値を算出するトグル値算
出処理と、前記算出された各素子のトグル値と各素子固
有の1回の出力変化に対する消費電力とに基いて、回路
の全消費電力を算出する電力算出処理とを備えることを
特徴とする。
【0023】加えて、請求項8記載の発明は、前記請求
項6記載のLSI設計方法において、低電力回路生成処
理は、最大トグル値を持つ素子を検索する最大トグル素
子検索処理と、素子間の位相フラグの相互依存度から位
相フラグ相関パスを検索する位相フラグ相関パス検索処
理と、前記検索された位相フラグ相関パスに遅延素子を
挿入して、前記最大トグル値を持つ素子の最大トグル値
を小さくする遅延素子挿入処理と、前記遅延素子挿入後
の位相フラグ相関パスでの各素子の位相フラグの値を再
設定する位相フラグ値再設定手段と、前記最大トグル素
子検索処理を再度繰り返す必要性を判定し、必要と判定
するとき前記最大トグル素子検索処理に戻る改善処理継
続判定処理とを備えることを特徴とする。
【0024】以上の構成により、請求項1記載の発明で
は、一旦、論理設計を行った後は、概略自動配線処理の
後で、前記既に行った論理設計を変更せずに、回路の消
費電力、スピード又は面積を改善するパフォーマンス改
善処理を実行するので、従来のようにレイアウトデータ
出力処理後に設計制約を満さないことが判明して論理設
計のやり直しをする必要の頻度が小さくなり、設計制約
を満すレイアウト設計が従来に比して容易になる。ま
た、設計制約を満す場合であっても、レイアウト設計で
のパフォーマンス改善処理により、回路の消費電力を又
は面積を少なくできると共に、回路の動作スピードの向
上が図られる。
【0025】また、請求項2記載の発明では、パフォー
マンス改善処理では、素子の配置、配線の改善に加え
て、回路を構成する素子の変更や追加をも行うので、回
路の電力、スピード又は面積がより一層効果的に改善さ
れる。
【0026】更に、請求項3記載の発明では、配置距離
が隣接する複数のレジスタ素子を更に近接した位置に配
置するので、これ等のレジスタ素子へのクロック配線の
容量が減少して、この配線容量に起因する電力が低減さ
れる。
【0027】加えて、請求項4記載の発明では、組合せ
回路部の最大遅延パス上の素子をマンハッタン距離で配
線できるので、配線容量が減少して、信号遅延が小さく
なり、回路のスピードが向上する。
【0028】請求項5記載の発明では、設計制約を満す
場合であっても、レイアウト設計でのパフォーマンス改
善処理において、回路低電力化処理により、組合せ回路
部を他の回路に再合成して、低電力化が行われる。
【0029】また、請求項6記載の発明では、トグル値
を効率良く計算して、トグル値を削減できるので、組合
せ回路部の低電力化を効率良く行なうことができる。
【0030】更に、請求項7記載の発明では、回路低電
力化処理において、回路を構成する各素子の位相フラグ
の設定と、トグルの計算とにより、複数の信号の所定素
子への入力遅延差に起因する素子の不要な消費電力の解
析が効率良く行われる。
【0031】加えて、請求項8記載の発明では、回路低
電力化処理において、最大トグルを持つ素子のトグルを
小さくするように遅延素子をパスに挿入して、低電力な
回路を比較的容易に生成できる。
【0032】
【発明の実施の形態】以下、本発明の実施の形態を図面
に基いて説明する。
【0033】(第1の実施の形態)図1は本発明の第1
の実施の形態を用いて説明する。同図は、論理設計以降
においてレイアウトを含む下流設計でのパフォーマンス
設計の流れを示す。
【0034】図1において、回路及び設計制約データの
入力処理1は、論理設計後の回路データ及び、論理設計
時に前提とする仮想配線長等の設計制約データの入力を
行なう。回路データは、例えば図11に示すように、記
号I1、I2、I3で示した入力100、配線101、
及び記号C1、C2、C3、C4、C5、C6で示した
素子102、並びに記号O1で示した出力103から構
成される。また、設計制約データは、例えば、以下の
[式1]に示したタイミング制約と、[式2]の電力制
約等のデータである。
【0035】[式1] 配線名 配線長(μm) C1.C5 1000 C2.C3 2000 [式2] パワー 100mW ここで、配線名は素子C1と素子C5との間を結ぶ配線
101の固有名である。[式1]は、タイミング制約を
配線長で示している。前記タイミング制約データは、例
えば素子C1から素子C5への配線長を1000μm以
下にするという制約を指示するものである。
【0036】図1において、制約配置処理(制約自動配
置処理)2は、前記入力された回路データ及び設計制約
データに基づき、図9に示すようなレイアウト領域(配
置領域)90を設定する。グローバル配線処理(概略自
動配線処理)3は、前記レイアウト領域90上に各素子
に相当する記憶素子(レジスタ素子)92の配置及び素
子間の配線概略形状を決定する処理である。但し、図9
では、各レジスタ素子92とクロック配線93のみを示
している。
【0037】前記制約配置処理2は、面積や配線長等の
設計制約により指示された項目について評価関数で表
し、その関数値を最適化する配置組合せを求めるもので
ある。本制約配置処理2は、既に市販CADシステム
「CELL3ENSEMBLE ( 米国CADENCE 社) 」等により実施す
ることができる。この設計制約での配線長制約について
は、通常、論理設計で設定されたものを用いるため、実
際の配置設計における矛盾は考慮されていない。この矛
盾は、例えば、『同一の正方形の隣接する素子92を5
個以上しかも最短距離で設定する』という設計制約を設
定した場合には、論理設計上では設計できるものの、2
次元のレイアウト領域90という制約がある配置処理2
では、正方形が4辺であることから、正方形の隣接する
素子92を5つ最近接位置に配置することは不可能とな
る矛盾である。従って、次に、論理設計後のレイアウト
設計の制約を反映させた本発明のパフォーマンス改善処
理4を実行する。
【0038】このパフォーマンス改善処理4の詳細を説
明する前に図1のパフォーマンス下流設計の全体を説明
すると、このパフォーマンス改善処理4を実行した後、
詳細配線処理5を実行し、レイアウト設計が完了する
と、レイアウトデータ出力処理6により、設計結果を出
力する。
【0039】次に、前記パフォーマンス改善処理4の構
成を図2に示す。同図のパフォーマンス改善処理4は、
前記グローバル配線処理3の結果から、設計制約を満足
しない回路について図3に詳細を示すパフォーマンス回
路変更処理41を行い、その後、設計制約を満足するよ
うに変更された回路について再度グローバル配線を行う
再グローバル配線処理(再概略自動配線処理)42を実
行する処理である。このパフォーマンス改善処理4は、
回路の構成、配置及びグローバル配線の全てを変更する
処理である。
【0040】図3に示すパフォーマンス回路変更処理4
1は、クロック信号の低電力制約を改善する一例を示
す。このパフォーマンス回路変更処理41は、図9に示
すグローバル配線処理3の結果に対して、素子グループ
95を形成するレジスタグループ化処理51aと、同グ
ループ95を形成するレジスタ同志を相互に近接配置に
移動させる近接配置処理52aとから構成される。具体
的には、レジスタグループ化処理51aは、例えば図9
及び図10に示すように、クロック配線93のツリー分
岐点94に接続される複数個のレジスタ92をグループ
化する。また、近接配置処理52aは。素子グループ9
5の中心座標にグループ内のレジスタ92を隣接配置す
ることにより実現される。
【0041】(パフォーマンス回路変更処理の第1の変
形例)図4に示すパフォーマンス回路変更41は、遅延
制約を改善する一例を示す。図11に示す論理組合せ回
路について、例えば、入力I2から論理素子C2、C
3、C4及びC5を経由して出力O1に至るまでのパス
の遅延が設計制約に違反する場合に、同論理素子C2、
C3、C4及びC5をパスグループ化するパスグループ
化処理51bを行い、その後、入力I2及び出力O1を
固定とするマンハッタン経路(即ち、斜め線のない最短
経路)を設け、この経路上に前記パスグループ化した論
理素子C2、C3、C4及びC5を配置するパスマンハ
ッタン配置処理52bを行う。この変形例により、長い
配線に起因する遅延制約違反を削減できる。
【0042】(パフォーマンス回路変更処理の第2の変
形例)図5に示すパフォーマンス回路変更処理41は、
電力制約を改善する一例を示す。同図のパフォーマンス
回路変更処理41は、信号遅延を劣化させることなく回
路の構成を変更して低電力化を図る回路低電力化処理5
1cと、この変更後の回路の再配置処理52cとから構
成される。
【0043】前記回路低電力化処理51cの詳細を図6
に示す。同図の回路低電力化処理51cは、複数の信号
が1個の素子に入力される各信号間の遅延差に起因する
その素子の出力遷移時での消費電力を、その出力遷移時
に生じるトグル値を用いて計算するパス遅延パワー解析
処理61と、この解析処理61で計算された電力消費量
を低減するように遅延操作してパス回路を変更する遅延
操作による低電力回路生成処理62とから構成される。
【0044】前記パス遅延パワー解析処理61の詳細を
図7に示す。同図の解析処理61は、図11を用いて説
明すると、先ず、回路の入力100(I1,I2,I
3)から出力103(O1)までの通過素子数(最大遅
延段数)を算出する段数算出処理71により最大遅延段
数(同図では入力I2から出力O1までの6段)を求
め、この最大遅延段数と同段数の素子位相フラグ104
を各論理素子102に設定する位相フラグ設定処理72
を実行する。次に、入力100から順に配線101を辿
って出力103まで、各素子を通過する毎に1段ずつ位
相がずれるとして、各素子の位相フラグの値を設定する
位相フラグ値設定処理73を行う。この設定処理73ま
でが完了した状況を図11に示す。同図の素子C4の位
相フラグでは、その前段に位置する2個の素子C3、C
6からの信号入力により、3段目及び4段目の双方に値
(フラグ)“1”が設定される。同様に、素子C5の位
相フラグでは、その前段に位置する2個の素子C1、C
4からの信号入力により、3段目、4段目及び5段目に
各々値“1”が設定される。
【0045】続いて、各素子のトグル値(出力値の変化
回数)を求めるトグル値算出処理74を行う。この算出
処理74は、各素子の位相フラグのうち値“1”の数の
最大値が算出される。例えば、図11の各素子のトグル
値は[式3]に示すようになる。
【0046】[式3] 素子名 最大トグル値(T) C1 1 C2 1 C3 1 C4 2 C5 3 C6 1 前記素子C4、C5の最大トグル値が“2”以上となる
のは、素子C4、C5の入力100(I1,I2,I
3)までのパスが2種類の異なる遅延を有するためであ
る。
【0047】その後は、前記各素子のトグル値を用いて
回路の全電力消費量を算出する電力算出処理75を行
う。この電力算出の簡単な算出式を[式4]に示す。
【0048】 ここで、W(Ci)は、i番目の素子102の1回の出
力変化に対する電力消費量である。また、T(Ci)
は、i番目の素子102のトグル値である。以上によ
り、パス遅延パワー解析処理61が完了する。
【0049】続いて、前記図6に示した遅延操作による
低電力回路生成処理62の詳細を図8に示す。この生成
処理を図11、図12及び図13に基いて説明する。先
ず、図11の各素子の中で最大トグル数を持つ素子を検
索する最大トグル素子検索処理81を行って、最大のト
グル数=3を持つ素子C5を選び出す。
【0050】次いで、位相フラグ相関パス検索処理82
を行う。ここで、位相フラグ相関パス検索処理とは、最
大トグル数を持つ素子C5に入力する信号を出す2個の
素子C1、C4について、何れの影響が大きいかを判定
する処理をいう。この相関判定は、前記各素子C5、C
4、C1の位相フラグ104の比較により行う。例え
ば、各素子C5、C4及びC1の位相フラグは、再掲す
ると、[式5]に示される。
【0051】[式5] 素子名 位相フラグ トグル値 C5 (001110) 3 C4 (001100) 2 C1 (010000) 1 ここで、相関値として素子C5の位相を1つ前にずらし
た位相フラグ、即ち、(011100)の位相フラグに
ついて前記入力側に位置する2個の素子C4、C1の位
相フラグと比較することとして、同位置の値同志を乗算
する。その数値的処理として、位相フラグにおいてより
右側の位置にある値(換言すれば、入力に近い信号によ
るフラグ)について重み付けし、例えば、位相毎に値
(1/2)を乗じる。更に、よりトグル値が小さい素子
を優先するとして、前述の合計結果値をトグル値で割る
ものと定義すると、 C1={0・0+(1/2)1・1+(1/4)1・0
+(1/8)1・0+(1/16)0・0+(1/3
2)0・0}/1=1/2 C4={0・0+(1/2)1・0+(1/4)1・1
+(1/8)1・1+(1/16)0・0+(1/3
2)0・0}/2=3/16 となり、値の大きい素子C1を優先したパスが選出され
る。この相関評価式の意義は、最大トグル数を持つ素子
C5の入力側に位置する2個の素子C1、C2のうち、
最大トグル数を持つ素子C5のトグル値に与える影響
と、入力側に位置する2個の素子C1、C2が他から影
響される小ささ(即ち、トグル値が小さいこと)を評価
することにより、後述する処理(遅延挿入処理)83の
処理の有効性を考慮できる点にある。以上の処理によ
り、位相フラグ相関パス{C1、C5}が検索される。
【0052】次に、図12に示すように、前記位相フラ
グ相関パス{C1、C5}について、入力側に位置する
素子C1から順番に遅延素子(セル)112を挿入する
遅延素子挿入処理83を実行し、その後、前記位相フラ
グ相関パス{C1、C5}の各素子C1、C5の位相フ
ラグの値を再設定する位相フラグ値再設定処理84を実
行する。図12に示した本実施の形態では、素子C1と
素子C5との間に2つの遅延素子112a、112bが
挿入されて、最大トグル数を持つ素子C5のトグル値が
1つ削減される。
【0053】その後は、以上の改善処理を更に継続する
か否かの改善処理継続判定処理85を行い、継続する場
合には前記最大トグル素子検索処理81に戻る。
【0054】前記図12に示した改善回路(遅延素子を
挿入した回路)における各素子の位相フラグに基いて、
最大トグル検索処理81を実行すると、素子C4又は素
子C5が検索される。続いて、次の位相フラグ相関パス
検索処理82を実行すると、相関パスとして{C6、C
4、C5}が得られる。素子C6に対し遅延素子挿入処
理83及び位相フラグ値再設定処理84を実行すると、
図13に示すように、素子C6と素子C4との間に遅延
素子112cが1個挿入された最終結果が得られる。
【0055】以上説明したような図8の遅延操作による
低電力回路生成処理62の実行により、図13に示した
低電力化回路が得られる。
【0056】前記図13の低電力化回路について図5の
再配置処理52cを実行することにより、パフォーマン
ス回路変更処理41が実現される。このフォーマンス回
路変更処理41により、レイアウト領域90における記
憶素子92や論理素子102の配置結果が得られ、次
に、この変更後の回路に対し図2の再グローバル配線4
2を実行して、図1のパフォーマンス改善処理4が完了
する。
【0057】尚、以上説明した本実施の形態では、説明
を簡単にするために遅延を段数として表したが、遅延値
を単位時間化して段数表現してもよいのは勿論である。
また、遅延素子112a、112bの挿入に代えて、素
子C1の変更により同様な遅延効果を実現してもよい。
【0058】
【発明の効果】以上説明したように、請求項1記載の発
明のLSI設計方法によれば、一旦、論理設計を行った
後は、概略自動配線処理の後で、前記既に行った論理設
計を変更せずに、回路の消費電力、スピード又は面積を
改善するパフォーマンス改善処理を実行したので、論理
設計のやり直しをする必要の頻度が小さくなり、設計制
約を満すレイアウト設計が従来に比して容易になる。ま
た、設計制約を満す場合であっても、レイアウト設計で
のパフォーマンス改善処理により、回路の消費電力、面
積又は回路の動作スピードを有効に改善できる。
【0059】また、請求項2記載の発明によれば、パフ
ォーマンス改善処理において、素子の配置、配線の改善
に加えて、回路を構成する素子の変更や追加をも行うの
で、回路の電力、スピード又は面積をより一層効果的に
改善できる。
【0060】更に、請求項3記載の発明によれば、配置
距離が隣接する複数のレジスタ素子を更に近接した位置
に配置したので、クロック配線容量を減少させて、この
配線容量に起因する電力を低減できる。
【0061】加えて、請求項4記載の発明によれば、組
合せ回路部の最大遅延パス上の素子をマンハッタン距離
で配線したので、配線容量の減少により信号遅延を小さ
くでき、回路の動作スピードの向上を図ることができ
る。
【0062】請求項5記載の発明によれば、設計制約を
満す場合であっても、レイアウト設計でのパフォーマン
ス改善処理において、低電力化を図るように組合せ回路
部を他の回路に再合成できる。
【0063】また、請求項6記載の発明によれば、トグ
ル値を効率良く計算して、トグル値を削減できるので、
組合せ回路部の低電力化を効率良く行なうことができ
る。
【0064】更に、請求項7記載の発明によれば、回路
低電力化処理において、回路を構成する各素子の位相フ
ラグの設定と、トグルの計算とにより、複数の信号の遅
延差に起因する素子の不要な消費電力の解析を効率良く
行える。
【0065】加えて、請求項8記載の発明によれば、回
路低電力化処理において、最大トグルを持つ素子のトグ
ルを小さくするように遅延素子をパスに挿入して、低電
力な回路を比較的容易に生成できる。
【図面の簡単な説明】
【図1】本発明の実施の形態のLSI設計方法の流れを
説明する図である。
【図2】本実施の形態のパフォーマンス改善処理の流れ
を説明する図である。
【図3】本実施の形態のパフォーマンス回路変更処理の
流れを説明する図である。
【図4】本実施の形態のパフォーマンス回路変更処理の
第1の変形例の流れを示す図である。
【図5】本実施の形態のパフォーマンス回路変更処理の
第2の変形例の流れを示す図である。
【図6】本実施の形態のパフォーマンス回路変更処理の
第2の変形例における回路低電力化処理の流れを説明す
る図である。
【図7】本実施の形態のパフォーマンス回路変更処理の
第2の変形例におけるパス遅延パワー解析処理の流れを
説明する図である。
【図8】本実施の形態のパフォーマンス回路変更処理の
第2の変形例における遅延操作による低電力回路生成処
理の流れを説明する図である。
【図9】従来のクロック配線結果を示す図である。
【図10】本実施の形態のクロック配線結果を示す図で
ある。
【図11】本実施の形態の位相フラグ計算後の回路を示
す図である。
【図12】本実施の形態の遅延操作による低電力回路生
成処理を実現した回路を示す図である。
【図13】本実施の形態の遅延操作による低電力回路生
成処理を繰り返して実現した回路を示す図である。
【符号の説明】
1 回路及び設計制約データ入力処理
(入力処理) 2 制約配置処理(制約自動配置処理) 3 グローバル配線処理(概略自動配線
処理) 4 パフォーマンス改善処理 5 詳細配線処理 6 レイアウトデータ出力処理 41 パフォーマンス回路変更処理 42 再グローバル配線処理(再概略自
動配線処理) 51a レジスタグループ化処理 51b パスグループ化処理 51c 回路低電力化処理 52a 近接配置処理 52b パスマンハッタン配置処理 52c 再配置処理 61 パス遅延パワー解析処理 62 遅延操作による低電力回路生成処
理 71 段数算出処理 72 位相フラグ設定処理 73 位相フラグ値設定処理 74 トグル値算出処理 75 電力算出処理 81 最大トグル素子検索処理 82 位相フラグ相関パス検索処理 83 遅延素子挿入処理 84 位相フラグ値再設定処理 85 改善処理継続判定処理 90 レイアウト領域 92 記憶素子(レジスタ) 93 クロック配線 94 クロック分岐点 95 素子グループ 102 論理素子 104 位相フラグ 112a、112b 遅延素子

Claims (8)

    【特許請求の範囲】
  1. 【請求項1】 計算機を用いた自動設計による同期式設
    計のレイアウト自動設計において、 回路及び設計制約データの入力処理を行い、 前記入力された設計制約に基づき配置関係が制約された
    素子の自動配置を行う制約自動配置処理を実行し、 前記自動配置された素子間の信号の通過経路の概略を決
    定する概略自動配線処理を行い、 その後、前記配置された素子より成る回路の消費電力、
    スピード又は面積を改善するパフォーマンス改善処理を
    実行し、 前記パフォーマンス改善処理後の各素子間を前記概略自
    動配線処理での概略配線に基づき詳細に配線する詳細配
    線処理を行い、 前記詳細配線後のレイアウトデータを出力するレイアウ
    トデータ出力処理を行うことを特徴とするLSI設計方
    法。
  2. 【請求項2】 パフォーマンス改善処理は、 素子の追加又は変更による回路の変更をも行って、回路
    の消費電力、スピード又は面積の改善を行うパフォーマ
    ンス回路変更処理と、 前記回路の変更に係わる部分の配線の概略自動配線を再
    度行う再概略自動配線処理とを備えることを特徴とする
    請求項1記載のLSI設計方法。
  3. 【請求項3】 パフォーマンス回路変更処理は、 配置位置の隣接する複数のレジスタ素子をグループ化す
    るレジスタグループ化処理と、 前記グループ化された複数のレジスタ素子を更に近接し
    て配置する近接配置処理とを備えることを特徴とする請
    求項2記載のLSI設計方法。
  4. 【請求項4】 パフォーマンス回路変更処理は、 配置された全ての素子より成る組合せ回路部において、
    最大遅延パス上の素子をグループ化するパスグループ化
    処理と、 前記グループ化された素子を、前記組合せ回路部の入力
    と出力とをマンハッタン距離で配線するパス上に配置す
    るパスマンハッタン配置処理とを備えることを特徴とす
    る請求項2記載のLSI設計方法。
  5. 【請求項5】 パフォーマンス回路変更処理は、 配置された全ての素子より成る組合せ回路部の回路構成
    を変更して、この組合せ回路部を低電力にする回路低電
    力化処理と、 前記変更後の組合せ回路部を再配置する再配置処理とを
    備えることを特徴とする請求項2記載のLSI設計方
    法。
  6. 【請求項6】 回路低電力化処理は、 複数の信号の遅延差に起因する素子の出力遷移時での消
    費電力を、その出力遷移時に生じるトグル値を用いて計
    算するパス遅延パワー解析処理と、 前記トグル値を削減するために回路のセルの変更又は追
    加を行う低電力回路生成処理とを備えることを特徴とす
    る請求項5記載のLSI設計方法。
  7. 【請求項7】 パス遅延パワー解析処理は、 最大遅延又は単位時間により回路の段数を算出する段数
    算出処理と、 前記最大遅延に相当する範囲の位相フラグを各素子に設
    定する位相フラグ設定処理と、 組合せ回路部の入力から出力までの経路で各素子の位相
    フラグの値を設定する位相フラグ値設定処理と、 入力位相毎に出力される各素子のトグル値を算出するト
    グル値算出処理と、前記算出された各素子のトグル値と
    各素子固有の1回の出力変化に対する消費電力とに基い
    て、回路の全消費電力を算出する電力算出処理とを備え
    ることを特徴とする請求項6記載のLSI設計方法。
  8. 【請求項8】 低電力回路生成処理は、 最大トグル値を持つ素子を検索する最大トグル素子検索
    処理と、 素子間の位相フラグの相互依存度から位相フラグ相関パ
    スを検索する位相フラグ相関パス検索処理と、 前記検索された位相フラグ相関パスに遅延素子を挿入し
    て、前記最大トグル値を持つ素子の最大トグル値を小さ
    くする遅延素子挿入処理と、 前記遅延素子挿入後の位相フラグ相関パスでの各素子の
    位相フラグの値を再設定する位相フラグ値再設定手段
    と、 前記最大トグル素子検索処理を再度繰り返す必要性を判
    定し、必要と判定するとき前記最大トグル素子検索処理
    に戻る改善処理継続判定処理とを備えることを特徴とす
    る請求項6記載のLSI設計方法。
JP8178131A 1996-07-08 1996-07-08 Lsi設計方法 Pending JPH1021289A (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8178131A JPH1021289A (ja) 1996-07-08 1996-07-08 Lsi設計方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8178131A JPH1021289A (ja) 1996-07-08 1996-07-08 Lsi設計方法

Publications (1)

Publication Number Publication Date
JPH1021289A true JPH1021289A (ja) 1998-01-23

Family

ID=16043200

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8178131A Pending JPH1021289A (ja) 1996-07-08 1996-07-08 Lsi設計方法

Country Status (1)

Country Link
JP (1) JPH1021289A (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6415423B1 (en) 1998-11-30 2002-07-02 Matsushita Electric Industrial Co., Ltd. LSI design system
US6543033B2 (en) 2000-03-27 2003-04-01 Kabushiki Kaisha Toshiba Circuit design apparatus, circuit design method, circuit design program and semiconductor integrated circuit fabrication method

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6415423B1 (en) 1998-11-30 2002-07-02 Matsushita Electric Industrial Co., Ltd. LSI design system
US7100136B2 (en) 1998-11-30 2006-08-29 Matsushita Electric Industrial Co., Ltd. LSI design system
US6543033B2 (en) 2000-03-27 2003-04-01 Kabushiki Kaisha Toshiba Circuit design apparatus, circuit design method, circuit design program and semiconductor integrated circuit fabrication method

Similar Documents

Publication Publication Date Title
JP2735034B2 (ja) クロック信号分配回路
US5751596A (en) Automated system and method for identifying critical timing paths in integrated circuit layouts for use with automated circuit layout system
US8887110B1 (en) Methods for designing intergrated circuits with automatically synthesized clock distribution networks
US7017132B2 (en) Methodology to optimize hierarchical clock skew by clock delay compensation
US5553000A (en) Eliminating retiming bottlenecks to improve performance of synchronous sequential VLSI circuits
US6336205B1 (en) Method for designing semiconductor integrated circuit
US20090132984A1 (en) Optimal Flow In Designing A Circuit Operable In Multiple Timing Modes
JP2004172373A (ja) クロストーク修正方法
US6622290B1 (en) Timing verification method employing dynamic abstraction in core/shell partitioning
CN113792520A (zh) 布局布线方法、装置、同步电路以及集成电路芯片
JP2001357090A (ja) 論理合成方法及び論理合成装置
US20100253409A1 (en) Clock generation system and clock dividing module
JP3182036B2 (ja) 論理合成方法及び論理合成装置
US5963730A (en) Method for automating top-down design processing for the design of LSI functions and LSI mask layouts
Vishnu et al. Clock tree synthesis techniques for optimal power and timing convergence in soc partitions
US6199183B1 (en) Method of forming a scan path network
Xiao et al. Gate sizing to eliminate crosstalk induced timing violation
US8595668B1 (en) Circuits and methods for efficient clock and data delay configuration for faster timing closure
US7302657B2 (en) Optimization of the design of a synchronous digital circuit
JPH1021289A (ja) Lsi設計方法
Nookala et al. A method for correcting the functionality of a wire-pipelined circuit
JP3869406B2 (ja) クロック位相差検出回路、クロック分配回路、及び大規模集積回路
JP2005136286A (ja) 半導体集積回路の設計方法、及びその装置
Zhou et al. 64-bit prefix adders: Power-efficient topologies and design solutions
JP3004589B2 (ja) パストランジスタ論理設計方法

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20030408