JP3182036B2 - 論理合成方法及び論理合成装置 - Google Patents

論理合成方法及び論理合成装置

Info

Publication number
JP3182036B2
JP3182036B2 JP01939094A JP1939094A JP3182036B2 JP 3182036 B2 JP3182036 B2 JP 3182036B2 JP 01939094 A JP01939094 A JP 01939094A JP 1939094 A JP1939094 A JP 1939094A JP 3182036 B2 JP3182036 B2 JP 3182036B2
Authority
JP
Japan
Prior art keywords
logic
circuit
power consumption
logic circuit
signal propagation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP01939094A
Other languages
English (en)
Other versions
JPH07230485A (ja
Inventor
昌彦 豊永
道明 村岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP01939094A priority Critical patent/JP3182036B2/ja
Publication of JPH07230485A publication Critical patent/JPH07230485A/ja
Priority to US08/667,284 priority patent/US5673200A/en
Application granted granted Critical
Publication of JP3182036B2 publication Critical patent/JP3182036B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/32Circuit design at the digital level
    • G06F30/327Logic synthesis; Behaviour synthesis, e.g. mapping logic, HDL to netlist, high-level language to RTL or netlist

Landscapes

  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Theoretical Computer Science (AREA)
  • Evolutionary Computation (AREA)
  • Geometry (AREA)
  • General Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Design And Manufacture Of Integrated Circuits (AREA)

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、短期間に半導体装置及
びプリント基板のゲートレベル論理回路の設計を行うC
AD手法及びCAD装置に関するものであり、特に、低
消費電力化に有用となる論理回路の合成方法及び論理合
成装置の改良に関する。
【0002】
【従来の技術】近年、集積回路やプリント基板を利用し
た製品の小型化、個人利用化が進むに従って、固定電源
や大容量の電池等を利用することが難しくなり、より一
層消費電力の少ない回路設計が重要になってきた。この
ようなLSIチップ又はプリント基板上におけるシステ
ムの低消費電力化設計方法として、従来、次の3つの方
法が例えば参考文献:Vivek Tiwari等著の「Technology
Mapping for Low Power」30th Design Automation Con
ference,pp74-49(1993) 等に記載されている。 (1)システムの動作に着目し、スケジューリングによ
り素子の動作回数を最小化する方法。 (2)動作時以外の時は供給する電流をチップ上の機能
ブロック別にカットする回路を導入する方法。 (3)素子の消費電力値を求め、これを仮想的な素子面
積として実際の素子面積値と合計し、この合計素子面積
値を、論理合成の面積最適化処理を使って面積最小化す
るよう論理合成する方法。
【0003】
【発明が解決しようとする課題】しかしながら、前記
(1)の方法は、同時に論理回路の面積を最小化する方
法でもあるため、従来の面積最小化を図った論理回路以
上には消費電力の改善効果は得られない。
【0004】また、前記(2)の方法では、例えば、M
PU等でのプログラムや動作条件に対する仮定,例えば
動作を止めてもシステムの実行に影響がない場合の事例
等に基づいて、動作時以外の時に前記システムの実行に
影響のない機能ブロック等の回路に対して電源の供給を
停止又はクロック信号の供給を停止して、消費電力を少
なくするものであり、従って、動作時以外の状態がある
回路に対しては有効な手法であるが、常時動作する回路
では、消費電力を低減できない。
【0005】更に、前記(3)の方法では、消費電力を
仮想的な面積とし、これと実際面積との合計面積に対し
て従来の面積最適化の論理合成手法を適用するものであ
るため、全体として合計面積が縮小された場合であって
も、実際面積としては幾分拡大する一方で、その拡大分
以上に仮想的な面積(即ち、消費電力)が縮小された結
果であることもあって、面積や速度性能の最適化が常に
図れるとは限らない欠点があった。
【0006】即ち、前記従来の何れの方法であっても、
論理回路,特に動作頻度が高い論理システムにおいて、
回路の面積や速度仕様が最適に維持されたまま、その論
理回路の低消費電力化を実現する効率の良い論理合成方
法はなかった。
【0007】本発明は斯かる点に鑑みてなされたもので
あり、その目的は、特に動作頻度が高い論理回路におい
て、その回路の動作が速く、しかも面積の増加を最小限
に抑えつつ、低消費電力を図ることが可能な論理回路を
合成する方法及びその論理合成装置を提供することにあ
る。
【0008】
【課題を解決するための手段】前記の目的を達成するた
め、本発明では、論理回路のうち、低消費電力化が効率
良く行い得る部分,即ち消費電力の多い回路部分のみ
を、その論理段数を適切にした部分論理回路に変更する
構成を採用することにより、その変更した部分論理回路
の面積がたとえ変更前の部分回路に比し多少拡大したと
しても、論理回路全体として見れば面積増大を少く制限
すると共に、その論理段数の適切化により速度性能の向
上を図りつつ、低消費電力化を有効に行う構成とする。
【0009】すなわち、請求項1記載の発明の論理合成
方法の構成は、LSIの機能記述から、電子計算機を用
いたゲートレベルの論理回路を合成するに際して、前記
LSIの機能記述と、回路検証情報と、素子情報とを入
力する入力処理を行った後、面積仕様及び速度仕様を考
慮した論理回路を合成する論理合成処理を行い、その
後、前記合成処理により合成された論理回路の組合せ論
理部の各信号伝搬経路のうち、その信号伝搬経路上の論
理素子の消費電力の合計値の高い信号伝搬経路を検索す
る高消費電力経路検索処理を行い、続いて、前記高消費
電力経路検索処理により検索された合計消費電力の高い
信号伝搬経路を構成する部分論理回路の論理段数を小さ
くした,前記部分論理回路とは異なる他の部分論理回路
を生成する論理段数減小化処理を行い、その後、前記論
理段数減小化処理により生成された部分論理回路によ
り、前記合計消費電力の高い信号伝搬経路を構成する部
分論理回路を置き換える回路置き換え処理を行う構成で
ある。
【0010】また、請求項2記載の発明の論理合成装置
の構成は、前記請求項1記載の発明の論理合成方法を実
施する構成である。
【0011】更に、請求項3記載の発明の論理合成方法
は、前記請求項1記載の発明の高消費電力経路検索
理に先立って、予め、論理合成処理により合成された論
理回路を構成する各論理素子の消費電力と、前記論理回
路全体の消費電力とを求める回路解析処理を行う構成と
する。
【0012】請求項4記載の発明の論理合成装置では、
前記請求項3記載の論理合成方法を実施する構成の論理
合成装置としている。
【0013】また、請求項記載の発明の論理合成方法
では、前記請求項3記載の発明の回路解析処理を限定し
て、回路解析処理を、論理回路及び回路検証データによ
り論理シミュレーションを行う第1の処理と、前記第1
の処理の論理シミュレーションにより任意のi番目の素
子の出力動作回数M(i)を記憶する第2の処理と、前
記第2の処理により得られた任意のi番目の素子の出力
動作回数M(i)及び前記素子情報から算出したその動
作1回当りの消費電力値w(i)に基いて、任意のi番
目の素子の消費電力W(i)を式W(i)=M(i)・
w(i)により求める第3の処理と、前記第3の処理に
より得られた各素子の消費電力を前記論理回路の全素子
数Nについて総和した総消費電力Dを式 により求める第4の処理とを行う構成としている。
【0014】加えて、請求項記載の発明の論理合成装
置では、前記請求項5記載の論理合成方法を実施する構
成の論理合成装置としている。
【0015】また、請求項記載の論理合成方法では、
前記請求項5記載の論理合成方法の高消費電力経路検索
処理を限定し、この経路検索処理を、組合せ回路の任意
のi番目の組合せ回路の入力から出力までを有向グラフ
G(i)で表す第5の処理と、前記第5の処理により得
られた有向グラフG(i)の各ノードの出力枝に対し
て、その出力ノードである論理素子における,回路解析
処理の第3の処理により得られた消費電力値W(i)を
コストとして与える第6の処理と、前記第6の処理によ
りコスト付けされた有向グラフG(i)から消費電力値
の高い経路を見つける第7の処理とを行う構成としてい
る。
【0016】更に、請求項記載の発明の論理合成装置
では、前記請求項7記載の論理合成方法を実施する構成
としている。
【0017】加えて、請求項9及び請求項10記載の発
明では、前記請求項1、請求項2、請求項6又は請求項
7記載の論理合成方法及び論理合成装置において、高消
費電力経路検索処理又は高消費電力経路手段で検索され
る消費電力の合計値の高い信号伝搬経路は、消費電力の
合計値が最大値の信号伝搬経路である構成とする。
【0018】また、請求項11記載の発明では、前記請
求項1又は請求項7記載の論理合成方法において、高消
費電力経路検索処理では、論理素子の消費電力の合計値
の高い信号伝搬経路を複数集めた信号伝搬経路群を検索
する構成とする。
【0019】請求項12記載の発明の論理合成装置で
は、前記請求項11記載の論理合成方法を実施する構成
の論理合成装置としている。
【0020】更に、請求項13記載の発明では、前記請
項1記載の論理合成方法において、回路置き換え処理
、部分論理回路の置き換えに先立って、論理合成処理
より合成された論理回路の総消費電力に対し、論理段
数減小化処理により論理段数が小さく生成された部分論
理回路で前記論理回路の部分論理回路が置換された場合
の論理回路の総消費電力が小さいことを確認する構成と
する。
【0021】請求項14記載の発明の論理合成装置で
は、前記請求項13記載の論理合成方法を実施する構成
の論理合成装置としている。
【0022】加えて、請求項15記載の発明では、前記
請求項1記載の論理合成方法において、回路置き換え
理による部分論理回路の置き換えが実施された後、再
び、順次、高消費電力経路検索処理と、論理段数減小化
処理と、回路置き換え処理とを繰り返す構成とする。
【0023】請求項16記載の発明の論理合成装置で
は、前記請求項15記載の論理合成方法を実施する構成
の論理合成装置としている。
【0024】
【作用】以上の構成により、請求項1、請求項2及び請
求項9〜請求項16記載の発明では、論理合成手法によ
り性能及び面積が適切化された論理回路において、その
うち合計消費電力の高い信号伝搬経路を構成する部分論
理回路が、論理段数の小さい他の部分論理回路に置換さ
れるので、その論理段数適切化によって、その部分論理
回路での速度が高くなると共に低消費電力化が図られ
る。
【0025】ここに、前記合計消費電力の高い信号伝搬
経路を構成する部分論理回路では、電力の消費原因であ
る信号値の変化が順次伝搬するので、この高消費電力経
路における消費電力の低減は、論理回路の信号伝搬経路
全体での効果的な低消費電力化を可能にする。
【0026】その際、置換した他の部分論理回路が元の
部分論理回路に対して面積が多少拡大した回路であって
も、その部分論理回路が論理回路の一部分であるので、
論理回路全体として見れば面積の拡大は極めて小さく抑
えられ、部分論理回路の変更の前と後とで論理回路の面
積はほぼ同一に保持される。
【0027】しかも、高消費電力の部分論理回路という
限定された範囲に対してのみ段数を適切化するので、低
消費電力化に対する処理時間が速い。また、消費電力低
減を正確に検証できる長所を持つ。
【0028】更に、請求項3〜請求項6記載の発明で
は、論理回路の全体及び各素子の消費電力を正確に把握
できる。
【0029】加えて、請求項7及び請求項8記載の発明
では、消費電力がコスト付けされた有向グラフGから高
消費電力値の高い経路を見つけるので、消費電力低減化
に有効な経路を効率良く見つけることができ、低消費電
力化に対する処理速度が速くなる。
【0030】
【実施例】本発明の低消費電力論理回路の合成方法及び
合成装置の一実施例を説明する。
【0031】図1(a),(b),(c)は、本発明の
論理合成方法の処理フローの概略を説明するものであ
る。また、図2は、本発明の論理合成装置の概略を説明
するものである。
【0032】先ず、本実施例で用いるデータについて説
明する。機能記述の例を[数1]に示す。ここで、a〜
kは入力信号値を表し、Yは出力信号値を表す。また、
演算記号及び各信号値は全てブール代数に従うものとす
る。
【0033】
【数1】
【0034】一般的な論理回路は、前記[数1]以外に
も記憶素子を持つが、ここでは省略する。また、この回
路動作検証情報は、各信号の値を時系列で表現したテス
トベクトルと呼ばれる表1に示すものである。各信号は
1、0または不定が指定されるが、本実施例では1と0
のみとする。回路入力信号値に対する出力信号値を期待
値と呼び、回路が正常に合成されて動作する場合には、
期待値と論理回路からの出力値が一致する。時間1単位
は0.1秒とする。
【0035】
【表1】
【0036】更に、素子情報は、面積、遅延値及び消費
電力値であるが、簡単のために素子の面積を100μm
2 、出力遅延値を1psとする。また、出力信号の0か
ら1又は1から0への変化時の消費電力値を1mWとす
る。
【0037】図2において、10は入出力装置、11は
中央処理装置、12は回路合成装置、13は回路解析装
置、14は経路検索装置、15は回路分割装置、18は
記憶装置である。
【0038】図1において、入力処理1では、前記図2
の入出力装置10と中央処理装置11と記憶装置18と
から成る入力手段により行われる。ここでは、前述の機
能記述、回路検証情報、消費電力、及び面積の素子情報
を取り込む。
【0039】次に、論理合成処理2では、中央処理装置
11及び論理合成装置12から成る合成手段により、次
のような面積及び速度が考慮されたゲートレベルの論理
回路C1が生成される。この場合、論理回路C1は、面
積を考慮した後に速度を考慮して最終的に生成、又は逆
に速度を考慮した後に面積を考慮して最終的に生成され
たものである。
【0040】前記論理回路C1の内容を[数2]と図3
に示す。
【0041】
【数2】
【0042】ここで、[数2]のFUNCは、論理回路
C1の固有名を宣言するものである。また、INはC1
への入力信号、OUTは出力信号、OR、ANDは各々
LSIのプリント基板の和論理、積論理を実現するTT
L又はLSIの標準セルやトランジスタに相当する物理
的論理素子である。また、I01〜I06は、論理回路
C1で使用される物理的論理素子の配置名である。論理
素子の入力信号名を右辺に、出力信号名を左辺に記述す
る。信号A、B、C、D、Eは、回路C1の内部配線信
号であり、その数は論理構成により異なる。
【0043】次に、回路解析処理3では、中央処理装置
11と記憶装置18及び回路解析装置13による回路解
析手段を用いて、以下のようにして実施される。図1
(b)に示すように、第1の処理31では、論理回路C
1と表2の回路検証情報とにより論理シミュレーション
を行使する。この論理シミュレーション(第1の処理)
31では、同時に各素子の出力の変化回数、即ち表2の
ように各出力信号a〜Yの動作回数が算出される。そし
て、第2の処理32では、各素子のトグル値を記憶す
る。以下、各入力信号a〜h、及び回路内部の配線信号
A〜E、並びに出力信号Yの0から1、1から0への動
作回数をトグル値と呼ぶ。各素子の出力信号の動作回数
をM(i)とする。
【0044】
【表2】
【0045】尚、前記表2では、参考に、入力信号g〜
kについてもトグル値を算出しているが、これ等は論理
回路に対する外部からの入力信号であるので、これ等は
論理回路の消費電力の算出には関与しない。
【0046】さらに、各素子の出力時の消費電力と出力
信号の積から求める第3の処理33を行なう。具体的に
は、任意のi番目の素子の消費電力W(i)を、[数
3]に示すように、素子iの消費電力データw(i)と
トグル値M(i)の積として求める。表3にその具体的
な数値を示す。尚、ここで、w(i)は、出力信号の0
→1への変化に対する消費電力と、その逆の1→0への
変化に対する消費電力との平均値を示している。
【0047】
【数3】
【0048】
【表3】
【0049】最後に、第4の処理34では、各素子の消
費電力W(i)を全素子数Nについて総和を次の[数
4]を用いて計算し、全消費電力D1の算出を行う。
【0050】
【数4】
【0051】以上、回路解析処理3は、本発明の装置で
は、中央処理装置11と記憶装置18と回路解析装置1
3により実施され、これ等により、前記第1〜第4の処
理31〜34を行う第1〜第4の手段を構成する。
【0052】次いで、図1において、高消費電力経路検
索処理4では、図1(c)に示す方法で実施する。高消
費電力経路検索4は、図1(c)の第5の処理41にお
いて、論理回路C1を構成する各論理素子及び入出力端
子を点(ノード)とし、配線を枝として表した有向グラ
フGを作成し、その後、第6の処理42では、前記有向
グラフGにおいて、論理回路C1の各配線のトグル値を
有向グラフGの各枝のコストとして、図4(a)に示す
ようなコスト付けされた有向グラフGを作成する。そし
て、第7の処理43において、前記コスト付けされた有
向グラフGから、その最大コスト経路を、グラフ理論の
例えばLeeアルゴリズム等の解法により求める。
【0053】前記Leeアルゴリズムにより最大コスト
経路を求める手順の概略を説明すると次の通りである。
【0054】図5(a)に示すように枝にコストの付い
たグラフG0において最大コスト経路を求める場合につ
いて説明する。但し、説明を簡単にするため、グラフの
有向枝の接続関係に同じノードに戻るループがないと仮
定する。今、i番目のノードを始点、j番目のノードを
終点とする有向枝のコストをC(i,j) と表し、j番目の
ノードまでの経路コストをNC(j) とし、そのコストN
C(j) に前記有向枝のコストC(i,j) が含まれるときに
は、枝の始点iをNt(j) =iとして保持するものとす
る。
【0055】先ず、データNC(i) 、Nt(i) (i=
1,2…6)を作成する。この作成は、ステップ(1) で
ノードiに入る有向枝について、 COST=NC(k) +C(k,i) が最大の値になる有向枝を検索し、その始点koを判定
する。次いで、ステップ(2) でNt(i) =ko、Nc
(i) =Nc(k0)+C(KO,i)とする。その後、ステップ
(3) で前記ステップ(1),(2) を各ノードのNt、NC値
が変化しなくなるまで全ノードについて繰り返す。以上
の処理により図5(b)が得られる.次いで、最大コス
ト経路を求める。これは、先ずステップ(1) で最大のコ
ストを有するノードmを検索し、リストLに登録する。
その後、ステップ(2) でn=Nt(m) として、nをリス
トLに登録する(図4(a)を参照)。そして、ステッ
プ(3) でNt(n) が定義されていなければ、次のステッ
プ(4) に進む一方、ノード番号データがあれば、n=N
t(n) として前記ステップS(2) に戻る。ステップ(4)
では、リストLのノードを登録順と逆順に並べ、相互の
枝を含めたものを最大コスト経路とする。以上の処理に
より図5(c)が得られ、最大コスト経路が求まる。
【0056】前記のデータNC(i) 、Nt(i) (i=1,
2 …6)の作成において、入力端子相当のノードより着目
し、そのノードに隣接するノードから順に処理してゆく
方法(Leeアルゴリズム)により、効率良く設定する
ことが可能となる。尚、グラフ中にループがある場合に
ついては、ループ途上で同一ノードが見つかれば、適当
なところで枝を切って処理する方法と併用して、近似的
に最大コスト経路を求める。前記図4(b)において、
有向グラフGの最大コスト経路を論理回路C1上に示
す。
【0057】以上のようにして、最大消費電力経路a−
A−D−Yが求まる。これらを指定数の最大消費電力経
路群として求める場合には、先述の最大経路解法を利用
して最長経路以外のノードから最長経路上のノードまで
の経路と、該ノードから最長経路上をたどった消費電力
経路の最大を求める。
【0058】前記高消費電力経路検索処理4は、中央処
理装置11と記憶装置18と経路検索装置14から成る
高消費電力経路検索手段により実施され、前記第5〜第
7の処理を行う第5〜第7の手段を構成する。
【0059】以下、説明を簡単にするために消費電力最
大経路群が1つとして、a−A−D−Yの経路のみを説
明する。
【0060】ここに、最大消費電力経路群を求める意義
は、電力の消費原因である信号値の変化が信号伝搬経路
を順次伝搬することを考慮して、この最大消費電力経路
群において消費電力を低減すれば、論理回路の信号伝搬
経路全体での効果的な低消費電力化が可能になる点にあ
る。
【0061】次に、図1において、回路分割処理5を実
施して、該最大消費電力経路群の消費電力最適化のため
の準備をする。最大消費電力経路a−A−D−Yに位置
する素子I01,I04,I06を部分回路S1とし、
この部分回路S1を1素子と看做した部分回路S1と、
部分回路S1以外の素子との論理回路を論理回路S2と
する。この回路分割は素子を分離することにより、図6
(a),(b)に示すように容易に実現する。部分回路
S1は配線a、b、B、C、E、Yが外部端子となり、
内部配線は、A,Dである。[数5]の論理回路S2は
階層表現されており、部分回路S1を含んでいる。
【0062】
【数5】
【0063】以上の処理は、中央処理装置11と記憶装
置18と回路分割装置15により実施される。
【0064】次に、論理段数減小化処理6を部分回路S
1に対して実施し、低消費電力化された論理回路C2を
合成する。具体的には、従来周知の論理回路合成におけ
る段数最小化を使って行う。同処理の結果、図7(a)
のS1から、[数6]及び図7(b)に示すように、論
理回路S1よりも論理段数の小さい部分回路S3を得
る。
【0065】
【数6】
【0066】前記論理段数最適化を行う意義は、電力を
消費する動作の多い信号伝搬の段数を削減することによ
り、動作影響を受ける論理素子数を減らす効果があるか
らである。前記部分回路S3と論理回路S2とから、
[数7]及び図8に示すように前記論理段数最適化を施
した部分回路S3を含む論理回路C2が求められる。
【0067】
【数7】
【0068】前記論理段数減小化処理6は、中央処理装
置11と論理合成装置12と記憶装置18とから成る論
理段数減小化手段により実施される。
【0069】次に、図1において、回路解析処理7で
は、前記生成された論理回路C2について各素子の消費
電力W2と総消費電力D2とを計算する。前記回路解析
処理3と同様に表4及び[数8]を得る。
【0070】前記回路解析処理7は、中央処理装置11
と回路解析装置13と記憶装置18とにより行なわれ
る。
【0071】
【表4】
【0072】
【数8】
【0073】そして、その後、改善判定処理8では、当
初の論理回路C1と段数最適化後の論理回路C2との総
消費電力Dを対比して改善判定を行う。本実施例では、
以上より、当初の論理回路C1の総消費電力D1が30
mWであるのに対し、段数最適化後の論理回路C2の総
消費電力D2が20mWとなり、10mWの利得が得ら
れたことが判る。
【0074】その後、改善判定が得られた場合には、部
分回路置き換え処理9において、部分論理回路S1を、
段数最適化した部分回路S3に置換することにより、論
理回路C1を段数最適化された論理回路C2に置き換え
て、再度、消費電力経路検索処理4に戻る。この部分回
路置き換え処理9は、中央処理装置11より成る部分回
路置き換え手段により実施される。
【0075】本実施例では、再度繰り返しても同じ論理
回路C2が得られたとして、回路出力処理10におい
て、図8に示す置き換えられた論理回路C2が最終結果
として出力される。本実施例では、入出力装置10によ
り論理回路C2が出力される。
【0076】本実施例では、従来の論理合成による回路
で素子面積600μm2 、遅延値3ps、消費電力30
mWの論理回路C1が、素子面積600μm2 、遅延値
3ps、消費電力20mWの論理回路C2に改善された
ことが判る。
【0077】また、高消費電力の部分回路S1を見つけ
て限定された範囲での段数最適化処理を行うので、全論
理回路について消費電力を最適化する場合に比し、処理
時間が短い。更に、消費電力の多い部分回路のみに対し
て低消費電力の改善を行うので、有効な回路改善が可能
となる。
【0078】尚、本実施例では、最大消費電力経路群が
1本の最大消費電力経路としたが、複数本の高消費電力
経路群が指定された場合も、本発明は同様に適用される
ことはいうまでもない。また、論理回路の各素子の消費
電力を1mW、素子面積を100μm2 、遅延値を1p
sとしたが、本値は素子各々で異なり、また、トグル値
を0から1、1から0の各変化で独立に扱う場合も同様
に計算可能であり、本発明を同様に適用できるのは勿論
である。
【0079】
【発明の効果】以上説明したように、請求項1、請求項
2及び請求項9〜請求項16記載の発明によれば、高消
費電力の信号伝搬経路を検索し、この伝搬経路を構成す
る部分論理回路に対してのみ論理段数を小さくした他の
部分論理回路で置換したので、従来の論理合成と遅延速
度を変えることなく、また面積コストの増加も最小限に
抑えつつ、低消費電力の論理回路を生成できる効果を奏
すると共に、消費電力の改善効率の高い範囲に限定して
論理段数を小さくするので、全論理回路の低消費電力化
に比べて短時間で効果的に処理が可能である。
【0080】また、請求項3〜請求項6記載の発明で
は、前記請求項1等の効果に加えて、論理回路の全体及
び各素子の消費電力を正確に把握できる効果を奏する。
【0081】更に、請求項7及び請求項8記載の発明で
は、前記請求項1等の効果に加えて、消費電力がコスト
付けされた有向グラフGから最大コスト経路探索法を用
いて高消費電力値の高い経路を見つけるので、消費電力
低減化に有効な信号伝搬経路を効率良く見つけることが
でき、低消費電力化に対する処理速度を速くできる効果
を奏する。
【図面の簡単な説明】
【図1】本発明の論理回路合成方法を示す流れ図であ
る。
【図2】本発明の論理回路合成装置を示す図である。
【図3】論理合成により生成された論理回路を示す図で
ある。
【図4】消費電力最大経路とコスト付の有向グラフGの
説明図である。
【図5】有向グラフから最大コスト経路を求めるときの
具体的説明図である。
【図6】回路分割の様子を平面的及び階層的に示す説明
図である。
【図7】部分回路を示す図である。
【図8】最終出力される論理回路を示す図である。
【符号の説明】
1 入力処理 2 合成処理 3 回路解析処理 4 高消費電力経路検索処理 6 論理段数減小化処理 9 回路置き換え処理 C1 当初の論理回路 C2 部分回路置換後の低消費電力の論理回
路 S1 高消費電力部分回路 S2 S1の上位回路 S3 論理段数最適化した部分回路 I01〜I10 論理素子配置固有名 a〜h 入力信号名 A〜G,Y 信号名 10 入出力装置 11 中央処理装置 12 回路合成装置 13 回路解析装置 14 経路検索装置 15 回路分割装置
───────────────────────────────────────────────────── フロントページの続き (58)調査した分野(Int.Cl.7,DB名) G06F 17/50 H01L 21/82

Claims (16)

    (57)【特許請求の範囲】
  1. 【請求項1】 LSIの機能記述から、電子計算機を用
    いてゲートレベルの論理回路を合成するに際して、 前記LSIの機能記述と、回路検証情報と、素子情報と
    を入力する入力処理を行った後、 面積仕様及び速度仕様を考慮した論理回路を合成する論
    理合成処理を行い、 その後、前記合成処理により合成された論理回路の各信
    号伝搬経路のうち、その信号伝搬経路上の論理素子の消
    費電力の合計値の高い信号伝搬経路を検索する高消費電
    力経路検索処理を行い、 続いて、前記高消費電力経路検索処理により検索された
    合計消費電力の高い信号伝搬経路を構成する部分論理回
    路の論理段数を小さくし、前記部分論理回路とは異なる
    他の部分論理回路を生成する論理段数減小化処理を行
    い、 その後、前記論理段数減小化処理により生成された部分
    論理回路により、前記合計消費電力の高い信号伝搬経路
    を構成する部分論理回路を置き換える回路置き換え処理
    を行うことを特徴とする論理合成方法。
  2. 【請求項2】 LSIの機能記述から、電子計算機を用
    いてゲートレベルの論理回路を合成する論理合成装置で
    あって、 前記LSIの機能記述と、回路検証情報と、素子情報と
    を入力する入力手段と、 面積仕様及び速度仕様を考慮
    した論理回路を合成する論理合成手段と、 前記合成手段により合成された論理回路の各信号伝搬経
    路のうち、その信号伝搬経路上の論理素子の消費電力の
    合計値の高い信号伝搬経路を検索する高消費電力経路検
    索手段と、 前記高消費電力経路検索手段により検索された合計消費
    電力の高い信号伝搬経路を構成する部分論理回路の論理
    段数を小さくし、前記部分論理回路とは異なる他の部分
    論理回路を生成する論理段数減小化手段と、 前記論理段数減小化手段により生成された部分論理回路
    により、前記合計消費電力の高い信号伝搬経路を構成す
    る部分論理回路を置き換える回路置き換え手段とを備え
    たことを特徴とする論理合成装置。
  3. 【請求項3】 高消費電力経路検索処理に先立って、予
    め、論理合成処理により合成された論理回路を構成する
    各論理素子の消費電力と、前記論理回路全体の消費電力
    とを求める回路解析処理を行うことを特徴とする請求
    1記載の論理合成方法
  4. 【請求項4】 高消費電力経路検索手段に先立って、予
    め、論理合成手段により合成された論理回路を構成する
    各論理素子の消費電力と、前記論理回路全体の消費電力
    とを求める回路解析手段を備えることを特徴とする請求
    項2記載の論理合成装置。
  5. 【請求項5】 回路解析処理は、 論理回路及び回路検証情報により論理シミュレーション
    を行う第1の処理と、 前記第1の処理の論理シミュレーションにより任意のi
    番目の素子の出力動作回数M(i)を記憶する第2の処
    理と、 前記第2の処理により得られた任意のi番目(i=1〜
    N)の素子の出力動作回数M(i)及び前記素子情報か
    ら算出したその動作1回当りの消費電力値w(i)に基
    いて、任意のi番目の素子の消費電力値W(i)を式 W(i)=M(i)・w(i)により求める第3の処理
    と、 前記第3の処理により得られた各素子の消費電力を前記
    論理回路の全素子数Nについて総和した総消費電力値D
    を式 により求める第4の処理とから成ることを特徴とする請
    求項3記載の論理合成方法。
  6. 【請求項6】 回路解析手段は、 論理回路及び回路検証情報により論理シミュレーション
    を行う第1の手段と、 前記第1の手段の論理シミュレーションにより任意のi
    番目の素子の出力動作回数M(i)を記憶する第2の手
    段と、 前記第2の手段により得られた任意のi番目(i=1〜
    N)の素子の出力動作回数M(i)及び前記素子情報か
    ら算出したその動作1回当りの消費電力値w(i)に基
    いて、任意のi番目の素子の消費電力値W(i)を式 W(i)=M(i)・w(i)により求める第3の手段
    と、 前記第3の手段により得られた各素子の消費電力を前記
    論理回路の全素子数Nについて総和した総消費電力値D
    を式 により求める第4の手段とを備えることを特徴とする請
    項4記載の論理合成装置。
  7. 【請求項7】 高消費電力経路検索処理は、 論理回路の信号伝搬経路の任意のi番目(i=1〜N)
    の信号伝搬経路の入力から出力までを有向グラフG
    (i)で表す第5の処理と、 前記第5の処理により得られた有向グラフG(i)の各
    ノードの出力枝に対して、その出力ノードである論理素
    子における,回路解析処理の第3の処理により得られた
    消費電力値W(i)をコストとして与える第6の処理
    と、 前記第6の処理によりコスト付けされた有向グラフG
    (i)から消費電力値の高い経路を見つける第7の処理
    とを行うことを特徴とする請求項5記載の論理合成方
    法。
  8. 【請求項8】 高消費電力経路検索手段は、 論理回路の信号伝搬経路の任意のi番目(i=1〜N)
    の信号伝搬経路の入力から出力までを有向グラフG
    (i)で表す第5の手段と、 前記第5の手段により得られた有向グラフG(i)の各
    ノードの出力枝に対して、その出力ノードである論理素
    子における,回路解析手段の第3の手段により得られた
    消費電力値W(i)をコストとして与える第6の手段
    と、 前記第6の手段によりコスト付けされた有向グラフG
    (i)から消費電力値の高い経路を見つける第7の手段
    とを備えたことを特徴とする請求項6記載の論理合成装
    置。
  9. 【請求項9】 高消費電力経路検索処理において、検索
    される消費電力の合計値の高い信号伝搬経路は、消費電
    力の合計値が最大値の信号伝搬経路であることを特徴と
    する請求項1又は請求項7記載の論理合成方法
  10. 【請求項10】 高消費電力経路検索手段において、検
    索される消費電力の合計値の高い信号伝搬経路は、消費
    電力の合計値が最大値の信号伝搬経路であることを特徴
    とする請求項2又は請求項8記載の論理合成装置。
  11. 【請求項11】 高消費電力経路検索処理では、論理素
    子の消費電力の合計値の高い信号伝搬経路を複数集めた
    信号伝搬経路群を検索することを特徴とする請求項1
    は請求項7記載の論理合成方法
  12. 【請求項12】 高消費電力経路検索手段では、論理素
    子の消費電力の合計値の高い信号伝搬経路を複数集めた
    信号伝搬経路群を検索することを特徴とする請求項2又
    は請求項8記載の論理合成装置。
  13. 【請求項13】 回路置き換え処理は、部分論理回路の
    置き換えに先立って、論理合成処理により合成された論
    理回路の総消費電力に対し、論理段数減小化処理により
    論理段数が小さく生成された部分論理回路で前記論理回
    路の部分論理回路が置換された場合の論理回路の総消費
    電力が小さいことを確認することを特徴とする請求項1
    載の論理合成方法
  14. 【請求項14】 回路置き換え手段は、部分論理回路の
    置き換えに先立って、論理合成手段により合成された論
    理回路の総消費電力に対し、論理段数減小化手段により
    論理段数が小さく生成された部分論理回路で前記論理回
    路の部分論理回路が置換された場合の論理回路の総消費
    電力が小さいことを確認することを特徴とする請求項2
    記載の論理合成装置。
  15. 【請求項15】 回路置き換え処理による部分論理回路
    の置き換えが実施された後、再び、順次、高消費電力
    路検索処理と、論理段数減小化処理と、回路置き換え処
    理とを繰り返すことを特徴とする請求項1記載の論理合
    方法
  16. 【請求項16】 回路置き換え手段による部分論理回路
    の置き換えが実施された後、再び、順次、高消費電力経
    路検索手段と、論理段数減小化手段と、回路置き換え手
    段とを繰り返し動作させることを特徴とする請求項2記
    載の論理合成装置。
JP01939094A 1994-02-16 1994-02-16 論理合成方法及び論理合成装置 Expired - Fee Related JP3182036B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP01939094A JP3182036B2 (ja) 1994-02-16 1994-02-16 論理合成方法及び論理合成装置
US08/667,284 US5673200A (en) 1994-02-16 1996-06-20 Logic synthesis method and logic synthesis apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP01939094A JP3182036B2 (ja) 1994-02-16 1994-02-16 論理合成方法及び論理合成装置

Publications (2)

Publication Number Publication Date
JPH07230485A JPH07230485A (ja) 1995-08-29
JP3182036B2 true JP3182036B2 (ja) 2001-07-03

Family

ID=11997964

Family Applications (1)

Application Number Title Priority Date Filing Date
JP01939094A Expired - Fee Related JP3182036B2 (ja) 1994-02-16 1994-02-16 論理合成方法及び論理合成装置

Country Status (2)

Country Link
US (1) US5673200A (ja)
JP (1) JP3182036B2 (ja)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3671504B2 (ja) * 1996-03-05 2005-07-13 ヤマハ株式会社 半導体集積回路のレイアウト設計方法
US6704878B1 (en) 1996-09-06 2004-03-09 Synopsys, Inc. Apparatus and method for improved precomputation to minimize power dissipation of integrated circuits
US5903476A (en) * 1996-10-29 1999-05-11 Synopsys, Inc. Three-dimensional power modeling table having dual output capacitance indices
US5949689A (en) * 1996-10-29 1999-09-07 Synopsys, Inc. Path dependent power modeling
US5831864A (en) * 1996-10-31 1998-11-03 Trustees Of Princeton University Design tools for high-level synthesis of a low-power data path
US6430726B1 (en) * 1997-12-19 2002-08-06 Nec Corporation Logic circuit synthesizing method and logic synthesizing system
JP3070571B2 (ja) * 1998-02-27 2000-07-31 日本電気株式会社 Lsi消費電力見積システム
US6157903A (en) * 1998-03-12 2000-12-05 Synopsys, Inc. Method of minimizing macrocell characterization time for state dependent power analysis
US6247134B1 (en) 1999-03-31 2001-06-12 Synopsys, Inc. Method and system for pipe stage gating within an operating pipelined circuit for power savings
US7414626B1 (en) * 2000-05-30 2008-08-19 Autodesk, Inc. System for passing algorithms with polymorphic parameter sets in a dependency graph of a graphic creation process
US7254802B2 (en) * 2004-05-27 2007-08-07 Verisilicon Holdings, Co. Ltd. Standard cell library having cell drive strengths selected according to delay
US20050278659A1 (en) * 2004-05-27 2005-12-15 Xiaonan Zhang Cell library providing transistor size information for automatic circuit design
US7114134B2 (en) * 2004-05-27 2006-09-26 Veri Silicon Holdings, Co. Ltd Automatic circuit design method with a cell library providing transistor size information
CN103443738B (zh) * 2011-03-28 2016-09-14 飞思卡尔半导体公司 用于对路径排名以功率优化集成电路设计的方法和相应计算机程序产品
CN111241767B (zh) * 2018-11-28 2024-04-09 中国科学院微电子研究所 一种亚阈值电路信号平衡路径的延时优化方法及装置

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4612618A (en) * 1983-06-10 1986-09-16 Rca Corporation Hierarchical, computerized design of integrated circuits
US4694403A (en) * 1983-08-25 1987-09-15 Nec Corporation Equalized capacitance wiring method for LSI circuits
US4591993A (en) * 1983-11-21 1986-05-27 International Business Machines Corporation Methodology for making logic circuits
US4703435A (en) * 1984-07-16 1987-10-27 International Business Machines Corporation Logic Synthesizer
US4698760A (en) * 1985-06-06 1987-10-06 International Business Machines Method of optimizing signal timing delays and power consumption in LSI circuits
US4916627A (en) * 1987-12-02 1990-04-10 International Business Machines Corporation Logic path length reduction using boolean minimization
US5003487A (en) * 1988-06-28 1991-03-26 International Business Machines Corporation Method and apparatus for performing timing correction transformations on a technology-independent logic model during logic synthesis
US5237513A (en) * 1989-11-20 1993-08-17 Massachusetts Institute Of Technology Optimal integrated circuit generation
US5299137A (en) * 1990-04-05 1994-03-29 Vlsi Technology, Inc. Behavioral synthesis of circuits including high impedance buffers
US5222030A (en) * 1990-04-06 1993-06-22 Lsi Logic Corporation Methodology for deriving executable low-level structural descriptions and valid physical implementations of circuits and systems from high-level semantic specifications and descriptions thereof
US5287289A (en) * 1990-04-13 1994-02-15 Hitachi, Ltd. Logic synthesis method
US5345393A (en) * 1990-08-22 1994-09-06 Matsushita Electric Industrial Co., Ltd. Logic circuit generator
US5392221A (en) * 1991-06-12 1995-02-21 International Business Machines Corporation Procedure to minimize total power of a logic network subject to timing constraints

Also Published As

Publication number Publication date
JPH07230485A (ja) 1995-08-29
US5673200A (en) 1997-09-30

Similar Documents

Publication Publication Date Title
Stelling et al. Optimal circuits for parallel multipliers
JP3182036B2 (ja) 論理合成方法及び論理合成装置
US8631369B1 (en) Methods, systems, and apparatus for timing and signal integrity analysis of integrated circuits with semiconductor process variations
US6247164B1 (en) Configurable hardware system implementing Boolean Satisfiability and method thereof
Chen et al. LOPASS: A low-power architectural synthesis system for FPGAs with interconnect estimation and optimization
Lhairech-Lebreton et al. Hierarchical and multiple-clock domain high-level synthesis for low-power design on fpga
Torbey et al. High-level synthesis of digital circuits using genetic algorithms
Ou et al. PyGen: a MATLAB/Simulink based tool for synthesizing parameterized and energy efficient designs using FPGAs
US20040088662A1 (en) Timing path detailer
Arslan et al. Low power design for DSP: methodologies and techniques
Liu et al. HyPE: Hybrid power estimation for IP-based systems-on-chip
Sathyamurthy et al. Speeding up pipelined circuits through a combination of gate sizing and clock skew optimization
Deshpande et al. A review on ASIC synthesis flow employing two industry standard tools
Blokken et al. A flexible module library for custom DSP applications in a multiprocessor environment
Rocha et al. Framework-based arithmetic datapath generation to explore parallel binary multipliers
Zhou et al. 64-bit prefix adders: Power-efficient topologies and design solutions
Prakash et al. PSO: An approach to multiobjective VLSI partitioning
Mehdipour et al. Reducing reconfiguration time of reconfigurable computing systems in integrated temporal partitioning and physical design framework
Chandrakar et al. A SAT-based methodology for effective clock gating for power minimization
Wang et al. Potential slack budgeting with clock skew optimization
Barkalov et al. Design of Embedded Systems
Jain et al. FPGA Implementation of Powet-Efficient Multiplier
Chen et al. Layout driven logic synthesis system
Fang et al. Emgen-a module generator for logic emulation applications
Woods et al. FPGA Synthesis on the XC6200 using IRIS and Trianus/Hades (or from Heaven to Hell and back again)

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20010403

LAPS Cancellation because of no payment of annual fees