JPH10187767A - Parameterized hdl describing method, logic synthesizer, and medium recording logic synthesizing program - Google Patents

Parameterized hdl describing method, logic synthesizer, and medium recording logic synthesizing program

Info

Publication number
JPH10187767A
JPH10187767A JP8343937A JP34393796A JPH10187767A JP H10187767 A JPH10187767 A JP H10187767A JP 8343937 A JP8343937 A JP 8343937A JP 34393796 A JP34393796 A JP 34393796A JP H10187767 A JPH10187767 A JP H10187767A
Authority
JP
Japan
Prior art keywords
constant
logic
hdl description
description
hdl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP8343937A
Other languages
Japanese (ja)
Inventor
Motoki Higashida
基樹 東田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP8343937A priority Critical patent/JPH10187767A/en
Publication of JPH10187767A publication Critical patent/JPH10187767A/en
Withdrawn legal-status Critical Current

Links

Abstract

PROBLEM TO BE SOLVED: To make a parameterized HDL describing method reutilizable at the next time of design, and to hide described contents, by providing a step for setting a constant value and a step for describing processing for transforming constant values to a constant table. SOLUTION: An HDL description 401 sets the values of respective parameters through parameter sentences and respectively sets '7' to input bit width (InBits), '5' to constant coefficient bit width (CoefBits), '9' to output bit width (OutBits) and '23' to a constant coefficient value (CoefVal). In an HDL description 403, constant calculation processing for calculating CSD arrangement from the constant coefficient value is described. A first comment sentence 'constant-gen- begin' shows it is constant calculation processing to a final comment sentence 'constant-gen-end'. At the part of 'omisson' in the HDL description 403, an HDL description expressing a hardware with the constant coefficient value as its input and the constant table as its output is described.

Description

【発明の詳細な説明】DETAILED DESCRIPTION OF THE INVENTION

【0001】[0001]

【発明の属する技術分野】本発明は、ハードウェア記述
言語(HDL)の記述方法およびHDL記述から論理回
路を合成するための論理合成装置に関し、特に、パラメ
ータの指定により多様な機能および構成の論理回路を合
成可能なパラメータ化HDL記述方法、論理合成装置お
よび論理合成プログラムを記録した媒体に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a description method of a hardware description language (HDL) and a logic synthesis device for synthesizing a logic circuit from the HDL description, and more particularly to a logic having various functions and configurations by designating parameters. The present invention relates to a parameterized HDL description method capable of synthesizing a circuit, a logic synthesis device, and a medium recording a logic synthesis program.

【0002】[0002]

【従来の技術】近年、半導体集積回路の高密度化、多機
能化に伴い、その回路規模は増大する傾向にあり、回路
設計に要する時間も増加しつつある。この回路設計に要
する時間を短縮する方法の1つとして、既設計の回路を
再利用することが挙げられる。再利用する回路が大きい
ほど、設計期間の短縮効果も大きくなる。しかし、個々
の設計には独自の構成や機能が必ず存在し、再利用可能
な回路部分は共通の構成および機能の回路部分だけであ
る。したがって、大規模回路の全体を再利用することは
困難であるという問題があった。この問題を解決する技
術の1つとして、パラメータ化HDL記述が開発されて
いる。
2. Description of the Related Art In recent years, as semiconductor integrated circuits have become denser and more multifunctional, the circuit scale has tended to increase, and the time required for circuit design has also increased. One of the methods for reducing the time required for the circuit design is to reuse an already designed circuit. The larger the circuit to be reused, the greater the effect of shortening the design period. However, each design always has its own structure and function, and the reusable circuit parts are only those having a common structure and function. Therefore, there is a problem that it is difficult to reuse the entire large scale circuit. As one of techniques for solving this problem, a parameterized HDL description has been developed.

【0003】パラメータ化HDL記述とは、回路の構成
や機能の多様性をパラメータとして表現し、このパラメ
ータを設定することにより、パラメータに応じた多様な
回路を合成可能としたHDL記述のことである。回路の
多様性を検討し、パラメータ化HDL記述として設計を
行なえば、設計の再利用の可能性を大幅に向上すること
ができる。現在、ビットスライス構造の演算器やメモリ
等の規則性を有する回路について、ビット幅やワード数
をパラメータとしたパラメータ化HDLが開発され、実
際に使用されている。
The parameterized HDL description is an HDL description that expresses a variety of circuit configurations and functions as parameters, and by setting these parameters, it is possible to synthesize various circuits corresponding to the parameters. . If the variety of circuits is examined and the design is performed as a parameterized HDL description, the possibility of reusing the design can be greatly improved. At present, a parameterized HDL having parameters such as a bit width and the number of words has been developed and is actually used for circuits having regularity such as an arithmetic unit having a bit slice structure and a memory.

【0004】しかし、パラメータによって不規則に変化
する構造の回路に対してはパラメータ化HDLは作成さ
れていない。このような構造の回路については、従来は
以下の手順で処理が行なわれていた。
However, a parameterized HDL has not been created for a circuit having a structure that changes irregularly with parameters. Conventionally, a circuit having such a structure is processed in the following procedure.

【0005】(1) 汎用的なハードウェア構成に対応
するHDL記述がテンプレートとして用意される。
(1) An HDL description corresponding to a general-purpose hardware configuration is prepared as a template.

【0006】(2) 不規則に変化する項目をプログラ
ムで計算し、ハードウェア構成を直接指定するようなパ
ラメータへと変換する(以後、この変換処理を定数計算
処理と呼ぶ)。
(2) Randomly changing items are calculated by a program and converted into parameters that directly specify the hardware configuration (hereinafter, this conversion processing is referred to as constant calculation processing).

【0007】(3) ハードウェア構成を直接指定する
パラメータをHDL記述のテンプレートに埋込んで最終
的なHDL記述として出力する。
(3) A parameter for directly specifying a hardware configuration is embedded in an HDL description template and output as a final HDL description.

【0008】以上のような処理を行なうHDL記述の生
成プログラムにより、指定されたパラメータに基づくH
DL記述が生成されていた。
An HDL description generation program that performs the above-described processing generates an HDL description based on designated parameters.
A DL description has been generated.

【0009】図7は、従来のHDL記述の生成プログラ
ムを使用した場合の設計手順を示すフローチャートであ
る。まず、HDL記述の生成プログラムにパラメータと
HDL記述のテンプレートが入力され、生成プログラム
はHDL記述のテンプレートにパラメータを挿入してH
DL記述を生成する(S301)。パラメータは、定数
値に定数計算処理を施すことにより算出される。この定
数計算処理については後述する。また、HDL記述を生
成する際に使用される汎用的なハードウェア構成に対応
するテンプレートが予め準備されている(S302)。
たとえば、後述するような定数係数乗算器等のテンプレ
ートである。そして、生成されたHDL記述がファイル
に格納される(S303)。
FIG. 7 is a flowchart showing a design procedure when a conventional HDL description generation program is used. First, the parameters and the template of the HDL description are input to the HDL description generation program, and the generation program inserts the parameters into the HDL description template to generate the H
A DL description is generated (S301). The parameter is calculated by performing constant calculation processing on the constant value. This constant calculation process will be described later. In addition, a template corresponding to a general-purpose hardware configuration used when generating the HDL description is prepared in advance (S302).
For example, it is a template such as a constant coefficient multiplier described later. Then, the generated HDL description is stored in a file (S303).

【0010】次に、使用者は生成されたHDL記述を論
理合成装置に入力し、論理合成を行なう(S305)。
操作者は、論理合成された回路の規模が大きすぎたり、
あるいは回路の遅延値が大きすぎる等の問題があれば、
HDL記述を改良する(S304)。そして、再びHD
L記述の論理合成を行ない(S305)、問題がなくな
った時点で論理回路をファイルに格納して(S30
6)、処理を終了する。
Next, the user inputs the generated HDL description to the logic synthesizer and performs logic synthesis (S305).
The operator may find that the scale of the logic synthesized circuit is too large,
Or if there is a problem such as the circuit delay value is too large,
The HDL description is improved (S304). And again HD
Logic synthesis of the L description is performed (S305), and when the problem is eliminated, the logic circuit is stored in a file (S30).
6), end the process.

【0011】図8は、パラメータによって不規則に変化
する構造の回路の一例であるCSD(Canonical Signed
Digit)定数係数乗算器の構成を示す図である。CSD
定数係数乗算器とは、シフタと加算器と減算器とセレク
タとを用いて定数係数乗算を実現した回路である。図8
に示すように、CSD定数係数乗算器は、シフタと加算
器と減算器とセレクタとを含む単位回路800 〜80n
が直列に接続されて構成される。nは定数係数値のビッ
ト幅である。
FIG. 8 is a CSD (Canonical Signed) which is an example of a circuit having a structure that changes irregularly depending on parameters.
FIG. 3 is a diagram illustrating a configuration of a constant coefficient multiplier. CSD
A constant coefficient multiplier is a circuit that realizes constant coefficient multiplication using a shifter, an adder, a subtractor, and a selector. FIG.
As shown in (1), the CSD constant coefficient multiplier is a unit circuit 80 0 to 80 n including a shifter, an adder, a subtractor, and a selector.
Are connected in series. n is the bit width of the constant coefficient value.

【0012】各単位回路800 〜80n にそれぞれ入力
されるCSD[0]〜CSD[n]信号が“1”のとき
は、単位回路800 〜80n 内のセレクタによって加算
器の出力信号が選択される。CSD[0]〜CSD
[n]信号が“−1”のときは、セレクタによって減算
器の出力信号が選択される。また、CSD[0]〜CS
D[n]信号が“0”のときは、セレクタによって前段
の単位回路のセレクタの出力信号が選択される。CSD
[0]〜CSD[n]の値は、後述するように係数値に
応じた定数値となる。したがって、“0”が設定される
ものについては、単位回路全体が、また、“1”か“−
1”が設定されるものについては、単位回路中の選択さ
れなかった加算器あるいは減算器とセレクタとが不要な
回路となり削除される。CSD配列(CSD[0],C
SD[1],…,CSD[n])は、定数係数値からC
SD表現に変換された値が設定される。たとえば、定数
係数値が23(10進数)の場合、CSD配列の値は下
位要素から順に−1,0,0,−1,0,1となる。す
なわち、20 ×(−1)+23 ×(−1)+25 ×1=
−1−8+32=23となり、定数係数値とCSD表現
の値とが等価であることがわかる。また、23(10進
数)を2進数に変換すると10111Hとなるため、定
数係数の乗算を行なう際3回の加算が必要となる。しか
し、CSD表現に変換することによって、乗算を行なう
際2回の加減算で演算が行なえるので、演算回数を減ら
すことができるのがわかる。このように、定数係数値を
CSD配列に変換することによって、回路規模が縮小
し、回路遅延も短縮できる。
When the CSD [0] to CSD [n] signals input to the unit circuits 80 0 to 80 n are "1", the output signals of the adder are output by the selectors in the unit circuits 80 0 to 80 n . Is selected. CSD [0] to CSD
When the [n] signal is "-1", the selector selects the output signal of the subtractor. In addition, CSD [0] to CS
When the D [n] signal is "0", the selector selects the output signal of the selector of the preceding unit circuit. CSD
The value of [0] to CSD [n] becomes a constant value according to the coefficient value as described later. Therefore, when "0" is set, the entire unit circuit is again "1" or "-".
For those for which 1 "is set, the unselected adder or subtractor and selector in the unit circuit become unnecessary circuits and are deleted. CSD array (CSD [0], C
SD [1], ..., CSD [n]) is C from the constant coefficient value.
The value converted to the SD expression is set. For example, when the constant coefficient value is 23 (decimal number), the values of the CSD array are -1, 0, 0, -1, 0, 1 in order from the lower element. That, 2 0 × (-1) +2 3 × (-1) +2 5 × 1 =
−1−8 + 32 = 23, which indicates that the constant coefficient value and the value in the CSD expression are equivalent. Further, when 23 (decimal number) is converted into a binary number, it becomes 10111H, and therefore it is necessary to add three times when multiplying the constant coefficient. However, by converting to the CSD representation, it is possible to reduce the number of operations because the operation can be performed by adding and subtracting twice when performing multiplication. In this way, by converting the constant coefficient value into the CSD array, the circuit scale can be reduced and the circuit delay can be shortened.

【0013】図9は、従来のHDL記述の生成プログラ
ムによって生成されたHDL記述の一例を示す図であ
る。図9に示すHDL記述は、図8に示すCSD定数係
数乗算器を表わしており、パラメータの入力ビット幅が
7、定数係数のビット幅が5、出力ビット幅が9、定数
係数値が23の場合の専用回路を表わしている。
FIG. 9 is a diagram showing an example of an HDL description generated by a conventional HDL description generation program. The HDL description shown in FIG. 9 represents the CSD constant coefficient multiplier shown in FIG. 8. The parameter input bit width is 7, the constant coefficient bit width is 5, the output bit width is 9, and the constant coefficient value is 23. In this case, the dedicated circuit is shown.

【0014】最初の行のmodule文は、最後の行の
endmodule文までが1つの回路モジュールCo
efMult(in,out)であることを示してい
る。HDL記述901は、parameter文によっ
て各パラメータの値を設定しており、入力ビット幅(I
nBits)に7、定数係数のビット幅(CoefBi
ts)に5、出力ビット幅(OutBits)に9がそ
れぞれ設定される。
The module statement in the first line is a circuit module Co up to the end module statement in the last line.
efMult (in, out). In the HDL description 901, the value of each parameter is set by the parameter statement and the input bit width (I
nBits) and the bit width of the constant coefficient (CoefBi)
5 is set to ts), and 9 is set to the output bit width (OutBits).

【0015】HDL記述902は、各変数の定義を行な
っている。input文によって、入力データinが
[6]〜[0]の7ビットのデータであることが定義さ
れている。output文によって、出力データout
が[8]〜[0]の9ビットのデータであることが定義
されている。また、内部レジスタoutValが[1
1]〜[0]の12ビットのレジスタであることが定義
されている。さらに、integer文によってCSD
配列CSD[5]〜[0]と変数iとが整数であること
が定義されている。最後のalways文は、入力デー
タinが変化する毎にendmodule文の1つ上の
end文までの処理を行なうことを表わしている。
The HDL description 902 defines each variable. The input statement defines that the input data in is 7-bit data [6] to [0]. Output data out by output statement
Is defined as 9-bit data [8] to [0]. Also, the internal register outVal is [1
It is defined as a 12-bit register of 1] to [0]. In addition, the integer statement allows CSD
It is defined that the arrays CSD [5] to [0] and the variable i are integers. The last always statement indicates that the processing up to the end statement one level above the endmodule statement is performed each time the input data in changes.

【0016】HDL記述903は、CSD配列の値を定
義している。すなわち、CSD[0]〜[5]にそれぞ
れの値が設定されている。
The HDL description 903 defines the value of the CSD array. That is, respective values are set in CSD [0] to [5].

【0017】HDL記述904は、CSD定数係数乗算
器を定義している。まず、出力値outValに0を設
定する。そしてiに5を設定し、iをデクリメントしな
がら0になるまで次の(1)〜(2)の処理を行なう。
HDL description 904 defines a CSD constant coefficient multiplier. First, 0 is set to the output value outVal. Then, i is set to 5, and the following processes (1) and (2) are performed until the value becomes 0 while decrementing i.

【0018】(1) 入力データinをi回シフトして
shiftValに代入する。 (2) CSD[i]が1のときは、outValにo
utVal+shiftValの値を代入する。CSD
[i]が−1のときは、outValにoutVal−
shiftValの値を代入する。CSD[i]が0の
ときは、outValの値は変更しない。
(1) The input data in is shifted i times and assigned to shiftVal. (2) When CSD [i] is 1, outVal is set to o.
The value of utVal + shiftVal is substituted. CSD
When [i] is -1, outVal-outVal-
Substitute the value of shiftVal. When CSD [i] is 0, the value of outVal is not changed.

【0019】最後に出力データoutにoutVal
[11]〜[3]の9ビットを代入する。この処理はo
utVal[2]〜[0]の不要な下位3ビットを切り
捨てている。
Finally, outVal is added to the output data out.
9 bits of [11] to [3] are substituted. This process is o
Unnecessary lower 3 bits of utVal [2] to [0] are truncated.

【0020】図9に示すHDL記述の中で、点線で囲ま
れた記述901と903とがHDL記述の生成プログラ
ムによって挿入された記述である。したがって、それ以
外の記述がCSD定数係数乗算器のテンプレートとして
提供される。
In the HDL description shown in FIG. 9, descriptions 901 and 903 surrounded by dotted lines are descriptions inserted by the HDL description generation program. Therefore, other descriptions are provided as templates for the CSD constant coefficient multiplier.

【0021】[0021]

【発明が解決しようとする課題】上述したように、パラ
メータによって不規則に変化する構造の回路を生成する
場合、生成プログラムによってHDL記述を生成する必
要がある。したがって、この生成されたHDL記述を人
手により改良した場合、次回の設計においてはこの改良
結果を利用することはできない。この人手による改良を
反映するように生成プログラムを変更すればよいが、こ
の改良されたHDL記述の利用者と生成プログラムの作
成者とが異なる場合が多いので、その度に生成プログラ
ムを変更するのは現実的でない。したがって、改良が加
えられた既設計のHDL記述は、次回の設計時に再利用
されないという問題点があった。
As described above, when generating a circuit having a structure that changes irregularly depending on parameters, it is necessary to generate an HDL description using a generation program. Therefore, when the generated HDL description is manually improved, the result of the improvement cannot be used in the next design. The generated program may be changed to reflect the manual improvement. However, since the user of the improved HDL description and the creator of the generated program are often different, it is necessary to change the generated program each time. Is not realistic. Therefore, there is a problem that the already-designed HDL description having been improved is not reused in the next design.

【0022】また、HDL記述には記述方法や回路構成
に関するノウハウが入っているので、HDL記述の提供
者は記述内容を隠蔽したいと考える場合がある。論理合
成ツールには、HDL記述を暗号化、復号化する機能が
あり、HDL記述の提供者はHDL記述を暗号化して提
供することが可能である。しかし、この暗号化機能は論
理合成ツール固有の機能であるので、同等の暗号化、復
号化機能を生成プログラムに追加することはできない。
したがって、HDL記述の提供者がHDL記述と生成プ
ログラムとを提供する際、HDL記述を暗号化して提供
することができない。すなわち、HDL記述の内容を秘
密にすることができないという問題点があった。
Further, since the HDL description includes know-how regarding a description method and a circuit configuration, a provider of the HDL description may want to hide the description content. The logic synthesis tool has a function of encrypting and decrypting the HDL description, and a provider of the HDL description can encrypt and provide the HDL description. However, since this encryption function is a function unique to the logic synthesis tool, an equivalent encryption / decryption function cannot be added to the generation program.
Therefore, when the provider of the HDL description provides the HDL description and the generation program, the HDL description cannot be encrypted and provided. That is, there is a problem that the contents of the HDL description cannot be kept secret.

【0023】本発明は、上記問題点を解決するためにな
されたもので、請求項1記載の発明の目的は、次回の設
計時に再利用が可能であり、記述内容の隠蔽が可能なパ
ラメータ化HDL記述方法を提供することである。
SUMMARY OF THE INVENTION The present invention has been made to solve the above problems, and an object of the present invention is to provide a parameterization method that can be reused at the next design and that can conceal the contents of description. It is to provide an HDL description method.

【0024】請求項2記載の発明の目的は、論理合成処
理の時間を短縮することが可能な論理合成装置を提供す
ることである。
An object of the present invention is to provide a logic synthesizing apparatus capable of shortening the time of the logic synthesizing process.

【0025】請求項3記載の発明の目的は、請求項2に
おける目的に加えて、パラメータ化HDL記述の論理シ
ミュレーションを高速にするような記述形式を入力可能
な論理合成装置を提供することである。
An object of the invention described in claim 3 is, in addition to the object of claim 2, to provide a logic synthesizer capable of inputting a description format for speeding up a logic simulation of a parameterized HDL description. .

【0026】請求項4記載の発明の目的は、次回の設計
の論理合成時において前回のパラメータ化HDL記述の
再利用が可能な論理合成プログラムを記録した媒体を提
供することである。
It is an object of the present invention to provide a medium recording a logic synthesis program capable of reusing the previous parameterized HDL description at the time of logic synthesis of the next design.

【0027】[0027]

【課題を解決するための手段】請求項1に記載のパラメ
ータ化HDL記述方法は、定数値を設定するステップ
と、定数値を定数テーブルに変換する処理を記述するス
テップとを含む。
A parameterized HDL description method according to claim 1 includes a step of setting a constant value and a step of describing a process of converting the constant value into a constant table.

【0028】定数値を定数テーブルに変換する処理をパ
ラメータ化HDL記述に含ませることで、HDL記述の
生成プログラムを不要としている。
By including the process of converting a constant value into a constant table in the parameterized HDL description, a program for generating the HDL description is not required.

【0029】請求項2に記載の論理合成装置は、パラメ
ータ化HDL記述の中から定数値を定数テーブルに変換
する処理を抽出するための抽出手段と、抽出手段によっ
て抽出された処理を実行して定数テーブルを算出するた
めの算出手段と、算出手段によって算出された定数テー
ブルに基づいて論理を合成するための論理合成手段とを
含む。
According to another aspect of the logic synthesis apparatus of the present invention, an extracting unit for extracting a process of converting a constant value into a constant table from the parameterized HDL description and a process extracted by the extracting unit are executed. A calculating means for calculating the constant table and a logic synthesizing means for synthesizing logic based on the constant table calculated by the calculating means are included.

【0030】算出手段は、抽出手段によってパラメータ
化HDL記述の中から抽出された処理を実行することに
より、パラメータ化HDLの論理合成時に必要となる定
数テーブルを算出している。
The calculating means calculates a constant table required at the time of logic synthesis of the parameterized HDL by executing the processing extracted from the parameterized HDL description by the extracting means.

【0031】請求項3に記載の論理合成装置は、請求項
2記載の論理合成装置であって、抽出手段は定数テーブ
ルの算出の処理をHDL記述の検証時に一度だけ実行さ
れるHDL記述文からも抽出する。
The logic synthesizing device according to claim 3 is the logic synthesizing device according to claim 2, wherein the extracting means performs the calculation of the constant table from the HDL description sentence executed only once when the HDL description is verified. Also extract.

【0032】定数テーブルの算出の処理をHDL記述の
検証時に一度だけ実行されるHDL記述文に記述できる
ので、定数テーブルの算出が一度だけしか実行されなく
なる。したがって、論理シミュレーション時間の短縮を
図ることが可能となる。
Since the process of calculating the constant table can be described in an HDL description sentence that is executed only once when the HDL description is verified, the calculation of the constant table is executed only once. Therefore, it is possible to shorten the logic simulation time.

【0033】請求項4に記載の論理合成プログラムを記
録した媒体は、パラメータ化HDL記述の中から定数値
を定数テーブルに変換する処理を抽出するステップと、
抽出された処理を実行して定数テーブルを算出するステ
ップと、算出された定数テーブルに基づいて論理を合成
するステップとを含む。
A medium storing the logic synthesis program according to claim 4 includes a step of extracting a process for converting a constant value into a constant table from the parameterized HDL description;
The method includes the steps of executing the extracted processing to calculate a constant table, and synthesizing logic based on the calculated constant table.

【0034】パラメータ化HDL記述の中から抽出され
た処理を実行することにより、パラメータ化HDLの論
理合成時に必要となる定数テーブルを算出している。
By executing the process extracted from the parameterized HDL description, the constant table necessary for the logic synthesis of the parameterized HDL is calculated.

【0035】[0035]

【発明の実施の形態】図1は、本発明の論理合成装置の
外観を示す図である。論理合成装置は、コンピュータ本
体101、グラフィックディスプレイ装置102、磁気
テープ装置103、磁気テープ104、キーボード10
5、マウス106、CD−ROM(Compact Disk-Read
Only Memory )装置107、CD−ROM108、およ
び通信モデム109を含む。論理合成プログラムは、磁
気テープ104またはCD−ROM108等の記録媒体
によって供給される。論理合成プログラムはコンピュー
タ本体101によって実行され、操作者はグラフィック
ディスプレイ装置102を見ながらキーボード105ま
たはマウス106を操作することによって論理合成を行
なう。また、論理合成プログラムは他のコンピュータよ
り通信回線を経由し、通信モデム109を介してコンピ
ュータ本体101に供給されてもよい。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 1 is a diagram showing an appearance of a logic synthesis device according to the present invention. The logic synthesis device includes a computer main body 101, a graphic display device 102, a magnetic tape device 103, a magnetic tape 104, a keyboard 10
5. Mouse 106, CD-ROM (Compact Disk-Read
Only Memory) device 107, CD-ROM 108, and communication modem 109. The logic synthesis program is supplied by a recording medium such as the magnetic tape 104 or the CD-ROM 108. The logic synthesis program is executed by the computer main body 101, and the operator performs logic synthesis by operating the keyboard 105 or the mouse 106 while looking at the graphic display device 102. The logic synthesis program may be supplied from another computer to the computer main body 101 via the communication line and the communication modem 109.

【0036】図2は、本発明の論理合成装置の構成を示
すブロック図である。図1に示すコンピュータ本体10
1は、CPU(Central Processing Unit )201、R
OM(Read Only Memory)202、RAM(Random Acc
ess Memory)203およびハードディスク204を含
む。CPU201は、グラフィックディスプレイ装置1
02、磁気テープ装置103、キーボード105、マウ
ス106、CD−ROM装置107、通信モデム10
9、ROM202、RAM203またはハードディスク
204との間でデータを入出力しながら処理を行なう。
磁気テープ104またはCD−ROM108に記録され
た論理合成プログラムは、CPU201により磁気テー
プ装置103またはCD−ROM装置107を介して一
旦ハードディスク204に格納される。CPU201
は、ハードディスク204から適宜論理合成プログラム
をRAM203にロードして実行することによって論理
合成が行なわれる。
FIG. 2 is a block diagram showing the configuration of the logic synthesizer of the present invention. Computer main body 10 shown in FIG.
1 is a CPU (Central Processing Unit) 201, R
OM (Read Only Memory) 202, RAM (Random Acc
ess Memory) 203 and hard disk 204. The CPU 201 is the graphic display device 1
02, magnetic tape device 103, keyboard 105, mouse 106, CD-ROM device 107, communication modem 10
9. Process while inputting / outputting data to / from ROM 202, RAM 203 or hard disk 204.
The logic synthesis program recorded on the magnetic tape 104 or the CD-ROM 108 is temporarily stored on the hard disk 204 by the CPU 201 via the magnetic tape device 103 or the CD-ROM device 107. CPU201
The logic synthesis is performed by appropriately loading the logic synthesis program from the hard disk 204 into the RAM 203 and executing it.

【0037】以下、本発明の各実施の形態における論理
合成装置について説明するが、図1に示す論理合成装置
の外観および図2に示す論理合成装置の構成ブロック図
は各実施の形態において共通である。
The logic synthesizer in each embodiment of the present invention will be described below. The appearance of the logic synthesizer shown in FIG. 1 and the configuration block diagram of the logic synthesizer shown in FIG. 2 are common to each embodiment. is there.

【0038】[実施の形態1]図3は、本発明の実施の
形態1におけるパラメータ化HDL記述を用いた設計手
順を示すフローチャートである。まず、操作者はHDL
記述において定数計算処理を組込んだパラメータ化HD
L記述を作成する(S101)。定数計算処理とは、従
来技術において説明したように、CSD定数係数乗算器
のようなパラメータ(定数テーブル)によって不規則に
変化する構造の回路を論理合成する際に使用されるパラ
メータを定数値から算出するための処理のことである。
操作者は、人手によって定数計算処理を組込んだパラメ
ータ化HDL記述を改良する(S102)。
[First Embodiment] FIG. 3 is a flowchart showing a design procedure using a parameterized HDL description in the first embodiment of the present invention. First, the operator is HDL
Parameterized HD incorporating constant calculation processing in description
An L description is created (S101). As described in the related art, the constant calculation process is to convert a parameter used when performing logic synthesis of a circuit having a structure that changes irregularly by a parameter (constant table) such as a CSD constant coefficient multiplier from a constant value. This is a process for calculating.
The operator manually improves the parameterized HDL description incorporating the constant calculation process (S102).

【0039】操作者は、人手によるパラメータ化HDL
記述の改良が終了すれば、論理合成装置に改良後のパラ
メータ化HDL記述を入力して論理合成を行なう(S1
03)。論理合成装置には、VerilogHDLかV
HDLのハードウェア記述言語(HDL)による記述が
入力される。これらのHDL記述は、高級ソフトウェア
言語(C言語等)に相当する文法を持っており、定数計
算処理をHDLにより記述することも可能である。すな
わち、定数係数を入力とし定数テーブルを出力とするハ
ードウェアをHDLで記述し、汎用的なハードウェア構
成に対応するテンプレートのHDL記述を結合すれば、
論理合成装置に直接入力可能なパラメータ化HDL記述
を作成することができる。また、論理合成装置は、HD
L記述内のparameter文で指定されたパラメー
タ値を別途変更する機能があり、パラメータ値である定
数係数値を論理合成装置の入力とすることができる。
The operator is manually parameterized HDL.
When the description is improved, the improved parameterized HDL description is input to the logic synthesizer to perform logic synthesis (S1).
03). The logic synthesizer can be Verilog HDL or V
A description in HDL hardware description language (HDL) is input. These HDL descriptions have a grammar equivalent to a high-level software language (such as C language), and constant calculation processing can also be described in HDL. That is, if hardware that inputs a constant coefficient and outputs a constant table is described in HDL, and the HDL description of a template corresponding to a general-purpose hardware configuration is combined,
A parameterized HDL description that can be directly input to the logic synthesis device can be created. Also, the logic synthesizer is HD
There is a function for separately changing a parameter value specified by a parameter statement in the L description, and a constant coefficient value that is a parameter value can be input to the logic synthesis device.

【0040】最後に、論理合成装置によって論理合成さ
れたゲート回路がファイルに格納されて処理を終了する
(S104)。
Finally, the gate circuit logically synthesized by the logical synthesizing device is stored in the file, and the processing is terminated (S104).

【0041】図4は、本発明の実施の形態1におけるパ
ラメータ化HDL記述の一例を示す図である。HDL記
述402および404は、図9に示す従来のHDL記述
902および904と同じ記述内容であるので詳細な説
明は繰返さない。HDL記述401は、paramet
er文によって各パラメータの値を設定しており、入力
ビット幅(InBits)に7、定数係数のビット幅
(CoefBits)に5、出力ビット幅(OutBi
ts)に9、定数係数値(CoefVal)に23がそ
れぞれ設定される。
FIG. 4 is a diagram showing an example of the parameterized HDL description according to the first embodiment of the present invention. Since HDL descriptions 402 and 404 have the same description contents as conventional HDL descriptions 902 and 904 shown in FIG. 9, detailed description will not be repeated. HDL description 401 is paramet
The value of each parameter is set by the er statement. The input bit width (InBits) is 7, the constant coefficient bit width (CoefBits) is 5, and the output bit width (OutBi).
ts) is set to 9, and the constant coefficient value (CoefVal) is set to 23.

【0042】HDL記述403には、定数係数値からC
SD配列を計算する定数計算処理が記述されている。最
初のコメント文“constant_gen_begi
n”は、最後のコメント文“constant_gen
_end”までが定数計算処理であることを示してい
る。HDL記述403内の<省略>の部分には、上述し
たように定数係数値を入力とし、定数テーブルを出力と
するハードウェアを表わしたHDL記述が記述されてい
る。すなわち、定数係数値CoefValを入力とし、
CSD配列の値CSD[0]〜CSD[CoefBit
s]を出力とするハードウェア構成が記述されている。
しかし、このHDL記述は膨大な記述になるので省略し
ている。
The HDL description 403 includes C
A constant calculation process for calculating an SD array is described. The first comment, "constant_gen_begi
n ”is the last comment sentence“ constant_gen ”
_End "indicates a constant calculation process. The <omitted> portion in the HDL description 403 indicates hardware that receives a constant coefficient value as an input and outputs a constant table as described above. The HDL description is described, that is, a constant coefficient value CoefVal is input,
Values of CSD array CSD [0] to CSD [CoefBit
s] is described.
However, this HDL description is omitted because it is a huge description.

【0043】以上説明したように、本実施の形態におけ
るパラメータ化HDL記述方法によれば、定数係数値か
ら定数テーブルを算出する定数計算処理をパラメータ化
HDL記述に含ませることにより、HDL記述の生成プ
ログラムを不要としている。また、論理合成装置に装備
されている暗号化機能を用いることにより、ユーザにパ
ラメータ化HDL記述を提供する際暗号化したパラメー
タ化HDL記述を提供することができ、記述内容を隠蔽
することが可能となる。
As described above, according to the parameterized HDL description method in the present embodiment, the constant calculation process for calculating the constant table from the constant coefficient value is included in the parameterized HDL description to generate the HDL description. Makes programs unnecessary. Further, by using the encryption function provided in the logic synthesis apparatus, when providing the parameterized HDL description to the user, the encrypted parameterized HDL description can be provided, and the description content can be hidden. Becomes

【0044】[実施の形態2]図5は、本発明の実施の
形態2における論理合成装置の処理手順を示すフローチ
ャートである。まず、実施の形態1において説明した定
数計算処理を組込んだパラメータ化HDL記述が作成さ
れ、ファイルに格納される(S201)。定数係数値は
parameter文によって定義されているので、定
数係数値を別途変更することが可能である。
[Second Embodiment] FIG. 5 is a flowchart showing a processing procedure of a logic synthesis apparatus according to a second embodiment of the present invention. First, a parameterized HDL description incorporating the constant calculation processing described in the first embodiment is created and stored in a file (S201). Since the constant coefficient value is defined by the parameter statement, the constant coefficient value can be changed separately.

【0045】次に、パラメータ化HDL記述の中から定
数計算処理の記述と論理合成用記述とが分離される。こ
の分離の際、論理合成装置はたとえば図4に示すパラメ
ータ化HDL記述の中からHDL記述403にコメント
文として記述されている“constant_gen_
begin”〜“constant_gen_end”
を定数計算処理として抽出することにより分離が行なえ
る(S202)。
Next, the description of the constant calculation process and the description for logic synthesis are separated from the parameterized HDL description. At the time of this separation, the logic synthesis device, for example, from the parameterized HDL description shown in FIG. 4, “constant_gen_” described as a comment statement in the HDL description 403.
begin ”to“ constant_gen_end ”
Can be separated by extracting as a constant calculation process (S202).

【0046】分離を行なわない形式の従来の論理合成装
置は、定数計算処理の記述に対して次のような論理合成
処理を行なう。すなわち、HDL記述の動作に対応する
論理回路を合成し、定数値に固定された入力ピンがある
場合、合成した論理回路の内部ゲートの中で固定値にな
る部分を検出して、固定値になる無駄な論理ゲートを除
去する。
The conventional logic synthesis apparatus of the type that does not perform separation performs the following logic synthesis processing on the description of the constant calculation processing. That is, when a logic circuit corresponding to the operation of the HDL description is combined and there is an input pin fixed to a constant value, the part of the combined logic circuit that has the fixed value is detected and set to the fixed value. Eliminate wasteful logic gates.

【0047】定数計算処理の記述に対応する回路は、図
4に示す実施の形態1におけるHDL記述403からも
わかるように、定数値を入力とし定数テーブル値を出力
する回路である。したがって、この回路の全ゲートは固
定値であり、出力である定数テーブル値を残して全回路
が除去される。この一旦論理回路を合成し、定数テーブ
ル値を残して全回路を除去する処理に要する時間は、全
体の論理合成処理において無視できない長さとなる。
As can be seen from the HDL description 403 in the first embodiment shown in FIG. 4, a circuit corresponding to the description of the constant calculation processing is a circuit that receives a constant value and outputs a constant table value. Therefore, all the gates of this circuit are fixed values, and all the circuits are removed except for the constant table value which is the output. The time required for the process of synthesizing the logic circuits once and removing all the circuits while leaving the constant table value is a length that cannot be ignored in the entire logic synthesis process.

【0048】本実施の形態における論理合成装置では、
分離した定数計算処理部については上述したような従来
の論理合成処理を行なわず、HDL記述を論理シミュレ
ーションして定数テーブル値を求める(S204)。一
旦論理合成回路を合成する必要がないため、高速に定数
値を求めることができ全体の論理合成時間を短縮するこ
とができる。
In the logic synthesizer in this embodiment,
The conventional logic synthesis processing as described above is not performed for the separated constant calculation processing unit, and a constant table value is obtained by performing a logic simulation of the HDL description (S204). Since it is not necessary to synthesize the logic synthesis circuit once, the constant value can be obtained at high speed and the overall logic synthesis time can be shortened.

【0049】ステップS204において算出された定数
テーブルと、ステップS202において分離された論理
合成用記述(S205)とが結合されて、定数テーブル
を含んだパラメータ化HDL記述が新たに作成される
(S206)。そして、新たに作成されたパラメータ化
HDL記述に対して通常の論理合成が行なわれ(S20
7)、論理合成されたゲート回路がファイルに格納され
る(S208)。
The constant table calculated in step S204 and the logic synthesis description (S205) separated in step S202 are combined to newly create a parameterized HDL description including the constant table (S206). . Then, normal logic synthesis is performed on the newly created parameterized HDL description (S20).
7) The logic-combined gate circuit is stored in the file (S208).

【0050】以上説明したように、本実施の形態におけ
る論理合成装置は、定数計算処理を分離して処理するこ
とにより、定数計算処理を含んだパラメータ化HDL記
述の論理合成が可能となる。したがって、前回の設計時
に改良されたパラメータ化HDL記述を再利用すること
が可能となる。
As described above, the logic synthesizing apparatus according to the present embodiment separates the constant calculation processing and performs the logic synthesis of the parameterized HDL description including the constant calculation processing. Therefore, it becomes possible to reuse the parameterized HDL description improved at the previous design.

【0051】[実施の形態3]図6は、本発明の実施の
形態3における論理合成装置の入力となるパラメータ化
HDL記述の一例を示す図である。図4に示すパラメー
タ化HDL記述と比較して、定数計算処理のHDL記述
403と601との記述内容が異なっている点と、図4
において定数計算処理403の前にあるalways文
が、図6においては定数計算処理601の後ろにある点
のみが異なる。したがって重複する記述については詳細
な説明は繰返さない。
[Third Embodiment] FIG. 6 is a diagram showing an example of a parameterized HDL description which is an input to a logic synthesizer according to a third embodiment of the present invention. Compared to the parameterized HDL description shown in FIG. 4, the description contents of the HDL descriptions 403 and 601 of the constant calculation processing are different, and FIG.
In FIG. 6, the always statement before the constant calculation process 403 is different from the constant calculation process 601 only in FIG. Therefore, detailed description will not be repeated for overlapping descriptions.

【0052】HDL記述601内のinitial文
は、シミュレーション時に回路の初期値を設定するため
の記述であり、従来の論理合成装置では無視される記述
である。合成用HDL記述の検証は、論理シミュレーシ
ョンにより行なわれる。論理シミュレーションではal
ways文のブロックは必要に応じて何度も実行され
る。図4に示すようにalways文が定数計算処理4
03の前にあると、シミュレーション時に同じ定数テー
ブルを何度も計算する処理が発生し、シミュレーション
時間が長くなる。
The initial statement in the HDL description 601 is a description for setting an initial value of a circuit at the time of a simulation, and is a description that is ignored in a conventional logic synthesizer. Verification of the HDL description for synthesis is performed by logic simulation. Al in logic simulation
The blocks of the ways statement are executed as many times as necessary. As shown in FIG. 4, the always statement is a constant calculation processing 4
If it is before 03, processing for calculating the same constant table many times during simulation occurs, and the simulation time becomes longer.

【0053】しかし、図6に示すように、initia
l文を定数計算処理の最初に記述することにより、シミ
ュレーションの最初に一度だけ実行されることになる。
したがって、always文を定数計算処理601の前
にではなく後ろに記述し、initial文を定数計算
処理601の中に記述するようにすればシミュレーショ
ン時間を短縮することが可能となる。
However, as shown in FIG.
By writing the l statement at the beginning of the constant calculation process, it is executed only once at the beginning of the simulation.
Therefore, the simulation time can be shortened if the always statement is described not before but before the constant calculation processing 601, and the initial statement is described in the constant calculation processing 601.

【0054】本実施の形態における論理合成装置は、実
施の形態2に示した論理合成装置における定数計算処理
の記述と論理合成用記述を分離する処理(S202)に
おいて、always文中に書かれた定数計算処理を抽
出するだけでなく、initial文中に書かれた定数
計算処理も抽出するように変更したものである。本論理
合成装置によれば、従来の論理合成装置では無視される
記述であるinitial文を使用して、検証時のシミ
ュレーション速度が速いHDL記述を作成できる。
The logic synthesizing apparatus according to the present embodiment has the constant written in the alwayss statement in the processing (S202) for separating the description of the constant calculation processing and the logic synthesizing description in the logic synthesizing apparatus shown in the second embodiment. In addition to extracting the calculation process, the constant calculation process written in the initial sentence is also extracted. According to the present logic synthesizer, an HDL description with a high simulation speed at the time of verification can be created by using an initial statement which is a description ignored by the conventional logic synthesizer.

【0055】[0055]

【発明の効果】請求項1記載のパラメータ化HDL記述
方法によれば、次回の設計時に再利用が可能となり、記
述内容の隠蔽が可能となった。
According to the parameterized HDL description method according to the first aspect, the description can be reused at the next design, and the description content can be hidden.

【0056】請求項2記載の論理合成装置によれば、論
理合成処理の時間を短縮することが可能となった。
According to the logic synthesizing apparatus of the second aspect, it is possible to shorten the time of the logic synthesizing process.

【0057】請求項3記載の論理合成装置によれば、請
求項2に記載の発明の効果に加え、パラメータ化HDL
記述の論理シミュレーションを高速にするような記述形
式を入力することが可能となった。
According to the logic synthesizing device of the third aspect, in addition to the effect of the second aspect, the parameterized HDL
It became possible to input a description format that speeds up the logic simulation of the description.

【0058】請求項4記載の論理合成プログラムを記録
した媒体によれば、次回の設計の論理合成時において前
回のパラメータ化HDL記述の再利用が可能となった。
According to the medium storing the logic synthesis program according to the fourth aspect, it is possible to reuse the previous parameterized HDL description at the time of the next logic synthesis of the design.

【図面の簡単な説明】[Brief description of the drawings]

【図1】 本発明の論理合成装置の外観を示す図であ
る。
FIG. 1 is a diagram illustrating an appearance of a logic synthesis device according to the present invention.

【図2】 本発明の論理合成装置の構成を示すブロック
図である。
FIG. 2 is a block diagram illustrating a configuration of a logic synthesis device according to the present invention.

【図3】 本発明の実施の形態1におけるパラメータ化
HDL記述を用いた設計手順を示すフローチャートであ
る。
FIG. 3 is a flowchart showing a design procedure using a parameterized HDL description according to the first embodiment of the present invention.

【図4】 本発明の実施の形態1におけるパラメータ化
HDL記述の一例を示す図である。
FIG. 4 is a diagram showing an example of a parameterized HDL description in the first embodiment of the present invention.

【図5】 本発明の実施の形態2における論理合成装置
の処理手順を示すフローチャートである。
FIG. 5 is a flowchart showing a processing procedure of the logic synthesis device according to the second embodiment of the present invention.

【図6】 本発明の実施の形態3におけるパラメータ化
HDL記述の一例を示す図である。
FIG. 6 is a diagram showing an example of a parameterized HDL description according to Embodiment 3 of the present invention.

【図7】 従来のHDL記述の生成プログラムを使用し
た場合の設計手順を示すフローチャートである。
FIG. 7 is a flowchart showing a design procedure when a conventional HDL description generation program is used.

【図8】 パラメータによって不規則に変化する構造の
回路の一例であるCSD定数係数乗算器の構成を示す図
である。
FIG. 8 is a diagram showing a configuration of a CSD constant coefficient multiplier which is an example of a circuit having a structure that changes irregularly according to parameters.

【図9】 従来のHDL記述の生成プログラムによって
生成されたHDL記述の一例を示す図である。
FIG. 9 is a diagram showing an example of an HDL description generated by a conventional HDL description generation program.

【符号の説明】[Explanation of symbols]

101 コンピュータ本体、102 グラフィックディ
スプレイ装置、103磁気テープ装置、104 磁気テ
ープ、105 キーボード、106 マウス、107
CD−ROM装置、108 CD−ROM、109 通
信モデム、201 CPU、202 ROM、203
RAM、204 ハードディスク装置。
101 computer main body, 102 graphic display device, 103 magnetic tape device, 104 magnetic tape, 105 keyboard, 106 mouse, 107
CD-ROM device, 108 CD-ROM, 109 communication modem, 201 CPU, 202 ROM, 203
RAM, 204 Hard disk drive.

Claims (4)

【特許請求の範囲】[Claims] 【請求項1】 定数値を設定するステップと、 前記定数値を定数テーブルに変換する処理を記述するス
テップとを含むパラメータ化HDL記述方法。
1. A parameterized HDL description method comprising: setting a constant value; and describing a process of converting the constant value into a constant table.
【請求項2】 パラメータ化HDL記述の中から定数値
を定数テーブルに変換する処理を抽出するための抽出手
段と、 前記抽出手段によって抽出された処理を実行して定数テ
ーブルを算出するための算出手段と、 前記算出手段によって算出された定数テーブルに基づい
て論理を合成するための論理合成手段とを含む論理合成
装置。
2. Extraction means for extracting a process of converting a constant value into a constant table from the parameterized HDL description, and calculation for executing the process extracted by the extraction means to calculate a constant table. And a logic synthesizing means for synthesizing logic based on the constant table calculated by the calculating means.
【請求項3】 前記抽出手段は、前記定数テーブルの算
出の処理をHDL記述の検証時に一度だけ実行されるH
DL記述文からも抽出する、請求項2記載の論理合成装
置。
3. The extracting unit executes the process of calculating the constant table only once when the HDL description is verified.
The logic synthesis device according to claim 2, wherein the logic synthesis device extracts the DL description sentence.
【請求項4】 パラメータ化HDL記述の中から定数値
を定数テーブルに変換する処理を抽出するステップと、 前記抽出された処理を実行して定数テーブルを算出する
ステップと、 前記算出された定数テーブルに基づいて論理を合成する
ステップとを含む論理合成プログラムを記録した媒体。
4. A step of extracting a process of converting a constant value into a constant table from the parameterized HDL description; a step of executing the extracted process to calculate a constant table; And a logic synthesizing program recorded on the medium.
JP8343937A 1996-12-24 1996-12-24 Parameterized hdl describing method, logic synthesizer, and medium recording logic synthesizing program Withdrawn JPH10187767A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8343937A JPH10187767A (en) 1996-12-24 1996-12-24 Parameterized hdl describing method, logic synthesizer, and medium recording logic synthesizing program

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8343937A JPH10187767A (en) 1996-12-24 1996-12-24 Parameterized hdl describing method, logic synthesizer, and medium recording logic synthesizing program

Publications (1)

Publication Number Publication Date
JPH10187767A true JPH10187767A (en) 1998-07-21

Family

ID=18365403

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8343937A Withdrawn JPH10187767A (en) 1996-12-24 1996-12-24 Parameterized hdl describing method, logic synthesizer, and medium recording logic synthesizing program

Country Status (1)

Country Link
JP (1) JPH10187767A (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004001634A1 (en) * 2002-06-25 2003-12-31 Primegate Limited Logic verifying system, logic verifying method, computer program, and recording medium
JP2006038629A (en) * 2004-07-27 2006-02-09 Fujitsu Ltd Method, device, and program for compounding memory test pattern
JP2008535077A (en) * 2005-03-31 2008-08-28 エヌエックスピー ビー ヴィ Number multiplier signed in canonical form
JP2009055086A (en) * 2007-08-23 2009-03-12 Ricoh Co Ltd Image processor and processing method
JP2009518717A (en) * 2005-11-30 2009-05-07 フリースケール セミコンダクター インコーポレイテッド Method and program product for protecting information in EDA tool design view

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2004001634A1 (en) * 2002-06-25 2003-12-31 Primegate Limited Logic verifying system, logic verifying method, computer program, and recording medium
JP2006038629A (en) * 2004-07-27 2006-02-09 Fujitsu Ltd Method, device, and program for compounding memory test pattern
JP2008535077A (en) * 2005-03-31 2008-08-28 エヌエックスピー ビー ヴィ Number multiplier signed in canonical form
US8046401B2 (en) 2005-03-31 2011-10-25 Nxp B.V. Canonical signed digit multiplier
JP2009518717A (en) * 2005-11-30 2009-05-07 フリースケール セミコンダクター インコーポレイテッド Method and program product for protecting information in EDA tool design view
JP2009055086A (en) * 2007-08-23 2009-03-12 Ricoh Co Ltd Image processor and processing method

Similar Documents

Publication Publication Date Title
KR102083917B1 (en) Method and apparatus for processing audio data
US8000951B2 (en) Timing analysis method and apparatus for enhancing accuracy of timing analysis and improving work efficiency thereof
JP3346982B2 (en) Apparatus and method for generating layout of integrated circuit
JPH10187767A (en) Parameterized hdl describing method, logic synthesizer, and medium recording logic synthesizing program
JP2004246440A (en) Morphemic analyzing device, natural language processor, and morphemic analyzing method and program
JP4355525B2 (en) Verification support method, verification support program, and verification support apparatus
JP2005031259A (en) Natural language processing method
WO2020105156A1 (en) Scenario generation device, scenario generation method, and scenario generation program
US20070250803A1 (en) High-level synthesis method and high-level synthesis system
JP5206063B2 (en) Description processing apparatus, description processing method, and program
JP5935563B2 (en) Design support apparatus, design support method, and program
US6237125B1 (en) High-level synthesis method including processing for optimizing arithmetic sequence of an operation string
JPH10240576A (en) Verification device and method
JP2921484B2 (en) Logic circuit modification method
JPH09251483A (en) Cell library production method
JP2835082B2 (en) Logic circuit synthesizer
JP2001142921A (en) Method for quickly extracting limit between functional blocks and recording medium with recorded program for quickly extracting limit between functional blocks
CN115985329A (en) Method and system for adding and extracting audio hidden watermark
JP3113594B2 (en) Logic design optimization apparatus and method
JPH03180960A (en) Batch file forming system
JP2874031B2 (en) Redesign method of combinational circuit
KR20020036471A (en) Method for making VHDL codes with flow chart conversion from IP interface waveform
Stroud KSL: University of Kentucky Simulation Language
JP2008129921A (en) Logic function verification apparatus and logic function verification method
JPH1139360A (en) Logic synthesis supporting method/system

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20040302