JPH09246347A - Multichamber wafer treatment system - Google Patents

Multichamber wafer treatment system

Info

Publication number
JPH09246347A
JPH09246347A JP4489696A JP4489696A JPH09246347A JP H09246347 A JPH09246347 A JP H09246347A JP 4489696 A JP4489696 A JP 4489696A JP 4489696 A JP4489696 A JP 4489696A JP H09246347 A JPH09246347 A JP H09246347A
Authority
JP
Japan
Prior art keywords
chamber
wafer
lock chamber
load lock
load
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP4489696A
Other languages
Japanese (ja)
Other versions
JP2937846B2 (en
Inventor
Takeshi Jinbo
毅 神保
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to JP8044896A priority Critical patent/JP2937846B2/en
Publication of JPH09246347A publication Critical patent/JPH09246347A/en
Application granted granted Critical
Publication of JP2937846B2 publication Critical patent/JP2937846B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

PROBLEM TO BE SOLVED: To obtain a low-priced wafer conveying device of a multichamber wafer treatment system in which a throughput is improved and an excellent surface treatment can be conducted. SOLUTION: A load lock chamber 8 is composed of the first load lock chamber 31 to be connected to a load station and the second load lock chamber 32 to be connected to a transfer chamber. These load lock chambers 31 and 32 can be isolated or communicated with each other by a stage 40 where a sheet of wafer 3 is mounted. The first load lock chamber 31 is formed in small cubic volume, and the second load lock chamber 32 is formed in a high vacuum state. A degas heater 54 is provided on the load lock chamber 8. As the second load lock chamber 32 is a double vacuum chamber, the entering of atmospheric air into a transfer chamber is greatly reduced, and as degassing is performed in the treatment time of the process chamber, a throughput is not decreased and gas contamination is not generated.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【発明の属する技術分野】本発明は、マルチチャンバウ
ェハ処理システムに関し、特にそのウェハ搬送装置に関
する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a multi-chamber wafer processing system, and more particularly to a wafer transfer apparatus for the same.

【0002】[0002]

【従来の技術】一般に、マルチチャンバウェハ処理シス
テムの中には、ウェハ搬送装置として、ウェハを収納し
たウェハカセットを配置するロードステーションと、ウ
ェハに薄膜形成等の表面処理を行う複数のプロセスチャ
ンバを隣設し、ウェハの搬送を行うトランスファロボッ
トを具備したトランスファチャンバと、ロードステーシ
ョンとトランスファチャンバとの間に配置され、ロード
ステーションから受け取ったウェハをトランスファロボ
ットに受け渡すロードロックチャンバを備えたものが知
られている。
2. Description of the Related Art Generally, a multi-chamber wafer processing system includes, as a wafer transfer device, a load station for arranging a wafer cassette containing a wafer and a plurality of process chambers for performing surface treatment such as thin film formation on the wafer. There is a transfer chamber equipped with a transfer robot which is adjacent to the transfer chamber and which transfers the wafer, and a load lock chamber which is arranged between the load station and the transfer chamber and transfers the wafer received from the load station to the transfer robot. Are known.

【0003】従来、このようなマルチチャンバウェハ処
理システムのウェハ搬送装置において、ロードステーシ
ョンからプロセスチャンバにウェハを搬送するには、例
えば25枚のウェハを収納したウェハカセットをロード
ステーション内に用意する。そして、ロードステーショ
ンに設けたロードステーションロボットにより、そのウ
ェハカセットからロードロックチャンバ内に用意したウ
ェハカセットに一枚ずつ25枚全てのウェハを搬送す
る。その後、ロードロックチャンバ内を10ー2〜10ー3
Torr程度の真空状態としてから、トランスファロボット
によりロードロックチャンバとは別個に設けたウェハの
デガス用チャンバにウェハを一枚ずつ搬送し、デガスを
行った後、各プロセスチャンバにウェハを搬送してい
る。
Conventionally, in a wafer transfer apparatus of such a multi-chamber wafer processing system, in order to transfer a wafer from a load station to a process chamber, a wafer cassette containing, for example, 25 wafers is prepared in the load station. Then, a load station robot provided in the load station transfers all 25 wafers one by one from the wafer cassette to the wafer cassette prepared in the load lock chamber. After that, the inside of the load lock chamber is 10 -2 to 10 -3.
After the vacuum state of about Torr, the transfer robot transfers the wafers one by one to the degas chamber of the wafer provided separately from the load lock chamber, and after degassing, transfers the wafer to each process chamber. .

【0004】[0004]

【発明が解決しようとする課題】上記従来のウェハ搬送
装置では、ロードロックチャンバは、真空室が一室で構
成され、25枚のウェハを一枚ずつ全てロードロックチ
ャンバに搬送することができる容積となっている。ま
た、ロードロックチャンバには、ロードロックチャンバ
内を10ー2〜10ー3Torr程度に排気するラフポンプが接
続されている。さらに、ウェハは、ロードロックチャン
バからトランスファチャンバを経てデガス用チャンバに
搬送され、デガスを行った後、このデガス用チャンバか
らトランスファチャンバを経てプロセスチャンバに搬送
される構成となっている。
In the conventional wafer transfer apparatus described above, the load lock chamber has a single vacuum chamber, and has a volume capable of transferring all 25 wafers to the load lock chamber one by one. Has become. In addition, the load lock chamber, the rough pump for exhausting the load lock chamber to about 10-2 2-10 over 3 Torr is connected. Further, the wafer is transferred from the load lock chamber to the degas chamber via the transfer chamber, degassed, and then transferred from the degas chamber to the process chamber via the transfer chamber.

【0005】したがって、ロードステーションからプロ
セスチャンバにウェハを搬送するのに、プロセスチャン
バでの処理時間よりも長時間を要し、スループットが悪
かった。また、トランスファチャンバ内にガスコンタミ
ネーションを生じ、それがプロセスチャンバ内へ影響し
て良好な表面処理を行うことができなかった。
Therefore, it takes longer than the processing time in the process chamber to transfer the wafer from the load station to the process chamber, and the throughput is poor. In addition, gas contamination occurs in the transfer chamber, which affects the inside of the process chamber to prevent good surface treatment.

【0006】さらに、ロードロックチャンバとデガス用
チャンバとは別個に設けられているので、ロードロック
チャンバの排気系とは別個にデガス用チャンバ独自の排
気系を設けなければならず、マルチチャンバウェハ処理
システムが高価になるという問題があった。
Further, since the load lock chamber and the degas chamber are provided separately, an exhaust system unique to the degas chamber must be provided separately from the exhaust system of the load lock chamber, and the multi-chamber wafer processing is performed. There was a problem that the system became expensive.

【0007】本発明は、かかる従来の問題点に鑑みてな
されたもので、スループットが向上し、良好な表面処理
を行うことができる安価なマルチチャンバウェハ処理シ
ステムを提供することを目的とする。
The present invention has been made in view of the above conventional problems, and an object of the present invention is to provide an inexpensive multi-chamber wafer processing system capable of improving throughput and performing good surface processing.

【0008】[0008]

【課題を解決するための手段】上記課題を解決するため
に、本発明は、ウェハを収納したウェハカセットを配置
するロードステーションと、ウェハに所定の処理を行う
プロセスチャンバを隣設するとともに、ウェハの搬送を
行うトランスファロボットを具備したトランスファチャ
ンバと、ロードステーションとトランスファチャンバと
の間に配置され、ロードステーションから受け取ったウ
ェハをトランスファロボットに受け渡すロードロックチ
ャンバとを備えたマルチチャンバウェハ処理システムの
ウェハ搬送装置において、ロードロックチャンバの真空
室をロードステーションに接続される第1のロードロッ
クチャンバとトランスファチャンバに接続される第2の
ロードロックチャンバとに分割構成するとともに、第1
のロードロックチャンバと第2のロードロックチャンバ
とを遮断および連通可能とし、第1のロードロックチャ
ンバを第2のロードロックチャンバより小さな容積に形
成し、第2のロードロックチャンバを第1のロードロッ
クチャンバより高真空とし、搭載した一枚のウェハを第
1のロードロックチャンバから第2のロードロックチャ
ンバに移動するステージを設け、ロードロックチャンバ
にウェハのデガスを行うデガスヒータを設けた。
In order to solve the above problems, the present invention provides a load station for arranging a wafer cassette accommodating wafers, a process chamber for performing a predetermined process on the wafer, and a wafer. Of a multi-chamber wafer processing system including a transfer chamber equipped with a transfer robot that carries the wafer, and a load lock chamber that is arranged between the load station and the transfer chamber and transfers the wafer received from the load station to the transfer robot. In the wafer transfer apparatus, the vacuum chamber of the load lock chamber is divided into a first load lock chamber connected to the load station and a second load lock chamber connected to the transfer chamber.
Of the load lock chamber and the second load lock chamber can be blocked and communicated with each other, the first load lock chamber is formed to have a smaller volume than the second load lock chamber, and the second load lock chamber is set to the first load lock chamber. The vacuum was set higher than that of the lock chamber, a stage for moving one mounted wafer from the first load lock chamber to the second load lock chamber was provided, and a degas heater for degassing the wafer was provided in the load lock chamber.

【0009】本発明のマルチチャンバウェハ処理システ
ムのウェハ搬送装置によれば、ロードステーションから
一枚のウェハをロードロックチャンバ内のステージで受
け取り、容積の小さな第1のロードロックチャンバで粗
く排気する。次に、第1のロードロックチャンバと常時
高真空に排気している第2のロードロックチャンバとを
連通するとともに、ウェハを第2のロードロックチャン
バに移動させ、デガスヒータを作動させてウェハ表面上
のアウトガスのデガスを行う。その後、デガスを終了し
たウェハをトランスファロボットに受け渡し、トランス
ファロボットはウェハをプロセスチャンバに搬送する。
According to the wafer transfer apparatus of the multi-chamber wafer processing system of the present invention, one wafer is received from the load station by the stage in the load lock chamber and is roughly exhausted by the first load lock chamber having a small volume. Next, the first load lock chamber and the second load lock chamber, which is constantly evacuated to a high vacuum, are communicated with each other, the wafer is moved to the second load lock chamber, and the degas heater is operated to operate on the wafer surface. Degas outgassing. After that, the degassed wafer is transferred to the transfer robot, and the transfer robot transfers the wafer to the process chamber.

【0010】プロセスチャンバでウェハの表面処理を行
っている間に、次に搬送するウェハのデガスは終了す
る。また、トランスファチャンバ内へウェハを搬送する
前にデガスは終了している。したがって、スループット
が向上し、トランスファチャンバ側へのガスコンタミネ
ーションがなくなり、良好な表面処理を行うことができ
る。
During the surface treatment of the wafer in the process chamber, the degassing of the next wafer to be transferred is completed. Also, the degas is completed before the wafer is transferred into the transfer chamber. Therefore, the throughput is improved, gas contamination on the transfer chamber side is eliminated, and good surface treatment can be performed.

【0011】また、本発明のマルチチャンバウェハ処理
システムは、大気圧の圧力レベルの下に複数枚のウェハ
を収納するためのウェハカセットが設けられるロードス
テーションと、ロードステーションと選択的に通気状態
または非通気状態となるように設けられた、一枚のウェ
ハを収納するに必要な容積を有する第1のロードロック
チャンバと、第1のロードロックチャンバを第1の圧力
レベルまで減圧するための第1の排気手段と、第1のロ
ードロックチャンバと選択的に通気状態または非通気状
態となるように設けられた、第2のロードロックチャン
バと、第2のロードロックチャンバを第1の圧力レベル
より真空度の高い第2の圧力レベルまで減圧するための
第2の排気手段と、第2のロードロックチャンバと選択
的に通気状態または非通気状態となるように設けられ、
第2のロードロックチャンバから転送されてくるウェハ
を所要のチャンバに転送するためのトランスファチャン
バと、トランスファチャンバと選択的に通気状態または
非通気状態となるように設けられ、トランスファチャン
バから転送されてくるウェハに対して所要の処理を行う
プロセスチャンバとを備え、ロードステーションと第1
のロードロックチャンバとの間のウェハの転送およびチ
ャンバ間のウェハの転送はウェハ一枚ずつ行われること
を特徴とする。
In the multi-chamber wafer processing system of the present invention, a load station provided with a wafer cassette for accommodating a plurality of wafers under a pressure level of atmospheric pressure, and a load station selectively ventilated or A first load-lock chamber provided so as to be in a non-vented state and having a volume necessary to accommodate one wafer, and a first load-lock chamber for reducing the pressure of the first load-lock chamber to a first pressure level. A first load lock chamber, a second load lock chamber and a second load lock chamber, the second load lock chamber and the second load lock chamber being provided so as to be selectively ventilated or non-ventilated with the first load lock chamber; Second evacuation means for reducing the pressure to a higher vacuum second pressure level, and a second load lock chamber and selectively vented or Provided so as to be non-breathable condition,
A transfer chamber for transferring the wafer transferred from the second load lock chamber to a required chamber, and a transfer chamber provided selectively in a vented state or a non-vented state, and transferred from the transfer chamber. A load chamber and a process chamber for performing a required process on the incoming wafer.
The transfer of wafers to and from the load lock chamber and the transfer of wafers between chambers are performed one by one.

【0012】マルチチャンバウェハ処理システムにおけ
るウェハ搬入方法は、大気圧の圧力レベルの下に複数枚
のウェハを収納するためのウェハカセットが設けられる
ロードステーションから、ロードステーションと選択的
に通気状態または非通気状態となるように設けられた、
一枚のウェハを収納するのに必要な容積を有する第1の
ロードロックチャンバへ一枚のウェハを転送し、第1の
ロードロックチャンバを第1の圧力レベルまで減圧し、
第1のロードロックチャンバから、第1のロードロック
チャンバと選択的に通気状態または非通気状態となるよ
うに設けられるとともに、第1の圧力レベルより真空度
の高い第2の圧力レベルまで減圧された第2のロードロ
ックチャンバへ、一枚のウェハを転送し、第2のロード
ロックチャンバから、第2のロードロックチャンバと選
択的に通気状態または非通気状態となるように設けられ
るとともに、第2の圧力レベルより真空度の高い第3の
圧力レベルまで減圧されたトランスファチャンバへ、一
枚のウェハを転送し、第2のロードロックチャンバとト
ランスファチャンバとの間を非通気状態とし、トランス
ファチャンバから、トランスファチャンバと選択的に通
気状態または非通気状態となるように設けられたプロセ
スチャンバへ、一枚のウェハを転送することを特徴とす
る。
The wafer loading method in the multi-chamber wafer processing system is such that the load station is selectively ventilated or not ventilated from a load station provided with a wafer cassette for accommodating a plurality of wafers under a pressure level of atmospheric pressure. It was provided so that it could be ventilated.
Transferring the one wafer to a first load lock chamber having a volume necessary to accommodate the one wafer and depressurizing the first load lock chamber to a first pressure level;
The first load lock chamber is provided so as to be selectively ventilated or non-ventilated with the first load lock chamber and is depressurized to a second pressure level having a higher vacuum level than the first pressure level. A single wafer is transferred to the second load-lock chamber, and the second load-lock chamber is selectively ventilated or non-vented from the second load-lock chamber. A single wafer is transferred to a transfer chamber which is depressurized to a third pressure level having a higher vacuum level than the second pressure level, and a non-ventilated state is provided between the second load lock chamber and the transfer chamber. To a process chamber that is selectively vented or unvented with the transfer chamber. And wherein the transfer of the wafer.

【0013】マルチチャンバウェハ処理システムにおけ
るウェハ搬出方法は、トランスファチャンバとロードス
テーションとの間に配置されるアンロードロックチャン
バを第1の圧力レベルとされる第1のアンロードロック
チャンバと第2の圧力レベルとされる第2のアンロード
ロックチャンバとで構成し、プロセスチャンバから、プ
ロセスチャンバと選択的に通気状態または非通気状態と
なるように設けられたトランスファチャンバへ一枚のウ
ェハを転送し、プロセスチャンバとトランスファチャン
バとの間を非通気状態とし、トランスファチャンバか
ら、トランスファチャンバと選択的に通気状態または非
通気状態となるように設けられるとともに、トランスフ
ァチャンバ内の圧力レベルより真空度の低い第2の圧力
レベルまで減圧された第2のアンロードロックチャンバ
へ、一枚のウェハを転送し、第2のアンロードロックチ
ャンバから、第2のアンロードロックチャンバと選択的
に通気状態または非通気状態となるように設けられた第
1のアンロードロックチャンバへ、一枚のウェハを転送
し、第1のアンロードロックチャンバと第2のアンロー
ドロックチャンバとの間を非通気状態とし、第1のアン
ロードロックチャンバの第1の圧力レベルを大気圧の圧
力レベルまで昇圧し、第1のアンロードロックチャンバ
から、第1のアンロードロックチャンバと選択的に通気
状態または非通気状態となるように設けられたロードス
テーションへ、一枚のウェハを転送することを特徴とす
る。
A wafer unloading method in a multi-chamber wafer processing system includes a first unload lock chamber and a second unload lock chamber in which an unload lock chamber disposed between a transfer chamber and a load station has a first pressure level. A second unload lock chamber at a pressure level and transfers a single wafer from the process chamber to a transfer chamber that is selectively vented or unvented with the process chamber A non-ventilated state between the process chamber and the transfer chamber is provided such that the transfer chamber is selectively ventilated or non-ventilated from the transfer chamber, and the degree of vacuum is lower than the pressure level in the transfer chamber. Reduced to a second pressure level A single wafer was transferred to the second unload lock chamber and provided so as to be selectively vented or unvented from the second unload lock chamber to the second unload lock chamber. One wafer is transferred to the first unload lock chamber, the first unload lock chamber and the second unload lock chamber are not vented, and the first unload lock chamber 1 pressure level to atmospheric pressure level and from the first unload lock chamber to a load station provided to be selectively vented or unvented with the first unload lock chamber , One wafer is transferred.

【0014】また、本発明のマルチチャンバウェハ処理
システムは、大気圧の圧力レベルの下に複数枚のウェハ
を収納するためのウェハカセットが設けられるロードス
テーションと、ロードステーションと選択的に通気状態
または非通気状態となるように設けられ、ロードステー
ションから転送されてくる一枚のウェハを収容するため
のロードロックチャンバと、ロードロックチャンバを大
気圧より真空度の高い圧力レベルまで減圧するための排
気手段と、ロードロックチャンバ内に収容されたウェハ
に対してデガス処理を行うデガス手段と、ロードロック
チャンバと選択的に通気状態または非通気状態となるよ
うに設けられ、ロードロックチャンバから転送されてく
る一枚のウェハを所要のチャンバに転送するためのトラ
ンスファチャンバと、トランスファチャンバと選択的に
通気状態または非通気状態となるように設けられ、トラ
ンスファチャンバから転送されてくる一枚のウェハに対
してい所要の処理を行うプロセスチャンバとを備えたこ
とを特徴とする。
In the multi-chamber wafer processing system of the present invention, the load station is provided with a wafer cassette for accommodating a plurality of wafers under a pressure level of atmospheric pressure, and the load station is selectively ventilated or A load-lock chamber that is installed so that it is not ventilated and that accommodates a single wafer transferred from the load station, and exhaust to decompress the load-lock chamber to a pressure level higher than atmospheric pressure. Means, degassing means for degassing the wafer contained in the load lock chamber, and a degassing means selectively provided with the load lock chamber so as to be in a vented state or a non-vented state. Transfer chamber to transfer a single wafer to the required chamber , A transfer chamber and a process chamber which is provided so as to selectively be in a vented state or a non-vented state and which performs a required process on one wafer transferred from the transfer chamber. .

【0015】このマルチチャンバウェハ処理システムに
おけるウェハ搬入方法は、大気圧の圧力レベルの下に複
数枚のウェハを収納するためのウェハカセットが設けら
れるロードステーションから、ロードステーションと選
択的に通気状態または非通気状態となるように設けられ
た、一枚のウェハを収納するのに必要な容積を有するロ
ードロックチャンバへ一枚のウェハを転送し、ロードス
テーションとロードロックチャンバとの間を非通気状態
とし、ロードロックチャンバを大気圧の圧力レベルより
真空度の高い第1の圧力レベルまで減圧し、ロードロッ
クチャンバ内のウェハに対し、デガス処理を行い、ロー
ドロックチャンバから、ロードロックチャンバと選択的
に通気状態または非通気状態となるように設けられると
ともに、第1の圧力レベルより真空度の高い第2の圧力
レベルまで減圧されたトランスファチャンバへ、一枚の
ウェハを転送し、ロードロックチャンバとトランスファ
チャンバとの間を非通気状態とし、トランスファチャン
バから、トランスファチャンバと選択的に通気状態また
は非通気状態となるように設けられたプロセスチャンバ
へ、一枚のウェハを転送することを特徴とする。
The wafer loading method in this multi-chamber wafer processing system is such that the load station is selectively ventilated from the load station where a wafer cassette for storing a plurality of wafers is provided under a pressure level of atmospheric pressure. Transfer one wafer to a load lock chamber that has a volume necessary to store one wafer and that is provided so as to be non-vented, and perform non-ventilation between the load station and the load lock chamber. Then, the load lock chamber is depressurized to a first pressure level having a higher vacuum level than the atmospheric pressure level, the wafer in the load lock chamber is degassed, and the load lock chamber is selectively connected to the load lock chamber. To be vented or non-ventilated, and Transfer a single wafer to a transfer chamber that has been depressurized to a second pressure level that is higher than the vacuum level, and make a non-ventilated state between the load lock chamber and the transfer chamber, and then select the transfer chamber from the transfer chamber. One of the wafers is transferred to a process chamber provided so as to be in a vented state or a non-vented state.

【0016】[0016]

【発明の実施の形態】図2は、本実施形態のウェハ搬送
装置1を備えたマルチチャンバ型のスパッタリング装置
2を平面的に示す概略構成図である。ウェハ搬送装置1
は、ウェハ3を収納したウェハカセット4を複数配置し
たロードステーション5と、ウェハ3に薄膜を形成する
プロセスチャンバ6を複数隣設したトランスファチャン
バ7と、ロードステーション5とトランスファチャンバ
7との間にそれぞれ配置されたロードロックチャンバ8
およびアンロードロックチャンバ9とから概略構成され
ている。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS FIG. 2 is a schematic configuration diagram showing a plan view of a multi-chamber type sputtering apparatus 2 provided with a wafer transfer apparatus 1 of this embodiment. Wafer transfer device 1
Between a load station 5 in which a plurality of wafer cassettes 4 containing the wafers 3 are arranged, a transfer chamber 7 in which a plurality of process chambers 6 for forming a thin film on the wafer 3 are adjacently provided, and between the load station 5 and the transfer chamber 7. Load lock chambers 8 arranged respectively
And an unload lock chamber 9.

【0017】ロードステーション5の中央部には、オリ
エンテーションフラットが形成されているウェハ3の位
置決めを行うオリエンタ11が配置されている。ロード
ステーション5におけるオリエンタ11の両側には、そ
れぞれ未処理のウェハ3を25枚収納したウェハカセッ
ト4が複数配置されている。一方、ロードステーション
5のロードロックチャンバ8およびアンロードロックチ
ャンバ9側には、複数のウェハカセット4が配置された
方向(ロードステーション5の長手方向)に沿って形成
された案内部12に案内されながら移動し、ウェハ3の
搬送を行うロードステーションロボット13が設けられ
ている。ロードステーションロボット13は、遠隔操作
により伸縮自在のリンク機構13aを備え、そのリンク
機構13aの先端には、ウェハ3を水平に搭載する細長
い平板状のブレード13bが取り付けられている。
At the center of the load station 5, an orienter 11 for positioning the wafer 3 having an orientation flat is arranged. On both sides of the orienter 11 in the load station 5, a plurality of wafer cassettes 4 each containing 25 unprocessed wafers 3 are arranged. On the other hand, the load lock chamber 8 and the unload lock chamber 9 of the load station 5 are guided by a guide portion 12 formed along the direction in which the plurality of wafer cassettes 4 are arranged (longitudinal direction of the load station 5). A load station robot 13 that moves while carrying the wafer 3 is provided. The load station robot 13 is provided with a link mechanism 13a that can be expanded and contracted by remote control, and an elongated flat blade 13b for horizontally mounting the wafer 3 is attached to the tip of the link mechanism 13a.

【0018】ロードロックチャンバ8およびアンロード
ロックチャンバ9は、それぞれ大気圧の圧力レベルであ
るロードステーション5に連結されるとともに、10ー7
〜10ー8Torr程度に排気されるトランスファチャンバ7
にスリットバルブ20を介して連結されている。スリッ
トバルブ20は、ロードロックチャンバ8およびアンロ
ードロックチャンバ9とトランスファチャンバ7とを連
通したり、気密に遮断したりすることができるようにな
っている。
The load lock chamber 8 and the unload lock chamber 9 are connected to the load station 5, which has a pressure level of atmospheric pressure, and are connected to the load lock chamber 10-7.
Transfer chamber 7 is evacuated to about 10 over 8 Torr
To the slit valve 20. The slit valve 20 can communicate the load lock chamber 8 and the unload lock chamber 9 with the transfer chamber 7 or can shut off the gas tightly.

【0019】トランスファチャンバ7には、ウェハ3の
搬送を行うトランスファロボット21が設けられてい
る。トランスファロボット21は、トランスファチャン
バ7の中心に設置された支持軸21aと、この支持軸2
1aの外周に設けられた伸縮自在のリンク機構21b
と、リンク機構21bの先端で水平に支持された細長い
平板状のブレード21cとを備えている。ブレード21
cは、リンク機構21bを遠隔操作することで、支持軸
21aを中心として旋回および径方向に前後動し、その
先端部をロードロックチャンバ8、プロセスチャンバ6
およびアンロードロックチャンバ9内にそれぞれ差し入
れることができ、ウェハ3を搬送することができるよう
になっている。
The transfer chamber 7 is provided with a transfer robot 21 for carrying the wafer 3. The transfer robot 21 includes a support shaft 21 a installed at the center of the transfer chamber 7 and the support shaft 2 a.
A telescopic link mechanism 21b provided on the outer periphery of 1a
And a slender flat blade 21c horizontally supported by the tip of the link mechanism 21b. Blade 21
By remotely operating the link mechanism 21b, c rotates and moves back and forth about the support shaft 21a in the radial direction, and the tip end thereof is loaded into the load lock chamber 8 and the process chamber 6.
And the unload lock chamber 9, and the wafer 3 can be transferred.

【0020】トランスファチャンバ7の周囲には、複数
(本実施形態では5個)のプロセスチャンバ6がそれぞ
れスリットバルブ20を介して連結されている。本実施
形態におけるプロセスチャンバ6は、スパッタリングに
よりウェハ3に薄膜形成の処理を行うもので、10ー9To
rr程度に排気される。スリットバルブ20は、プロセス
チャンバ6とトランスファチャンバ7とを連通したり、
気密に遮断したりすることができるようになっている。
A plurality of (five in the present embodiment) process chambers 6 are connected around the transfer chamber 7 via slit valves 20, respectively. The process chamber 6 in the present embodiment performs a thin film forming process on the wafer 3 by sputtering, and it is 10 −9 To
Exhausted to about rr. The slit valve 20 connects the process chamber 6 and the transfer chamber 7,
It can be shut off airtightly.

【0021】ロードロックチャンバ8は、図1〜図7に
示すように構成されている。図1は図3におけるIーI
線断面矢視図、図3はロードロックチャンバ8の平面
図、図4は図3におけるIVーIV線断面矢視図、図5
は図3におけるV−V線断面矢視図、図6および図7は
それぞれロードロックチャンバ8の動作状態を示す縦断
面図である。
The load lock chamber 8 is constructed as shown in FIGS. FIG. 1 shows I-I in FIG.
5 is a plan view of the load lock chamber 8, FIG. 4 is a cross-sectional view taken along the line IV-IV in FIG. 3, and FIG.
Is a sectional view taken along the line V-V in FIG. 3, and FIGS. 6 and 7 are vertical sectional views showing the operating state of the load lock chamber 8.

【0022】ロードロックチャンバ8の真空室30は、
ロードステーション5に接続される第1のロードロック
チャンバ(第1の真空室)31と、トランスファチャン
バ7にスリットバルブ20を介して接続される第2のロ
ードロックチャンバ(第2の真空室)32とに分割構成
されている。第1のロードロックチャンバ31は、第2
のロードロックチャンバ32の上部に位置し、第2のロ
ードロックチャンバ32より小さな容積に形成されてい
る。すなわち、第1のロードロックチャンバ31は、ウ
ェハ3を一枚分収納することができ、短時間に減圧する
ことができるように、0.5〜0.8リットル程度の容
積に形成されている。また、第1のロードロックチャン
バ31には、図1に示すように、第2のロードロックチ
ャンバ32の真空容器32aの側壁に形成した排気管路
33を介してラフポンプ34が接続されており、第1の
ロードロックチャンバ31を50mTorr程度まで真空排
気することができるようになっている。
The vacuum chamber 30 of the load lock chamber 8 is
A first load lock chamber (first vacuum chamber) 31 connected to the load station 5, and a second load lock chamber (second vacuum chamber) 32 connected to the transfer chamber 7 via a slit valve 20. It is divided into two parts. The first load lock chamber 31 has a second
Is located above the load lock chamber 32 and has a smaller volume than the second load lock chamber 32. That is, the first load lock chamber 31 can store one wafer 3 and is formed to have a volume of about 0.5 to 0.8 liters so that the pressure can be reduced in a short time. . Further, as shown in FIG. 1, a rough pump 34 is connected to the first load lock chamber 31 via an exhaust pipe line 33 formed on the side wall of the vacuum container 32a of the second load lock chamber 32, The first load lock chamber 31 can be evacuated to about 50 mTorr.

【0023】一方、第2のロードロックチャンバ32
は、約10リットルの容積に形成されている。第2のロ
ードロックチャンバ32には、真空容器32aの底板に
設けた開口部35を介してターボポンプ36およびラフ
ポンプ37がそれぞれ接続されており、第2のロードロ
ックチャンバ32を10ー6Torr程度まで真空排気するこ
とができるようになっている。
On the other hand, the second load lock chamber 32
Has a volume of about 10 liters. The second load lock chamber 32, a turbo pump 36 and the rough pump 37 is connected through an opening 35 provided in the bottom plate of the vacuum vessel 32a, a second load lock chamber 32 of about 10 @ 6 Torr It can be evacuated to.

【0024】第1のロードロックチャンバ31と第2の
ロードロックチャンバ32とは、ウェハ3を一枚だけ搭
載することができるように形成されたディスク状のステ
ージ40により遮断および連通可能に構成されている。
すなわち、第1のロードロックチャンバ31と第2のロ
ードロックチャンバ32とは、円形の開口部38により
連通して構成されており、この開口部38をステージ4
0で気密に塞ぐことにより両ロードロックチャンバ3
1、32を遮断することができるようになっている。
The first load-lock chamber 31 and the second load-lock chamber 32 are constructed so that they can be shut off and communicated with each other by a disc-shaped stage 40 formed so that only one wafer 3 can be mounted. ing.
That is, the first load lock chamber 31 and the second load lock chamber 32 are configured to communicate with each other through the circular opening 38, and this opening 38 is connected to the stage 4
Both load lock chambers 3 by airtightly closing with 0
It is possible to cut off 1 and 32.

【0025】ステージ40の下面中央部には、第2のロ
ードロックチャンバ32の底面に取り付けられたステー
ジ駆動装置41の上下駆動部41aの上端が固着されて
おり、ステージ40は上下駆動部41aの上下動に伴っ
て移動される。このステージ40の上下動により、第1
のロードロックチャンバ31と第2のロードロックチャ
ンバ32とを遮断および連通することができるととも
に、ステージ40上の突起40aで支持したウェハ3を
第1のロードロックチャンバ31から第2のロードロッ
クチャンバ32に移動させることができるようになって
いる。
The upper end of the vertical drive unit 41a of the stage drive device 41 attached to the bottom surface of the second load lock chamber 32 is fixed to the central portion of the lower surface of the stage 40. Moved along with vertical movement. By the vertical movement of the stage 40, the first
Load lock chamber 31 and the second load lock chamber 32 can be blocked and communicated with each other, and the wafer 3 supported by the projection 40a on the stage 40 can be transferred from the first load lock chamber 31 to the second load lock chamber 31. It can be moved to 32.

【0026】第1のロードロックチャンバ31の真空容
器31aの上板の一部には、円形の開口部39が形成さ
れており、この開口部39は、石英からなる透明な円板
状の窓51により気密に閉塞されている。窓51上に
は、リング部材52を介して逆有底円筒状のカバー53
が設けられている。そして、窓51とリング部材52と
カバー53とで形成される密閉空間には、ウェハ3のデ
ガス用のデガスヒータ54が配設されている。このデガ
スヒータ54は、ハロゲンランプからなり、ランプ加熱
方式となっている。
A circular opening 39 is formed in a part of the upper plate of the vacuum container 31a of the first load lock chamber 31, and the opening 39 is a transparent disk-shaped window made of quartz. It is airtightly closed by 51. On the window 51, a cylindrical cover 53 having an inverted bottom is provided via a ring member 52.
Is provided. A degas heater 54 for degassing the wafer 3 is arranged in a closed space formed by the window 51, the ring member 52, and the cover 53. The degas heater 54 is a halogen lamp and has a lamp heating system.

【0027】第1のロードロックチャンバ31の真空容
器31a、窓51、リング部材52、カバー53および
デガスヒータ54によりロードロックカバーユニット5
0が構成されている。このロードロックカバーユニット
50は、ステージ駆動装置41の下部に設けられたユニ
ット駆動装置60により上下に駆動される。すなわち、
図4に示すように、ユニット駆動装置60の上下駆動軸
60aの下端には、水平軸61が連結されている。水平
軸61の両端には、それぞれ垂直方向に延在する2本の
支持軸62の下端が固着されている。これら支持軸62
は、第2のロードロックチャンバ32の真空容器32a
壁内に設けられた上下の各スライダ63を貫通し、支持
軸62の上端は、第1のロードロックチャンバ31の真
空容器31aの下面に固着されている。これにより、ロ
ードロックカバーユニット50全体は、支持軸62に支
持され、第2のロードロックチャンバ32と分離して上
下に移動できるようになっている。
The load lock cover unit 5 is constituted by the vacuum container 31a of the first load lock chamber 31, the window 51, the ring member 52, the cover 53 and the degas heater 54.
0 is configured. The load lock cover unit 50 is vertically driven by a unit driving device 60 provided below the stage driving device 41. That is,
As shown in FIG. 4, a horizontal shaft 61 is connected to the lower end of the vertical drive shaft 60a of the unit drive device 60. The lower ends of two support shafts 62 extending in the vertical direction are fixed to both ends of the horizontal shaft 61. These support shafts 62
Is the vacuum container 32a of the second load lock chamber 32.
The upper and lower ends of the support shaft 62 pass through the upper and lower sliders 63 provided in the wall, and are fixed to the lower surface of the vacuum container 31 a of the first load lock chamber 31. As a result, the entire load lock cover unit 50 is supported by the support shaft 62 and can be moved up and down separately from the second load lock chamber 32.

【0028】ロードロックカバーユニット50の上動に
より、ウェハ3をステージ40に搭載できるようにな
る。ロードステーション5からのウェハ3の搬送レベル
Aは、図6に示すように、開口部38を閉塞するように
移動したステージ40の突起40aのやや上方位置とな
る。また、図5から図7に示すように、第2のロードロ
ックチャンバ32の真空容器32aの側壁には、スリッ
トバルブ20を介してトランスファチャンバ7へウェハ
3を搬送するための出入口32bが形成されており、こ
の出入口32bの位置がトランスファチャンバ7へのウ
ェハ3の搬送レベルBとなる。
By moving the load lock cover unit 50 upward, the wafer 3 can be mounted on the stage 40. The transfer level A of the wafer 3 from the load station 5 is, as shown in FIG. 6, slightly above the protrusion 40a of the stage 40 that has moved so as to close the opening 38. Further, as shown in FIGS. 5 to 7, an inlet / outlet port 32b for transferring the wafer 3 to the transfer chamber 7 via the slit valve 20 is formed on the side wall of the vacuum container 32a of the second load lock chamber 32. Therefore, the position of the entrance / exit 32b becomes the transfer level B of the wafer 3 to the transfer chamber 7.

【0029】図8はアンロードロックチャンバ9の縦断
面図である。
FIG. 8 is a vertical sectional view of the unload lock chamber 9.

【0030】図8において、アンロードロックチャンバ
9は、ロードロックチャンバ8と同様に、真空室70
が、ロードステーション5に接続される第1のアンロー
ドロックチャンバ(第1の真空室)71と、トランスフ
ァチャンバ7にスリットバルブ20を介して接続される
第2のアンロードロックチャンバ(第2の真空室)72
とに分割構成されている。第1のアンロードロックチャ
ンバ71は、第2のアンロードロックチャンバ72の上
部に位置し、第2のアンロードロックチャンバ72より
小さな容積に形成されている。すなわち、第1のアンロ
ードロックチャンバ71は、0.5〜0.8リットル程
度の容積に形成されており、ロードステーション5側へ
ウェハ3を受け渡す際には、室内は最終的に大気圧とさ
れる。
In FIG. 8, the unload lock chamber 9 is similar to the load lock chamber 8 in the vacuum chamber 70.
, A first unload lock chamber (first vacuum chamber) 71 connected to the load station 5, and a second unload lock chamber (second vacuum chamber) connected to the transfer chamber 7 via a slit valve 20. Vacuum chamber) 72
And divided into two parts. The first unload lock chamber 71 is located above the second unload lock chamber 72 and has a smaller volume than the second unload lock chamber 72. That is, the first unload lock chamber 71 is formed to have a volume of about 0.5 to 0.8 liters, and when the wafer 3 is transferred to the load station 5 side, the pressure inside the chamber is finally atmospheric pressure. It is said that

【0031】一方、第2のアンロードロックチャンバ7
2は、約10リットルの容積に形成されている。また、
図示は省略したが、第2のアンロードロックチャンバ7
2には、真空容器の底板に設けた開口部を介してポンプ
が接続されており、第2のアンロードロックチャンバ7
2を10ー6Torr程度まで真空排気することができるよう
になっている。
On the other hand, the second unload lock chamber 7
2 has a volume of about 10 liters. Also,
Although not shown, the second unload lock chamber 7
A pump is connected to the second unload lock chamber 7 through an opening provided in the bottom plate of the vacuum container.
And it is capable of evacuating 2 to about 10 @ 6 Torr.

【0032】第1のアンロードロックチャンバ71と第
2のアンロードロックチャンバ72とは、ロードロック
チャンバ8のステージ40と同様に、ウェハ3を一枚だ
け搭載することができるように形成されたディスク状の
ステージ80により遮断および連通可能に構成されてい
る。すなわち、第1のアンロードロックチャンバ71と
第2のアンロードロックチャンバ72とは、円形の開口
部73により連通して構成されており、この開口部73
をステージ80で気密に塞ぐことにより両アンロードロ
ックチャンバ71、72を遮断することができるように
なっている。
Similar to the stage 40 of the load lock chamber 8, the first unload lock chamber 71 and the second unload lock chamber 71 are formed so that only one wafer 3 can be mounted. The disc-shaped stage 80 is configured to be capable of blocking and communicating. That is, the first unload lock chamber 71 and the second unload lock chamber 72 are configured to communicate with each other through the circular opening 73, and the opening 73
The unload lock chambers 71 and 72 can be shut off by hermetically closing the stage 80 with the stage 80.

【0033】ステージ80の下面中央部には、第2のア
ンロードロックチャンバ72の底面に取り付けられたス
テージ駆動装置81の上下駆動部81aの上端が固着さ
れており、ステージ80は上下駆動部81aの上下動に
伴って移動される。このステージ80の上下動により、
第1のアンロードロックチャンバ71と第2のアンロー
ドロックチャンバ72とを遮断および連通することがで
きるとともに、ステージ80上の突起80aで支持した
ウェハ3を第2のアンロードロックチャンバ72から第
1のアンロードロックチャンバ71に移動させることが
できるようになっている。第2のアンロードロックチャ
ンバ72の真空容器72aの側壁には、トランスファチ
ャンバ7からウェハ3が搬入される出入口72bが形成
されている。
An upper end of a vertical drive unit 81a of a stage drive unit 81 attached to the bottom surface of the second unload lock chamber 72 is fixed to the central portion of the lower surface of the stage 80, and the stage 80 has a vertical drive unit 81a. It is moved with the vertical movement of. By the vertical movement of this stage 80,
The first unload lock chamber 71 and the second unload lock chamber 72 can be blocked and communicated with each other, and the wafer 3 supported by the projection 80a on the stage 80 can be removed from the second unload lock chamber 72 by the second unload lock chamber 72. It can be moved to the first unload lock chamber 71. An inlet / outlet 72b for loading the wafer 3 from the transfer chamber 7 is formed on a side wall of the vacuum container 72a of the second unload lock chamber 72.

【0034】また、プロセスチャンバ6内で表面処理後
のウェハ3は、スパッタされて高温になっているので、
ウェハ3を冷却すべく、第1のアンロードロックチャン
バ71の真空容器71aおよびステージ80の内部に
は、それぞれ冷却水による冷却機構90が設けられてい
る。
Further, since the wafer 3 after the surface treatment in the process chamber 6 is sputtered and has a high temperature,
In order to cool the wafer 3, a cooling mechanism 90 using cooling water is provided inside the vacuum container 71a of the first unload lock chamber 71 and the stage 80.

【0035】このような構成の本実施形態のウェハ搬送
装置1によりウェハ3を搬送するには、まず、大気圧の
圧力レベルであるロードステーション5内にウェハ3を
25枚収納したウェハカセット4を用意する。そして、
ロードステーションロボット13によりウェハ3を取り
出し、オリエンタ11にウェハ3を入れてオリエンテー
ションフラットに基づきウェハ3の位置決めを行う。次
に、ロードステーションロボット13によりウェハ3を
ロードロックチャンバ8の第1のロードロックチャンバ
31内に搬送する。このとき、ロードロックカバーユニ
ット50は、ユニット駆動装置60(図4参照)の駆動
により支持軸62に支持されながら上方に移動し、第2
のロードロックチャンバ32と分離されてウェハ3の搬
送路を形成する。ステージ40の突起40a上にウェハ
3が受け渡されてから、ロードロックカバーユニット5
0は、ユニット駆動装置60の駆動によりステージ40
を蓋するように第2のロードロックチャンバ32の真空
容器32a上面まで下動し、第1のロードロックチャン
バ31を構成する。
In order to transfer the wafer 3 by the wafer transfer apparatus 1 of the present embodiment having such a configuration, first, the wafer cassette 4 containing 25 wafers 3 is stored in the load station 5 which is at a pressure level of atmospheric pressure. prepare. And
The wafer 3 is taken out by the load station robot 13, the wafer 3 is put in the orienter 11, and the wafer 3 is positioned based on the orientation flat. Next, the load station robot 13 transfers the wafer 3 into the first load lock chamber 31 of the load lock chamber 8. At this time, the load lock cover unit 50 moves upward while being supported by the support shaft 62 by the drive of the unit driving device 60 (see FIG. 4), and
Is separated from the load lock chamber 32 to form a transfer path for the wafer 3. After the wafer 3 is transferred onto the protrusion 40a of the stage 40, the load lock cover unit 5
0 is the stage 40 driven by the unit driving device 60.
To the upper surface of the vacuum container 32a of the second load lock chamber 32 so as to cover the first load lock chamber 32.

【0036】その後、ロードステーション5と第1のロ
ードロックチャンバ31とを非通気状態とし、第1のロ
ードロックチャンバ31を、ラフポンプ34により5〜
6秒で50mTorrに排気する。次に、ステージ駆動装置
41の駆動によりステージ40を下動させ、ウェハ3を
第2のロードロックチャンバ32内に移動する。このと
き、第2のロードロックチャンバ32内は、ラフポンプ
37およびターボポンプ34によりすでに10ー6Torrま
で真空排気されており、この第2のロードロックチャン
バ32と第1のロードロックチャンバ31とは連通され
る。これと同時、つまり第1のロードロックチャンバ3
1から第2のロードロックチャンバ32へのウェハ3の
転送を開始した後、デガスヒータ54を作動させ、ウェ
ハ3表面上のアウトガスのデガスを行う。
After that, the load station 5 and the first load-lock chamber 31 are set in a non-ventilated state, and the first load-lock chamber 31 is moved by the rough pump 34 for 5 to 5 times.
Exhaust to 50 mTorr in 6 seconds. Next, the stage 40 is moved downward by driving the stage driving device 41, and the wafer 3 is moved into the second load lock chamber 32. At this time, the second load lock chamber 32 until already 10-2 6 Torr by the rough pump 37 and a turbo pump 34 are evacuated, and the second load lock chamber 32 and first load lock chamber 31 Communicated. At the same time, that is, the first load lock chamber 3
After starting the transfer of the wafer 3 from the first load lock chamber 32 to the second load lock chamber 32, the degas heater 54 is operated to degas the outgas on the surface of the wafer 3.

【0037】ここで、あるプロセスチャンバ6での処理
時間を、例えば60秒であるとすると、表面処理後のウ
ェハ3を取り出したプロセスチャンバ6内に効率よく直
ちに新たなウェハ3を搬送するには、トランスファロボ
ット21のウェハ3搬送に要する時間が10秒程度であ
るので、処理時間から搬送時間を引いた約50秒の間に
デガスまで終了していればよい。第1のロードロックチ
ャンバ31での排気時間は5〜6秒であり、ステージ4
0の移動時間が4秒程度であるので、50秒−6秒−4
秒=40秒以内にデガスが終了していればよいこととな
る。デガスを行うには20秒程度あればよいので、本実
施形態では、十分にデガスを行うことができる。
Here, assuming that the processing time in a certain process chamber 6 is, for example, 60 seconds, in order to efficiently and immediately transfer a new wafer 3 into the process chamber 6 from which the surface-treated wafer 3 has been taken out. Since the transfer robot 21 takes about 10 seconds to transfer the wafer 3, degassing may be completed within about 50 seconds obtained by subtracting the transfer time from the processing time. The exhaust time in the first load lock chamber 31 is 5 to 6 seconds, and the stage 4
Since the moving time of 0 is about 4 seconds, 50 seconds-6 seconds-4
It suffices that degas be completed within seconds = 40 seconds. It takes about 20 seconds to perform the degassing, so in the present embodiment, the degassing can be sufficiently performed.

【0038】デガス終了後は、第2のロードロックチャ
ンバ32とトランスファチャンバ7とを通気状態とし、
トランスファチャンバ7のトランスファロボット21を
駆動させ、ブレード21aによりステージ40上のウェ
ハ3を受け取り、ウェハ3をトランスファチャンバ7内
に搬送した後、第2のロードロックチャンバ32とトラ
ンスファチャンバ7とを非通気状態とし、スリットバル
ブ20を開いて所定のプロセスチャンバ6内にウェハ3
を搬送する。その後、プロセスチャンバ6内で薄膜を形
成する。
After the degassing is completed, the second load lock chamber 32 and the transfer chamber 7 are ventilated.
The transfer robot 21 of the transfer chamber 7 is driven, the wafer 3 on the stage 40 is received by the blade 21a, the wafer 3 is transferred into the transfer chamber 7, and then the second load lock chamber 32 and the transfer chamber 7 are not vented. State, the slit valve 20 is opened, and the wafer 3 is placed in the predetermined process chamber 6.
Is transported. Then, a thin film is formed in the process chamber 6.

【0039】プロセスチャンバ6で表面処理が施された
ウェハ3は、プロセスチャンバ6とトランスファチャン
バ7とを通気状態とした後、トランスファロボット21
によりプロセスチャンバ6からトランスファチャンバ7
内に搬送し、さらにトランスファチャンバ7と第2のア
ンロードロックチャンバ72とを通気状態とし、出入口
72bを介して第2のアンロードロックチャンバ72内
に搬送する。このとき、第2のアンロードロックチャン
バ72は、図示を省略したポンプにより10ー6Torr程度
に排気されている。第2のアンロードロックチャンバ7
2でステージ80上に受け取ったウェハ3は、トランス
ファチャンバ7と第2のアンロードロックチャンバ72
とを非通気状態とした後、ステージ駆動装置81の駆動
によるステージ80の上動により、第1のアンロードロ
ックチャンバ内71に転送する。ステージ80の移動に
より、第1のアンロードロックチャンバ71と第2のア
ンロードロックチャンバ72とは、気密に遮断される。
The wafer 3 which has been surface-treated in the process chamber 6 is transferred to the transfer robot 21 after the process chamber 6 and the transfer chamber 7 are ventilated.
From process chamber 6 to transfer chamber 7
Then, the transfer chamber 7 and the second unload lock chamber 72 are ventilated, and the transfer chamber 7 and the second unload lock chamber 72 are transferred into the second unload lock chamber 72 via the inlet / outlet 72b. At this time, the second unload lock chamber 72 is evacuated to about 10 −6 Torr by a pump (not shown). Second unload lock chamber 7
The wafer 3 received on the stage 80 in step 2 is transferred to the transfer chamber 7 and the second unload lock chamber 72.
After making the non-ventilated state, the stage is moved to the inside of the first unload lock chamber 71 by the upward movement of the stage 80 driven by the stage drive device 81. By the movement of the stage 80, the first unload lock chamber 71 and the second unload lock chamber 72 are hermetically shut off from each other.

【0040】次に、第1のアンロードロックチャンバ7
1内を窒素等のガスの供給により大気圧までベントし、
熱伝導の効率を高めて冷却機構90によりウェハ3を冷
却する。これらの動作をロードロックチャンバ8と同様
に、約50秒程度で終了させ、その後完全に大気開放し
て、更に冷却しながらロードステーション5のロードス
テーションロボット13により、ウェハ3をウェハカセ
ット4内に収納する。この場合、ウェハ3を戻すウェハ
カセット4は、処理前にウェハ3が収納されていたウェ
ハカセット4に戻してもよく、また別のウェハカセット
4に収納するようにしてもよい。
Next, the first unload lock chamber 7
Vent the inside of 1 to atmospheric pressure by supplying a gas such as nitrogen,
The wafer 3 is cooled by the cooling mechanism 90 by increasing the efficiency of heat conduction. Similar to the load lock chamber 8, these operations are completed in about 50 seconds, then completely opened to the atmosphere, and further cooled, the load station robot 13 of the load station 5 loads the wafer 3 into the wafer cassette 4. Store. In this case, the wafer cassette 4 for returning the wafer 3 may be returned to the wafer cassette 4 in which the wafer 3 was stored before the processing, or may be stored in another wafer cassette 4.

【0041】以上のように、本実施形態のウェハ搬送装
置1によれば、ロードロックチャンバ8の真空室30
は、第1のロードロックチャンバ31と第2のロードロ
ックチャンバ32とに分割され、2重のロードロックと
なっているので、トランスファチャンバ7内への大気の
持ち込みは、激減される。さらに、プロセスチャンバ6
での処理時間中にロードロックチャンバ8内でウェハ3
のデガスまで行うので、スループットを落とすことな
く、トランスファチャンバ7側へのガスコンタミネーシ
ョンを従来のウェハ搬送装置の1/100,000程度
にすることができる。
As described above, according to the wafer transfer apparatus 1 of this embodiment, the vacuum chamber 30 of the load lock chamber 8 is used.
Is divided into a first load lock chamber 31 and a second load lock chamber 32 to form a double load lock, so that the introduction of air into the transfer chamber 7 is drastically reduced. Further, the process chamber 6
Wafer 3 in the load lock chamber 8 during processing time at
Since the degassing is performed, the gas contamination on the transfer chamber 7 side can be reduced to about 1 / 100,000 of the conventional wafer transfer device without lowering the throughput.

【0042】また、デガス用のチャンバを別個に設ける
必要がなく、それに伴ってデガス用の排気系を設ける必
要もないので、安価となる。
Further, since it is not necessary to separately provide a chamber for degas, and it is not necessary to provide an exhaust system for degas accordingly, the cost is reduced.

【0043】[0043]

【発明の効果】以上のように、本発明の半導体製造装置
のウェハ搬送装置によれば、スループットが向上し、ガ
スコンタミネーションによる表面処理への悪影響を防ぐ
ことができ、半導体製造装置を安価に構成することがで
きる。
As described above, according to the wafer transfer apparatus of the semiconductor manufacturing apparatus of the present invention, the throughput is improved, the adverse effect on the surface treatment due to the gas contamination can be prevented, and the semiconductor manufacturing apparatus can be manufactured at a low cost. Can be configured.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の一実施形態のウェハ搬送装置のロード
ロックチャンバを示すもので、図3におけるIーI線断
面矢視図である。
1 is a view showing a load lock chamber of a wafer transfer apparatus according to an embodiment of the present invention, and is a cross-sectional view taken along the line I--I of FIG.

【図2】同実施形態のウェハ搬送装置を備えたスパッタ
リング装置を示す概略構成図である。
FIG. 2 is a schematic configuration diagram showing a sputtering apparatus including the wafer transfer apparatus of the same embodiment.

【図3】同実施形態のウェハ搬送装置のロードロックチ
ャンバを示す平面図である。
FIG. 3 is a plan view showing a load lock chamber of the wafer conveyance device of the same embodiment.

【図4】同実施形態のウェハ搬送装置のロードロックチ
ャンバを示すもので、図3におけるIVーIV線断面矢
視図である。
FIG. 4 is a sectional view taken along the line IV-IV in FIG. 3, showing the load lock chamber of the wafer transfer apparatus of the same embodiment.

【図5】同実施形態のウェハ搬送装置のロードロックチ
ャンバを示すもので、図3におけるVーV線断面矢視図
である。
5 is a view showing a load lock chamber of the wafer transfer apparatus of the same embodiment, and is a sectional view taken along line VV in FIG.

【図6】同実施形態のウェハ搬送装置のロードロックチ
ャンバを示す縦断面図である。
FIG. 6 is a vertical cross-sectional view showing a load lock chamber of the wafer conveyance device of the same embodiment.

【図7】同実施形態のウェハ搬送装置のロードロックチ
ャンバを示す縦断面図である。
FIG. 7 is a vertical cross-sectional view showing a load lock chamber of the wafer conveyance device of the same embodiment.

【図8】同実施形態のウェハ搬送装置のアンロードロッ
クチャンバを示す縦断面図である。
FIG. 8 is a vertical cross-sectional view showing an unload lock chamber of the wafer conveyance device of the same embodiment.

【符号の説明】[Explanation of symbols]

1…ウェハ搬送装置、2…スパッタリング装置、3…ウ
ェハ、4…ウェハカセット、5…ロードステーション、
6…プロセスチャンバ、7…トランスファチャンバ、8
…ロードロックチャンバ、9…アンロードロックチャン
バ、13…ロードステーションロボット、20…スリッ
トバルブ、21…トランスファロボット、30…真空
室、31…第1のロードロックチャンバ、32…第2の
ロードロックチャンバ、40…ステージ、41…ステー
ジ駆動装置、50…ロードロックカバーユニット、54
…デガスヒータ、60…ユニット駆動装置、71…第1
のアンロードロックチャンバ、72…第2のアンロード
ロックチャンバ
1 ... Wafer transfer device, 2 ... Sputtering device, 3 ... Wafer, 4 ... Wafer cassette, 5 ... Load station,
6 ... Process chamber, 7 ... Transfer chamber, 8
... load lock chamber, 9 ... unload lock chamber, 13 ... load station robot, 20 ... slit valve, 21 ... transfer robot, 30 ... vacuum chamber, 31 ... first load lock chamber, 32 ... second load lock chamber , 40 ... Stage, 41 ... Stage drive device, 50 ... Load lock cover unit, 54
... Degas heater, 60 ... Unit driving device, 71 ... First
Unload lock chamber, 72 ... Second unload lock chamber

Claims (46)

【特許請求の範囲】[Claims] 【請求項1】 ウェハを収納したウェハカセットを配置
するロードステーションと、前記ウェハに所定の処理を
行うプロセスチャンバを隣設するとともに、前記ウェハ
の搬送を行うトランスファロボットを具備したトランス
ファチャンバと、前記ロードステーションと前記トラン
スファチャンバとの間に配置され、前記ロードステーシ
ョンから受け取った前記ウェハを前記トランスファロボ
ットに受け渡すロードロックチャンバとを備えたマルチ
チャンバウェハ処理システムのウェハ搬送装置であっ
て、 前記ロードロックチャンバの真空室を前記ロードステー
ションに接続される第1のロードロックチャンバと前記
トランスファチャンバに接続される第2のロードロック
チャンバとに分割構成するとともに、 前記第1のロードロックチャンバと前記第2のロードロ
ックチャンバとを遮断および連通可能とし、 前記第1のロードロックチャンバを前記第2のロードロ
ックチャンバより小さな容積に形成し、 前記第2のロードロックチャンバを前記第1のロードロ
ックチャンバより高真空とし、 搭載した一枚の前記ウェハを前記第1のロードロックチ
ャンバから前記第2のロードロックチャンバに移動する
ステージを設け、 前記ロードロックチャンバに前記ウェハのデガスを行う
デガスヒータを設けたことを特徴とするマルチチャンバ
ウェハ処理システムのウェハ搬送装置。
1. A transfer chamber provided with a load station for arranging a wafer cassette accommodating wafers and a process chamber for performing a predetermined process on the wafer, and a transfer chamber equipped with a transfer robot for transferring the wafer, A wafer transfer device for a multi-chamber wafer processing system, comprising: a load lock chamber, which is arranged between a load station and the transfer chamber, and transfers the wafer received from the load station to the transfer robot. The vacuum chamber of the lock chamber is divided into a first load lock chamber connected to the load station and a second load lock chamber connected to the transfer chamber, and the first load lock chamber is provided. The second load lock chamber can be shut off and communicated with the second load lock chamber, the first load lock chamber can be formed to have a smaller volume than the second load lock chamber, and the second load lock chamber can be connected to the first load lock chamber. A stage for moving one of the mounted wafers from the first load lock chamber to the second load lock chamber is provided with a vacuum higher than that of the lock chamber, and a degas heater for degassing the wafer is provided in the load lock chamber. A wafer transfer device for a multi-chamber wafer processing system, which is provided.
【請求項2】 前記第1および第2のロードロックチャ
ンバを上下に配置し、前記ステージにより前記第1のロ
ードロックチャンバと前記第2のロードロックチャンバ
とを遮断および連通可能としたことを特徴とする請求項
1記載のマルチチャンバウェハ処理システムのウェハ搬
送装置。
2. The first and second load lock chambers are arranged one above the other, and the stage allows the first load lock chamber and the second load lock chamber to be blocked and communicated with each other. The wafer transfer device of the multi-chamber wafer processing system according to claim 1.
【請求項3】 前記デガスヒータはハロゲンランプであ
ることを特徴とする請求項1または2記載のマルチチャ
ンバウェハ処理システムのウェハ搬送装置。
3. The wafer transfer apparatus for a multi-chamber wafer processing system according to claim 1, wherein the degas heater is a halogen lamp.
【請求項4】 大気圧の圧力レベルの下に複数枚のウェ
ハを収納するためのウェハカセットが設けられるロード
ステーションと、 前記ロードステーションと選択的に通気状態または非通
気状態となるように設けられた、一枚のウェハを収納す
るに必要な容積を有する第1のロードロックチャンバ
と、 前記第1のロードロックチャンバを第1の圧力レベルま
で減圧するための第1の排気手段と、 前記第1のロードロックチャンバと選択的に通気状態ま
たは非通気状態となるように設けられた、第2のロード
ロックチャンバと、 前記第2のロードロックチャンバを前記第1の圧力レベ
ルより真空度の高い第2の圧力レベルまで減圧するため
の第2の排気手段と、 前記第2のロードロックチャンバと選択的に通気状態ま
たは非通気状態となるように設けられ、前記第2のロー
ドロックチャンバから転送されてくるウェハを所要のチ
ャンバに転送するためのトランスファチャンバと、 前記トランスファチャンバと選択的に通気状態または非
通気状態となるように設けられ、前記トランスファチャ
ンバから転送されてくるウェハに対して所要の処理を行
うプロセスチャンバとを備え、 前記ロードステーションと前記第1のロードロックチャ
ンバとの間のウェハの転送および前記チャンバ間のウェ
ハの転送はウェハ一枚ずつ行われることを特徴とするマ
ルチチャンバウェハ処理システム。
4. A load station provided with a wafer cassette for accommodating a plurality of wafers under a pressure level of atmospheric pressure, and a load station provided so as to be selectively ventilated or non-vented with the load station. A first load lock chamber having a volume necessary to accommodate one wafer; a first exhaust unit for reducing the pressure of the first load lock chamber to a first pressure level; A second load lock chamber, which is provided so as to be selectively ventilated or non-ventilated with the first load lock chamber; and the second load lock chamber having a higher vacuum level than the first pressure level. Second evacuation means for reducing the pressure to a second pressure level and selectively venting or non-venting the second load lock chamber A transfer chamber for transferring the wafer transferred from the second load lock chamber to a required chamber; and a transfer chamber selectively placed in a vented state or a non-vented state, A process chamber for performing a required process on the wafer transferred from the transfer chamber, wherein the transfer of the wafer between the load station and the first load lock chamber and the transfer of the wafer between the chambers are performed by the wafer. A multi-chamber wafer processing system, which is performed one by one.
【請求項5】 前記第1のロードロックチャンバは前記
第2のロードロックチャンバの上部に設けられており、
ウェハを搭載するためのステージ機構の上下運動に従
い、前記第1のロードロックチャンバと前記第2のロー
ドロックチャンバとは通気状態または非通気状態となる
ことを特徴とする請求項4記載のマルチチャンバウェハ
処理システム。
5. The first load lock chamber is provided above the second load lock chamber,
The multi-chamber according to claim 4, wherein the first load-lock chamber and the second load-lock chamber are in a vented state or a non-vented state in accordance with the vertical movement of a stage mechanism for mounting a wafer. Wafer processing system.
【請求項6】 前記ロードステーションと前記第1のロ
ードロックチャンバとが非通気状態となった後に、前記
第1のロードロックチャンバと第2のロードロックチャ
ンバとは通気状態となることを特徴とする請求項4記載
のマルチチャンバウェハ処理システム。
6. The first load lock chamber and the second load lock chamber are ventilated after the load station and the first load lock chamber are non-ventilated. The multi-chamber wafer processing system according to claim 4.
【請求項7】 前記第1のロードロックチャンバの上部
に設けられたデガス手段を更に含み、前記第1のロード
ロックチャンバと第2のロードロックチャンバとが通気
状態にあるときにデガス処理を行うことを特徴とする請
求項5記載のマルチチャンバウェハ処理システム。
7. A degassing unit provided on the upper part of the first load lock chamber is further included to perform a degassing process when the first load lock chamber and the second load lock chamber are in a vented state. The multi-chamber wafer processing system according to claim 5, wherein:
【請求項8】 前記デガス手段はハロゲンランプからな
るランプ加熱手段を含むことを特徴とする請求項7記載
のマルチチャンバウェハ処理システム。
8. The multi-chamber wafer processing system according to claim 7, wherein the degassing means includes a lamp heating means including a halogen lamp.
【請求項9】 前記第1のロードロックチャンバは0.
5〜0.8リットルの容積を有することを特徴とする請
求項4記載のマルチチャンバウェハ処理システム。
9. The first load lock chamber comprises a.
The multi-chamber wafer processing system of claim 4, having a volume of 5 to 0.8 liters.
【請求項10】前記第1の排気手段は前記第1のロード
ロックチャンバの側壁に形成された排気管路を介して接
続されたラフポンプを含むことを特徴とする請求項4記
載のマルチチャンバウェハ処理システム。
10. The multi-chamber wafer according to claim 4, wherein the first evacuation means includes a rough pump connected through an evacuation line formed in a side wall of the first load lock chamber. Processing system.
【請求項11】 前記第1の圧力レベルは50mTorr程
度の真空状態であることを特徴とする請求項4記載のマ
ルチチャンバウェハ処理システム。
11. The multi-chamber wafer processing system according to claim 4, wherein the first pressure level is a vacuum state of about 50 mTorr.
【請求項12】 前記第2のロードロックチャンバは約
10リットルの容積を有することを特徴とする請求項4
記載のマルチチャンバウェハ処理システム。
12. The second load lock chamber has a volume of about 10 liters.
A multi-chamber wafer processing system as described.
【請求項13】 前記第2の排気手段は前記第2のロー
ドロックチャンバの底板に形成された開口部を介して接
続されたターボポンプおよびラフポンプを含むことを特
徴とする請求項4記載のマルチチャンバウェハ処理シス
テム。
13. The multi according to claim 4, wherein the second evacuation unit includes a turbo pump and a rough pump connected through an opening formed in a bottom plate of the second load lock chamber. Chamber wafer processing system.
【請求項14】 前記第2の圧力レベルは10ー6Torr程
度の真空状態であることを特徴とする請求項4記載のマ
ルチチャンバウェハ処理システム。
14. The multi-chamber wafer processing system of claim 4, wherein the second pressure level is a vacuum of about 10 −6 Torr.
【請求項15】 前記トランスファチャンバは10ー7
10ー8Torr程度まで真空排気されていることを特徴とす
る請求項4記載のマルチチャンバウェハ処理システム。
15. The transfer chamber comprises 10 −7 to
Multiple chamber wafer processing system according to claim 4, characterized in that it is evacuated to approximately 10 @ 8 Torr.
【請求項16】 前記プロセスチャンバは10ー9Torr程
度まで真空排気されていることを特徴とする請求項4記
載のマルチチャンバウェハ処理システム。
16. The multi-chamber wafer processing system according to claim 4, wherein the process chamber is evacuated to about 10 −9 Torr.
【請求項17】 大気圧の圧力レベルの下に複数枚のウ
ェハを収納するためのウェハカセットが設けられるロー
ドステーションから、前記ロードステーションと選択的
に通気状態または非通気状態となるように設けられた、
一枚のウェハを収納するのに必要な容積を有する第1の
ロードロックチャンバへ一枚のウェハを転送し、 前記第1のロードロックチャンバを第1の圧力レベルま
で減圧し、 前記第1のロードロックチャンバから、前記第1のロー
ドロックチャンバと選択的に通気状態または非通気状態
となるように設けられるとともに、前記第1の圧力レベ
ルより真空度の高い第2の圧力レベルまで減圧された第
2のロードロックチャンバへ、前記一枚のウェハを転送
し、 前記第2のロードロックチャンバから、前記第2のロー
ドロックチャンバと選択的に通気状態または非通気状態
となるように設けられるとともに、前記第2の圧力レベ
ルより真空度の高い第3の圧力レベルまで減圧されたト
ランスファチャンバへ、前記一枚のウェハを転送し、 前記第2のロードロックチャンバと前記トランスファチ
ャンバとの間を非通気状態とし、 前記トランスファチャンバから、前記トランスファチャ
ンバと選択的に通気状態または非通気状態となるように
設けられたプロセスチャンバへ、前記一枚のウェハを転
送するマルチチャンバウェハ処理システムにおけるウェ
ハ搬入方法。
17. A load station provided with a wafer cassette for accommodating a plurality of wafers under a pressure level of atmospheric pressure so as to be selectively vented or unvented from the load station. Was
Transferring one wafer to a first load lock chamber having a volume required to accommodate the one wafer, depressurizing the first load lock chamber to a first pressure level, and The load lock chamber is provided so as to be selectively ventilated or non-ventilated with the first load lock chamber and is depressurized to a second pressure level having a higher vacuum level than the first pressure level. The one wafer is transferred to a second load lock chamber, and the second load lock chamber is provided so as to be selectively ventilated or non-vented with the second load lock chamber. Transferring the one wafer to a transfer chamber that has been depressurized to a third pressure level that is higher in vacuum than the second pressure level, A non-ventilated state between the load lock chamber and the transfer chamber, and from the transfer chamber to the process chamber provided to selectively ventilate or non-ventilated state with the transfer chamber, Wafer loading method in a multi-chamber wafer processing system for transferring wafers.
【請求項18】 前記第1のロードロックチャンバから
前記第2のロードロックチャンバへのウェハの転送を開
始した後、ウェハに対してデガス処理を行うことを特徴
とする請求項17記載のマルチチャンバウェハ処理シス
テムにおけるウェハ搬入方法。
18. The multi-chamber according to claim 17, wherein the wafer is subjected to degassing after the transfer of the wafer from the first load lock chamber to the second load lock chamber is started. Wafer loading method in wafer processing system.
【請求項19】 前記第1のロードロックチャンバは
0.5〜0.8リットルの容積を有することを特徴とす
る請求項17記載のマルチチャンバウェハ処理システム
におけるウェハ搬入方法。
19. The method of loading a wafer in a multi-chamber wafer processing system according to claim 17, wherein the first load lock chamber has a volume of 0.5 to 0.8 liters.
【請求項20】 前記第1の圧力レベルは50mTorr程
度の真空状態であることを特徴とする請求項17記載の
マルチチャンバウェハ処理システムにおけるウェハ搬入
方法。
20. The wafer loading method in a multi-chamber wafer processing system according to claim 17, wherein the first pressure level is a vacuum state of about 50 mTorr.
【請求項21】 前記第2のロードロックチャンバは約
10リットルの容積を有することを特徴とする請求項1
7記載のマルチチャンバウェハ処理システムにおけるウ
ェハ搬入方法。
21. The second load lock chamber has a volume of about 10 liters.
7. A wafer loading method in the multi-chamber wafer processing system according to 7.
【請求項22】 前記第2の圧力レベルは10ー6Torr程
度の真空状態であることを特徴とする請求項17記載の
マルチチャンバウェハ処理システムにおけるウェハ搬入
方法。
22. The method of loading wafers in a multi-chamber wafer processing system according to claim 17, wherein the second pressure level is a vacuum state of about 10 −6 Torr.
【請求項23】 前記トランスファチャンバは10ー7
10ー8Torr程度まで真空排気されていることを特徴とす
る請求項17記載のマルチチャンバウェハ処理システム
におけるウェハ搬入方法。
23. The transfer chamber is from 10 −7 to
Wafer carry method in a multi chamber wafer processing system according to claim 17, characterized in that it is evacuated to approximately 10 @ 8 Torr.
【請求項24】 前記プロセスチャンバは10ー9Torr程
度まで真空排気されていることを特徴とする請求項17
記載のマルチチャンバウェハ処理システムにおけるウェ
ハ搬入方法。
24. The process chamber is evacuated to about 10 −9 Torr.
A wafer loading method in the multi-chamber wafer processing system described.
【請求項25】 トランスファチャンバとロードステー
ションとの間に配置されるアンロードロックチャンバを
第1の圧力レベルとされる第1のアンロードロックチャ
ンバと第2の圧力レベルとされる第2のアンロードロッ
クチャンバとで構成し、 プロセスチャンバから、前記プロセスチャンバと選択的
に通気状態または非通気状態となるように設けられたト
ランスファチャンバへ一枚のウェハを転送し、 前記プロセスチャンバと前記トランスファチャンバとの
間を非通気状態とし、 前記トランスファチャンバから、前記トランスファチャ
ンバと選択的に通気状態または非通気状態となるように
設けられるとともに、前記トランスファチャンバ内の圧
力レベルより真空度の低い前記第2の圧力レベルまで減
圧された前記第2のアンロードロックチャンバへ、前記
一枚のウェハを転送し、 前記第2のアンロードロックチャンバから、前記第2の
アンロードロックチャンバと選択的に通気状態または非
通気状態となるように設けられた前記第1のアンロード
ロックチャンバへ、前記一枚のウェハを転送し、 前記第1のアンロードロックチャンバと前記第2のアン
ロードロックチャンバとの間を非通気状態とし、 前記第1のアンロードロックチャンバの前記第1の圧力
レベルを大気圧の圧力レベルまで昇圧し、 前記第1のアンロードロックチャンバから、前記第1の
アンロードロックチャンバと選択的に通気状態または非
通気状態となるように設けられた前記ロードステーショ
ンへ、前記一枚のウェハを転送するマルチチャンバウェ
ハ処理システムにおけるウェハ搬出方法。
25. A first unload lock chamber having a first pressure level and a second unload lock chamber having a first pressure level in the unload lock chamber disposed between the transfer chamber and the load station. A load lock chamber, and transfers one wafer from the process chamber to a transfer chamber provided so as to be selectively ventilated or non-vented with the process chamber, and the process chamber and the transfer chamber And a second chamber having a lower vacuum level than the pressure level in the transfer chamber, the second chamber being provided with a non-ventilated state, and the transfer chamber being selectively ventilated or non-ventilated from the transfer chamber. The second unload lock reduced to a pressure level of The one wafer is transferred to a chamber, and the first unload lock chamber is provided so as to be selectively ventilated or non-vented with the second unload lock chamber. Transferring the one wafer to the unload lock chamber, and setting the first unload lock chamber and the second unload lock chamber in a non-vented state, the first unload lock chamber Of the first unload lock chamber and the first unload lock chamber are selectively ventilated or non-vented from the first unload lock chamber. A wafer unloading method in a multi-chamber wafer processing system for transferring the one wafer to the loaded load station.
【請求項26】 前記第1のアンロードロックチャンバ
を大気圧の圧力レベルまで昇圧した後、第1のアンロー
ドロックチャンバ内のウェハを冷却することを特徴とす
る請求項25記載のマルチチャンバウェハ処理システム
におけるウェハ搬出方法。
26. The multi-chamber wafer of claim 25, wherein the wafer in the first unload lock chamber is cooled after raising the first unload lock chamber to a pressure level of atmospheric pressure. Wafer unloading method in processing system.
【請求項27】 前記第1のアンロードロックチャンバ
は0.5〜0.8リットルの容積を有することを特徴と
する請求項25記載のマルチチャンバウェハ処理システ
ムにおけるウェハ搬出方法。
27. The method of carrying out a wafer in a multi-chamber wafer processing system according to claim 25, wherein the first unload lock chamber has a volume of 0.5 to 0.8 liter.
【請求項28】 前記第2の圧力レベルは10ー6Torr程
度の真空状態であることを特徴とする請求項25記載の
マルチチャンバウェハ処理システムにおけるウェハ搬出
方法。
28. The method of unloading a wafer in a multi-chamber wafer processing system according to claim 25, wherein the second pressure level is a vacuum state of about 10 −6 Torr.
【請求項29】 前記第2のアンロードロックチャンバ
は約10リットルの容積を有することを特徴とする請求
項25記載のマルチチャンバウェハ処理システムにおけ
るウェハ搬出方法。
29. The method of unloading a wafer in a multi-chamber wafer processing system of claim 25, wherein the second unload lock chamber has a volume of about 10 liters.
【請求項30】 前記トランスファチャンバは10ー7
10ー8Torr程度まで真空排気されていることを特徴とす
る請求項25記載のマルチチャンバウェハ処理システム
におけるウェハ搬出方法。
30. The transfer chamber has a size of 10.sup. -7 .
Wafer out method in the multi-chamber wafer processing system of claim 25, wherein the being evacuated to approximately 10 @ 8 Torr.
【請求項31】 前記プロセスチャンバは10ー9Torr程
度まで真空排気されていることを特徴とする請求項25
記載のマルチチャンバウェハ処理システムにおけるウェ
ハ搬出方法。
31. The process chamber is evacuated to about 10 −9 Torr.
A wafer unloading method in the multi-chamber wafer processing system described.
【請求項32】 大気圧の圧力レベルの下に複数枚のウ
ェハを収納するためのウェハカセットが設けられるロー
ドステーションと、 前記ロードステーションと選択的に通気状態または非通
気状態となるように設けられ、前記ロードステーション
から転送されてくる一枚のウェハを収容するためのロー
ドロックチャンバと、 前記ロードロックチャンバを大気圧より真空度の高い圧
力レベルまで減圧するための排気手段と、 前記ロードロックチャンバ内に収容されたウェハに対し
てデガス処理を行うデガス手段と、 前記ロードロックチャンバと選択的に通気状態または非
通気状態となるように設けられ、前記ロードロックチャ
ンバから転送されてくる一枚のウェハを所要のチャンバ
に転送するためのトランスファチャンバと、 前記トランスファチャンバと選択的に通気状態または非
通気状態となるように設けられ、前記トランスファチャ
ンバから転送されてくる一枚のウェハに対して所要の処
理を行うプロセスチャンバとを備えたことを特徴とする
マルチチャンバウェハ処理システム。
32. A load station provided with a wafer cassette for accommodating a plurality of wafers under a pressure level of atmospheric pressure; and a load station provided so as to be selectively ventilated or non-vented with the load station. A load lock chamber for accommodating a single wafer transferred from the load station; exhaust means for reducing the pressure of the load lock chamber to a pressure level higher than atmospheric pressure; A degassing unit for degassing the wafer contained therein; and a degassing unit provided to be selectively ventilated or non-vented with the loadlock chamber and transferred from the loadlock chamber. A transfer chamber for transferring the wafer to a required chamber, said transfer chamber A chamber and a process chamber that is provided so as to selectively be in a vented state or a non-vented state and that performs a required process on one wafer transferred from the transfer chamber. Chamber wafer processing system.
【請求項33】 前記ロードロックチャンバは第1のロ
ードロックチャンバと第2のロードロックチャンバとを
含み、前記第1のロードロックチャンバは前記ロードス
テーションおよび前記第2のロードロックチャンバの各
々に選択的に通気状態または非通気状態となるように設
けられるとともに、前記ロードステーションから転送さ
れてくる一枚のウェハを収容し、前記第2のロードロッ
クチャンバは前記第1のロードロックチャンバおよび前
記トランスファチャンバの各々に選択的に通気状態また
は非通気状態となるように設けられるとともに、前記第
1のロードロックチャンバから転送されてくる一枚のウ
ェハを収容することを特徴とする請求項32記載のマル
チチャンバウェハ処理システム。
33. The load lock chamber includes a first load lock chamber and a second load lock chamber, the first load lock chamber being selected for each of the load station and the second load lock chamber. Are provided so as to be in a vented state or a non-vented state, and accommodate one wafer transferred from the load station, and the second load lock chamber includes the first load lock chamber and the transfer. 33. The chamber of claim 32, wherein each of the chambers is selectively ventilated or non-vented to accommodate a single wafer transferred from the first loadlock chamber. Multi-chamber wafer processing system.
【請求項34】 前記排気手段は前記ロードステーショ
ンと前記第1のロードロックチャンバとが非通気状態と
なった後に、前記第1のロードロックチャンバを大気圧
より真空度の高い第1の圧力レベルとなるまで減圧する
ことを特徴とする請求項33記載のマルチチャンバウェ
ハ処理システム。
34. The evacuation means sets the first load lock chamber at a first pressure level higher than atmospheric pressure after the load station and the first load lock chamber are in a non-ventilated state. 34. The multi-chamber wafer processing system of claim 33, wherein the pressure is reduced to
【請求項35】 前記排気手段は前記第2のロードロッ
クチャンバを前記第1の圧力レベルより真空度の高い第
2の圧力レベルとなるまで減圧することを特徴とする請
求項34記載のマルチチャンバウェハ処理システム。
35. The multi-chamber according to claim 34, wherein the evacuation means depressurizes the second load-lock chamber to a second pressure level having a higher vacuum level than the first pressure level. Wafer processing system.
【請求項36】 前記ロードステーションと前記第1の
ロードロックチャンバとが非通気状態となった後に、前
記第1のロードロックチャンバと前記第2のロードロッ
クチャンバとは通気状態となることを特徴とする請求項
35記載のマルチチャンバウェハ処理システム。
36. The first load lock chamber and the second load lock chamber are vented after the load station and the first load lock chamber are non-ventilated. 36. The multi-chamber wafer processing system of claim 35.
【請求項37】 前記デガス手段はハロゲンランプから
なるランプ加熱手段を含むことを特徴とする請求項32
記載のマルチチャンバウェハ処理システム。
37. The degassing means includes a lamp heating means including a halogen lamp.
A multi-chamber wafer processing system as described.
【請求項38】 前記第1のロードロックチャンバは
0.5〜0.8リットルの容積を有することを特徴とす
る請求項33記載のマルチチャンバウェハ処理システ
ム。
38. The multi-chamber wafer processing system of claim 33, wherein the first load lock chamber has a volume of 0.5 to 0.8 liters.
【請求項39】 前記排気手段は前記第1のロードロッ
クチャンバの側壁に形成された排気管路を介して接続さ
れたラフポンプを含むことを特徴とする請求項33記載
のマルチチャンバウェハ処理システム。
39. The multi-chamber wafer processing system according to claim 33, wherein the evacuation means includes a rough pump connected through an evacuation line formed in a sidewall of the first load lock chamber.
【請求項40】 前記第1の圧力レベルは50mTorr程
度の真空状態であることを特徴とする請求項34記載の
マルチチャンバウェハ処理システム。
40. The multi-chamber wafer processing system of claim 34, wherein the first pressure level is a vacuum of about 50 mTorr.
【請求項41】 前記第2のロードロックチャンバは約
10リットルの容積を有することを特徴とする請求項3
3記載のマルチチャンバウェハ処理システム。
41. The second load lock chamber has a volume of about 10 liters.
3. The multi-chamber wafer processing system according to item 3.
【請求項42】 前記排気手段は前記第2のロードロッ
クチャンバの底板に形成された開口部を介して接続され
たターボポンプおよびラフポンプを含むことを特徴とす
る請求項33記載のマルチチャンバウェハ処理システ
ム。
42. The multi-chamber wafer processing according to claim 33, wherein the evacuation means includes a turbo pump and a rough pump connected through an opening formed in a bottom plate of the second load lock chamber. system.
【請求項43】 前記第2の圧力レベルは10ー6Torr程
度の真空状態であることを特徴とする請求項35記載の
マルチチャンバウェハ処理システム。
43. The multi-chamber wafer processing system of claim 35, wherein the second pressure level is a vacuum of about 10 −6 Torr.
【請求項44】 前記トランスファチャンバは10ー7
10ー8Torr程度まで真空排気されていることを特徴とす
る請求項32記載のマルチチャンバウェハ処理システ
ム。
44. The transfer chamber has a size of 10.sup. -7 .
Multiple chamber wafer processing system of claim 32, characterized in that it is evacuated to about 10 @ 8 Torr.
【請求項45】 前記プロセスチャンバは10ー9Torr程
度まで真空排気されていることを特徴とする請求項32
記載のマルチチャンバウェハ処理システム。
45. The process chamber according to claim 32, wherein the process chamber is evacuated to about 10 −9 Torr.
A multi-chamber wafer processing system as described.
【請求項46】 大気圧の圧力レベルの下に複数枚のウ
ェハを収納するためのウェハカセットが設けられるロー
ドステーションから、前記ロードステーションと選択的
に通気状態または非通気状態となるように設けられた、
一枚のウェハを収納するのに必要な容積を有するロード
ロックチャンバへ一枚のウェハを転送し、 前記ロードステーションと前記ロードロックチャンバと
の間を非通気状態とし、 前記ロードロックチャンバを大気圧の圧力レベルより真
空度の高い第1の圧力レベルまで減圧し、 前記ロードロックチャンバ内のウェハに対し、デガス処
理を行い、 前記ロードロックチャンバから、前記ロードロックチャ
ンバと選択的に通気状態または非通気状態となるように
設けられるとともに、前記第1の圧力レベルより真空度
の高い第2の圧力レベルまで減圧されたトランスファチ
ャンバへ、前記一枚のウェハを転送し、 前記ロードロックチャンバと前記トランスファチャンバ
との間を非通気状態とし、 前記トランスファチャンバから、前記トランスファチャ
ンバと選択的に通気状態または非通気状態となるように
設けられたプロセスチャンバへ、前記一枚のウェハを転
送するマルチチャンバウェハ処理システムにおけるウェ
ハ搬入方法。
46. A load station provided with a wafer cassette for storing a plurality of wafers under a pressure level of atmospheric pressure is provided so as to be selectively vented or unvented from the load station. Was
One wafer is transferred to a load lock chamber having a volume necessary to accommodate one wafer, and the load station and the load lock chamber are not vented, and the load lock chamber is at atmospheric pressure. To a first pressure level having a higher degree of vacuum than the pressure level of, the wafer in the load lock chamber is degassed, and the load lock chamber and the load lock chamber are selectively vented or non-vented. The one wafer is transferred to a transfer chamber which is provided so as to be in an aeration state and is depressurized to a second pressure level having a higher vacuum degree than the first pressure level, and the load lock chamber and the transfer chamber are transferred. A non-ventilated state is established between the transfer chamber and the transfer chamber. Wafer carry method in a multi chamber wafer processing system to the chamber and selectively ventilation state or a non-ventilated become so provided process chamber, transferring the one wafer.
JP8044896A 1996-03-01 1996-03-01 Multi-chamber wafer processing system Expired - Fee Related JP2937846B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP8044896A JP2937846B2 (en) 1996-03-01 1996-03-01 Multi-chamber wafer processing system

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP8044896A JP2937846B2 (en) 1996-03-01 1996-03-01 Multi-chamber wafer processing system

Publications (2)

Publication Number Publication Date
JPH09246347A true JPH09246347A (en) 1997-09-19
JP2937846B2 JP2937846B2 (en) 1999-08-23

Family

ID=12704252

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8044896A Expired - Fee Related JP2937846B2 (en) 1996-03-01 1996-03-01 Multi-chamber wafer processing system

Country Status (1)

Country Link
JP (1) JP2937846B2 (en)

Cited By (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1058291A2 (en) * 1999-06-03 2000-12-06 Applied Materials, Inc. Load-lock with external staging area
JP2003013215A (en) * 2001-06-26 2003-01-15 Anelva Corp Sputtering apparatus
JP2004200329A (en) * 2002-12-17 2004-07-15 Tokyo Electron Ltd Substrate processing apparatus and method therefor
WO2004107412A2 (en) * 2003-05-22 2004-12-09 Axcelis Technologies Inc. Wafer treatment system having load lock and buffer
US6896513B2 (en) * 2002-09-12 2005-05-24 Applied Materials, Inc. Large area substrate processing system
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
JP2008010670A (en) * 2006-06-29 2008-01-17 Nikon Corp Load lock apparatus for wafer joining apparatus, and wafer joining system
US7394520B2 (en) 2003-03-11 2008-07-01 Asml Netherlands B.V. Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
JP2009503818A (en) * 2005-07-19 2009-01-29 アプライド マテリアルズ インコーポレイテッド Method and apparatus for semiconductor processing
US7576831B2 (en) 2003-03-11 2009-08-18 Asml Netherlands B.V. Method and apparatus for maintaining a machine part
JP2009290177A (en) * 2008-06-02 2009-12-10 Japan Steel Works Ltd:The Semiconductor treatment device
US7878755B2 (en) 2003-03-11 2011-02-01 Asml Netherlands B.V. Load lock and method for transferring objects
WO2011043490A1 (en) * 2009-10-09 2011-04-14 キヤノンアネルバ株式会社 Vacuum heating/cooling device
JP2014078669A (en) * 2012-10-12 2014-05-01 Iza Corp Substrate processing apparatus and module for the same
JP2021027259A (en) * 2019-08-07 2021-02-22 東京エレクトロン株式会社 Substrate processing system

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03134176A (en) * 1989-10-18 1991-06-07 Tokyo Electron Ltd Unit for vacuum treating device
JPH03212932A (en) * 1990-01-18 1991-09-18 Ulvac Japan Ltd Vacuum processing apparatus
JPH03220716A (en) * 1990-01-26 1991-09-27 Hitachi Ltd Manufacturing apparatus for semiconductor
JPH03273606A (en) * 1990-02-17 1991-12-04 Fuji Electric Co Ltd Semiconductor manufacturing system
JPH0458184A (en) * 1990-06-28 1992-02-25 Toshiba Corp System for uranium accountancy and method for uranium accountancy
JPH04102325A (en) * 1990-08-22 1992-04-03 Nec Kyushu Ltd Diffusion apparatus
JPH0786171A (en) * 1993-09-17 1995-03-31 Tokyo Electron Ltd Batch cold wall processing system and leaning method therefor
JPH07145482A (en) * 1991-12-30 1995-06-06 Sony Corp Multichamber device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03134176A (en) * 1989-10-18 1991-06-07 Tokyo Electron Ltd Unit for vacuum treating device
JPH03212932A (en) * 1990-01-18 1991-09-18 Ulvac Japan Ltd Vacuum processing apparatus
JPH03220716A (en) * 1990-01-26 1991-09-27 Hitachi Ltd Manufacturing apparatus for semiconductor
JPH03273606A (en) * 1990-02-17 1991-12-04 Fuji Electric Co Ltd Semiconductor manufacturing system
JPH0458184A (en) * 1990-06-28 1992-02-25 Toshiba Corp System for uranium accountancy and method for uranium accountancy
JPH04102325A (en) * 1990-08-22 1992-04-03 Nec Kyushu Ltd Diffusion apparatus
JPH07145482A (en) * 1991-12-30 1995-06-06 Sony Corp Multichamber device
JPH0786171A (en) * 1993-09-17 1995-03-31 Tokyo Electron Ltd Batch cold wall processing system and leaning method therefor

Cited By (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6486444B1 (en) 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
EP1058291A2 (en) * 1999-06-03 2000-12-06 Applied Materials, Inc. Load-lock with external staging area
KR100773192B1 (en) * 1999-06-03 2007-11-02 어플라이드 머티어리얼스, 인코포레이티드 Method and vacuum appatatus for processing substrates
EP1058291A3 (en) * 1999-06-03 2006-01-25 Applied Materials, Inc. Load-lock with external staging area
JP2003013215A (en) * 2001-06-26 2003-01-15 Anelva Corp Sputtering apparatus
US7006888B2 (en) 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
US6896513B2 (en) * 2002-09-12 2005-05-24 Applied Materials, Inc. Large area substrate processing system
JP2004200329A (en) * 2002-12-17 2004-07-15 Tokyo Electron Ltd Substrate processing apparatus and method therefor
US7394520B2 (en) 2003-03-11 2008-07-01 Asml Netherlands B.V. Temperature conditioned load lock, lithographic apparatus comprising such a load lock and method of manufacturing a substrate with such a load lock
US7878755B2 (en) 2003-03-11 2011-02-01 Asml Netherlands B.V. Load lock and method for transferring objects
US7576831B2 (en) 2003-03-11 2009-08-18 Asml Netherlands B.V. Method and apparatus for maintaining a machine part
WO2004107412A3 (en) * 2003-05-22 2005-01-20 Axcelis Tech Inc Wafer treatment system having load lock and buffer
JP4935987B2 (en) * 2003-05-22 2012-05-23 アクセリス テクノロジーズ インコーポレーテッド Transfer device and method for use with an ion implanter
WO2004107412A2 (en) * 2003-05-22 2004-12-09 Axcelis Technologies Inc. Wafer treatment system having load lock and buffer
JP2007511896A (en) * 2003-05-22 2007-05-10 アクセリス テクノロジーズ インコーポレーテッド Workpiece handling apparatus with load lock and buffer
JP2009503818A (en) * 2005-07-19 2009-01-29 アプライド マテリアルズ インコーポレイテッド Method and apparatus for semiconductor processing
JP4635972B2 (en) * 2006-06-29 2011-02-23 株式会社ニコン Load lock device, method using the same, and wafer bonding system
JP2008010670A (en) * 2006-06-29 2008-01-17 Nikon Corp Load lock apparatus for wafer joining apparatus, and wafer joining system
JP2009290177A (en) * 2008-06-02 2009-12-10 Japan Steel Works Ltd:The Semiconductor treatment device
WO2011043490A1 (en) * 2009-10-09 2011-04-14 キヤノンアネルバ株式会社 Vacuum heating/cooling device
GB2477446A (en) * 2009-10-09 2011-08-03 Canon Anelva Corp Vacuum heating/cooling device
JP5380525B2 (en) * 2009-10-09 2014-01-08 キヤノンアネルバ株式会社 Vacuum heating and cooling device
JP2014078669A (en) * 2012-10-12 2014-05-01 Iza Corp Substrate processing apparatus and module for the same
US9355878B2 (en) 2012-10-12 2016-05-31 Tdk Corporation Substrate processing apparatus
JP2021027259A (en) * 2019-08-07 2021-02-22 東京エレクトロン株式会社 Substrate processing system

Also Published As

Publication number Publication date
JP2937846B2 (en) 1999-08-23

Similar Documents

Publication Publication Date Title
US5810538A (en) Semiconductor manufacturing equipment and method for carrying wafers in said equipment
US6802934B2 (en) Processing apparatus
JP2937846B2 (en) Multi-chamber wafer processing system
US6486444B1 (en) Load-lock with external staging area
US6053686A (en) Device and method for load locking for semiconductor processing
CN100403509C (en) Vertical heat treatment apparatus and method for operating same
JPH0555148A (en) Method and apparatus for multichamber-type single wafer processing
JP4744328B2 (en) Semiconductor manufacturing apparatus provided with cooling stage and semiconductor manufacturing method using the same
KR20030032034A (en) Double dual slot load lock for process equipment
JP3966594B2 (en) Preliminary vacuum chamber and vacuum processing apparatus using the same
JPS6052574A (en) Continuous sputtering device
JPH11214479A (en) Apparatus and method of treating substrate and apparatus for transporting substrate
US20050118000A1 (en) Treatment subject receiving vessel body, and treating system
KR101530024B1 (en) Substrate processing module, substrate processing apparatus and substrate transfering method including the same
JP2000208589A (en) Apparatus for processing
JPH06314729A (en) Vacuum processing apparatus
JP3604241B2 (en) Vertical heat treatment equipment
JP2000150613A (en) Transporting device for object to be treated
WO1998019335A1 (en) Vertical type heat treatment apparatus
KR100242534B1 (en) Multi chamber sysytem
JPH1079412A (en) Apparatus for manufacturing semiconductor
JPH07161797A (en) Processing device
JP3121022B2 (en) Decompression processing equipment
JP2004119627A (en) Semiconductor device manufacturing apparatus
JP3608065B2 (en) Vertical heat treatment apparatus and maintenance method for boat and heat insulation cylinder

Legal Events

Date Code Title Description
A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 19980922

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 19990518

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees