JP2009503818A - Method and apparatus for semiconductor processing - Google Patents

Method and apparatus for semiconductor processing Download PDF

Info

Publication number
JP2009503818A
JP2009503818A JP2008522833A JP2008522833A JP2009503818A JP 2009503818 A JP2009503818 A JP 2009503818A JP 2008522833 A JP2008522833 A JP 2008522833A JP 2008522833 A JP2008522833 A JP 2008522833A JP 2009503818 A JP2009503818 A JP 2009503818A
Authority
JP
Japan
Prior art keywords
chamber
processing tool
substrate
chambers
process chambers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008522833A
Other languages
Japanese (ja)
Inventor
ランディール タクール,
マイケル スプリンター,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2009503818A publication Critical patent/JP2009503818A/en
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

半導体を製造するための方法および装置が、外部壁を具備する少なくとも2個の移送チャンバと、該移送チャンバに取り付けられている少なくとも1個の保持チャンバと、該移送チャンバの壁に取り付けられている少なくとも1個のロードロックチャンバと、該移送チャンバの該壁に取り付けられている少なくとも5個のプロセスチャンバとを備えている。高誘電率膜を堆積するための方法および装置が、第1のプロセスチャンバにおいて基板上にベース酸化物を堆積するステップと、少なくとも1個の第2のプロセスチャンバにおいて該基板の表面に減結合プラズマ窒化を提供するステップと、第3のプロセスチャンバにおいて該基板の該表面をアニーリングするステップと、少なくとも1個の第4のプロセスチャンバにおいて多結晶シリコンを堆積するステップと、を備えており、該第1、第2、第3および第4のプロセスチャンバが共通の内部チャンバと流体連通している。
【選択図】 図3
A method and apparatus for manufacturing a semiconductor is attached to at least two transfer chambers with external walls, at least one holding chamber attached to the transfer chamber, and a wall of the transfer chamber. At least one load lock chamber and at least five process chambers attached to the wall of the transfer chamber. A method and apparatus for depositing a high dielectric constant film includes depositing a base oxide on a substrate in a first process chamber, and a decoupled plasma on the surface of the substrate in at least one second process chamber. Providing nitridation; annealing the surface of the substrate in a third process chamber; and depositing polycrystalline silicon in at least one fourth process chamber. The first, second, third and fourth process chambers are in fluid communication with a common internal chamber.
[Selection] Figure 3

Description

発明の背景Background of the Invention

発明の分野
[0001]本発明の実施形態は概して、複数の堆積処理モジュールによって処理シーケンスを実行するように構成されている一体型電子デバイス処理システムに関する。
Field of Invention
[0001] Embodiments of the present invention generally relate to an integrated electronic device processing system configured to perform a processing sequence by a plurality of deposition processing modules.

関連技術の説明Explanation of related technology

[0002]半導体デバイスは、一体型ツールなどのマルチチャンバ処理システムにおいて基板を処理することによって形成される。閉鎖環境において相互に連通している複数のチャンバは、基板がチャンバ間の大気に暴露される場合に生じる化学物質および粒子の汚染を低減し、かつ更なる電力消費を回避するため、望ましい。チャンバは剛性壁、ウィンドウ、スリットバルブ、および処理システムの残りの部分を保護するための他の機器によって隔離され、またチャンバ間で基板を輸送するスリットバルブおよびロボットによって相互にアクセス可能である。コントロールされた処理環境はメインフレーム、圧力コントロールシステム、基板移送ロボット、ロードロック、および複数の処理チャンバを含んでいる。コントロールされた環境での処理は欠陥を低減し、デバイス歩留まりを改良する。   [0002] Semiconductor devices are formed by processing a substrate in a multi-chamber processing system, such as an integrated tool. Multiple chambers in communication with each other in a closed environment are desirable because they reduce chemical and particulate contamination that occurs when the substrate is exposed to the atmosphere between the chambers and avoid further power consumption. The chambers are isolated by rigid walls, windows, slit valves, and other equipment to protect the rest of the processing system, and are mutually accessible by slit valves and robots that transport substrates between the chambers. The controlled processing environment includes a main frame, a pressure control system, a substrate transfer robot, a load lock, and a plurality of processing chambers. Processing in a controlled environment reduces defects and improves device yield.

[0003]図1(従来技術)は、カリフォルニア州、サンタクララにあるApplied Materials,Inc.によって製造されたCENTURA(商標)処理ツールとして市販されている半導体基板処理用のマルチプロセスチャンバプラットフォームの概略図を描いている。図2は、カリフォルニア州、サンタクララにあるApplied Materials,Inc.によって製造されたENDURA(商標)処理ツールとして市販されている半導体基板処理用の別のマルチプロセスチャンバプラットフォームの概略図を描いている。これらのツールは、チャンバ間で基板を移送するための単一、二重、またはマルチブレードロボットを利用するように適合可能である。このような段階的真空基板処理システムの詳細は、1993年2月16日に発行された「Staged−Vacuum Substrate Processing System and Method」と題された米国特許第5,186,718号に開示されており、これは参照して本明細書に組み入れられる。チャンバの正確な配列および組み合わせは、製作プロセスの具体的なステップを実行するために変えられてもよい。   [0003] FIG. 1 (prior art) is shown in Applied Materials, Inc., Santa Clara, California. 1 depicts a schematic diagram of a multi-process chamber platform for semiconductor substrate processing commercially available as CENTURA ™ processing tool manufactured by FIG. 2 is from Applied Materials, Inc., located in Santa Clara, California. 1 depicts a schematic diagram of another multi-process chamber platform for semiconductor substrate processing that is commercially available as an ENDURA ™ processing tool manufactured by AA. These tools are adaptable to utilize single, double, or multi-blade robots for transferring substrates between chambers. Details of such a staged vacuum substrate processing system are disclosed in US Pat. No. 5,186,718 entitled “Staged- Vacuum Substrate Processing System and Method” issued February 16, 1993. Which is incorporated herein by reference. The exact arrangement and combination of chambers may be varied to carry out the specific steps of the fabrication process.

[0004]図1(従来技術)に描かれている処理ツール100は複数のプロセスチャンバ114A〜Dと、移送チャンバ110と、サービスチャンバ116A〜Bと、1対のロードロックチャンバ106A〜Bとを含有している。チャンバ間で基板を輸送するために、移送チャンバ110はロボット輸送機構113を含有している。輸送機構113は、それぞれ延長可能アーム113Bの遠位端に取り付けられている1対の基板輸送ブレード113Aを有している。ブレード113Aは、プロセスチャンバに対して個々の基板を搬送するために使用される。動作中、輸送機構113のブレード113Aなどの基板輸送ブレードのうちの一方が、チャンバ106A〜Bなどのロードロックチャンバのうちの一方から基板Wを検索して、処理の第1の段階、例えばチャンバ114A〜Dにおける物理気相堆積(PVD)に基板Wを搬送する。チャンバが占有されている場合、ロボットは処理が完了するまで待機し、そして処理済み基板を一方のブレード113Aによってチャンバから除去して、新たな基板を第2のブレード(図示せず)によって挿入する。基板が処理されると、処理の第2の段階に移動可能である。各移動について、輸送機構113は概して、基板を搬送するブレードと、基板交換を実行するために空のブレードとを有している。輸送機構113は、交換が達成可能になるまで各チャンバで待機する。   [0004] The processing tool 100 depicted in FIG. 1 (prior art) includes a plurality of process chambers 114A-D, a transfer chamber 110, service chambers 116A-B, and a pair of load lock chambers 106A-B. Contains. In order to transport the substrate between the chambers, the transfer chamber 110 contains a robot transport mechanism 113. The transport mechanism 113 has a pair of substrate transport blades 113A that are each attached to the distal end of the extendable arm 113B. The blade 113A is used to transport individual substrates to the process chamber. In operation, one of the substrate transport blades, such as blade 113A of transport mechanism 113, retrieves substrate W from one of the load lock chambers, such as chambers 106A-B, and a first stage of processing, eg, a chamber. The substrate W is transferred to physical vapor deposition (PVD) at 114A to 114D. If the chamber is occupied, the robot waits until processing is complete and removes the processed substrate from the chamber by one blade 113A and inserts a new substrate by a second blade (not shown). . Once the substrate is processed, it can be moved to a second stage of processing. For each movement, the transport mechanism 113 generally has a blade that carries the substrate and an empty blade to perform the substrate exchange. The transport mechanism 113 waits in each chamber until replacement can be achieved.

[0005]プロセスチャンバ内で処理が完了されると、輸送機構113は基板Wを最後のプロセスチャンバから移動させて、基板Wをロードロックチャンバ106A〜B内のカセットに輸送する。ロードロックチャンバ106A〜Bから、基板はファクトリインタフェース104に移動する。ファクトリインタフェース104は概して、大気圧クリーン環境のポッドローダー105A〜Dとロードロックチャンバ106A〜Bとの間で基板を移送するように動作する。ファクトリインタフェース104のクリーン環境は概して、例えばHEPAろ過などの空気ろ過プロセスによって提供される。ファクトリインタフェース104はまた、処理前に基板を適切に整列させるために使用される基板配向器/整列器(図示せず)を含むことがある。ロボット108A〜Bなどの少なくとも1個の基板ロボットがファクトリインタフェース104に位置決めされており、ファクトリインタフェース104内の種々の位置/場所間で、かつこれと連通している他の場所に基板を輸送する。ロボット108A〜Bは、ファクトリインタフェース104の第1の端から第2の端にエンクロージャ104内の追跡システムに沿って動くように構成されてもよい。   [0005] When processing is completed in the process chamber, the transport mechanism 113 moves the substrate W from the last process chamber and transports the substrate W to a cassette in the load lock chamber 106A-B. From the load lock chambers 106A-B, the substrate moves to the factory interface 104. The factory interface 104 generally operates to transfer substrates between the pod loaders 105A-D and the load lock chambers 106A-B in an atmospheric pressure clean environment. The clean environment of the factory interface 104 is generally provided by an air filtration process such as HEPA filtration. The factory interface 104 may also include a substrate aligner / aligner (not shown) that is used to properly align the substrate prior to processing. At least one substrate robot, such as robots 108A-B, is positioned at the factory interface 104 and transports the substrate between various locations / locations within the factory interface 104 and to other locations in communication therewith. . The robots 108A-B may be configured to move along a tracking system in the enclosure 104 from a first end to a second end of the factory interface 104.

[0006]図2(従来技術)に描かれている処理ツール200は、例えば4個のプロセスチャンバ232、234、236および238と、内部移送チャンバ258と、プレクリーンチャンバ222と、冷却チャンバ224と、初期移送チャンバ206と、基板配向器および脱ガスチャンバ218および216と、1対のロードロックチャンバ202および204とを含有している。初期移送チャンバ206は、ロードロックチャンバ202および204と、基板配向器および脱ガスチャンバ216および218と、プレクリーンチャンバ222と、冷却チャンバ224とに対して中央に配置されている。これらのチャンバ間での基板移送を実行するために、初期移送チャンバ206は第1のロボット移送機構210、例えば単一ブレードロボット(SBR)を含有している。基板は通常ステージから、ロードロックチャンバ202または204のうちの一方内におかれているカセット(図示せず)の処理ツール200に搬送される。SBR210は基板を、一度に1個ずつ、カセットから4個のチャンバ212、214、216および218のうちのいずれか1個に輸送する。通常、所与の基板がまず基板配向器と、脱ガスチャンバ216および218のうちの一方とに置かれてから、プレクリーンチャンバ212に移動される。冷却チャンバ214は概して、基板がプロセスチャンバ232、234、236および238内で処理される後までは使用されない。個々の基板は、SBR210の1対の延長可能アームの遠位端に配置されている基板輸送ブレード上に搬送される。輸送動作はマイクロプロセッサコントローラ201によってコントロールされる。   [0006] The processing tool 200 depicted in FIG. 2 (prior art) includes, for example, four process chambers 232, 234, 236 and 238, an internal transfer chamber 258, a pre-clean chamber 222, and a cooling chamber 224. , An initial transfer chamber 206, a substrate aligner and degas chamber 218 and 216, and a pair of load lock chambers 202 and 204. The initial transfer chamber 206 is centrally located with respect to the load lock chambers 202 and 204, the substrate aligner and degas chambers 216 and 218, the preclean chamber 222, and the cooling chamber 224. To perform substrate transfer between these chambers, the initial transfer chamber 206 contains a first robot transfer mechanism 210, such as a single blade robot (SBR). The substrate is typically transferred from the stage to a processing tool 200 in a cassette (not shown) that is placed in one of the load lock chambers 202 or 204. The SBR 210 transports substrates one at a time from the cassette to any one of the four chambers 212, 214, 216, and 218. Typically, a given substrate is first placed in the substrate director and one of the degas chambers 216 and 218 and then moved to the preclean chamber 212. The cooling chamber 214 is generally not used until after the substrate is processed in the process chambers 232, 234, 236 and 238. Individual substrates are transported onto a substrate transport blade located at the distal end of a pair of extendable arms of SBR 210. The transport operation is controlled by the microprocessor controller 201.

[0007]内部移送チャンバ258は、4個のプロセスチャンバ232、234、236および238ならびにプレクリーンチャンバ222および冷却チャンバ224によって囲まれており、これらへのアクセスを有している。チャンバ間の基板の輸送を実行するために、内部移送チャンバ258は第2の輸送機構230、例えば二重ブレードロボット(DBR)を含有する。DBR230は、1対の延長可能アームの遠位端に取り付けられている1対の基板輸送ブレードを有している。動作中、DBR230の基板輸送ブレードのうち一方がプレクリーンチャンバ222から基板を検索して、この基板を、処理の第1の段階、例えばチャンバ232における物理気相堆積(PVD)に搬送する。チャンバが占有されている場合、DBR230は処理が完了されるまで待機してから、基板を交換する、つまり処理済み基板を一方のブレードによってチャンバから除去して、新たな基板を第2のブレードによって挿入する。基板が処理されると(つまり、基板上の材料のPVD)、基板は処理の第2の段階などに移動可能である。各移動について、DBR230は概して、基板を搬送するブレードと、基板交換を実行するために空のブレードとを有している。DBR230は、交換が達成可能になるまで各チャンバで待機する。   [0007] The internal transfer chamber 258 is surrounded by and has access to four process chambers 232, 234, 236 and 238 and a pre-clean chamber 222 and a cooling chamber 224. In order to perform the transport of substrates between chambers, the internal transfer chamber 258 contains a second transport mechanism 230, such as a double blade robot (DBR). DBR 230 has a pair of substrate transport blades attached to the distal ends of a pair of extendable arms. In operation, one of the substrate transport blades of the DBR 230 retrieves a substrate from the pre-clean chamber 222 and transports the substrate to a first stage of processing, such as physical vapor deposition (PVD) in the chamber 232. If the chamber is occupied, the DBR 230 waits until processing is complete, then replaces the substrate, ie, removes the processed substrate from the chamber by one blade and removes a new substrate by the second blade. insert. Once the substrate is processed (ie, PVD of the material on the substrate), the substrate can be moved to a second stage of processing, and the like. For each movement, the DBR 230 generally has a blade that carries the substrate and an empty blade to perform the substrate exchange. DBR 230 waits in each chamber until a replacement can be achieved.

[0008]プロセスチャンバ内で処理が完了されると、輸送機構230は基板をプロセスチャンバから移動させて、この基板を冷却チャンバ222に輸送する。基板は次いで、初期移送チャンバ206内の第1のロボット移送機構210を使用して冷却チャンバから除去される。最後に、基板は、ロードロックチャンバ202または204のうち一方内のカセットに置かれて、一体型ツール内の基板製作プロセスを完了させる。   [0008] Once processing is completed in the process chamber, the transport mechanism 230 moves the substrate out of the process chamber and transports the substrate to the cooling chamber 222. The substrate is then removed from the cooling chamber using the first robot transfer mechanism 210 in the initial transfer chamber 206. Finally, the substrate is placed in a cassette in one of the load lock chambers 202 or 204 to complete the substrate fabrication process in the integrated tool.

[0009]基板製作プロセスの有効性は、2個の関連要因、デバイス歩留まりおよび所有コスト(COO)によって測定される。これらの要因は、電子デバイスの生産コストおよびデバイス製造業者の競争力に直接影響を与える。COOは、多数の要因に影響されるが、システムおよびチャンバスループットや、単に処理シーケンスを使用して処理される1時間当たりの基板数によって最も大きく影響される。プロセスシーケンスは、一体型ツールにおいて1個以上の処理チャンバで完了されるデバイス製作ステップの組み合わせである。一体型ツールの基板スループットがロボットの使用可能性によって制限されない場合、長いデバイス製作ステップが処理シーケンスのスループットを制限し、COOを増大させ、かつ潜在的に望ましい処理シーケンスを非現実的なものとしてしまう。   [0009] The effectiveness of the substrate fabrication process is measured by two related factors, device yield and cost of ownership (COO). These factors have a direct impact on electronic device production costs and device manufacturer competitiveness. COO is affected by a number of factors, but is most affected by system and chamber throughput, and simply by the number of substrates per hour that are processed using the processing sequence. A process sequence is a combination of device fabrication steps that are completed in one or more processing chambers in an integrated tool. If the substrate throughput of an integrated tool is not limited by robot availability, long device fabrication steps limit process sequence throughput, increase COO, and make potentially desirable process sequences impractical. .

[0010]一体型ツールは、半導体デバイス製作プロセスを実行するように適合された複数の単一基板処理チャンバを利用する。PVDチャンバやCVDチャンバなどの従来の製作プロセス用の通常のシステムスループットは、1時間当たり30〜60個の基板に通常の堆積プロセスを提供する。通常の事前および事後処理ステップ全てによる2〜4個のプロセスチャンバによるシステムは、約1〜2分の最大処理時間を有している。最大処理ステップ時間は、システムに含有されている平行プロセスや冗長チャンバの数に基づいて変更することがある。   [0010] An integrated tool utilizes a plurality of single substrate processing chambers adapted to perform a semiconductor device fabrication process. Typical system throughput for conventional fabrication processes such as PVD chambers and CVD chambers provides a normal deposition process for 30-60 substrates per hour. A system with two to four process chambers with all the usual pre- and post-processing steps has a maximum processing time of about 1-2 minutes. The maximum processing step time may vary based on the number of parallel processes and redundant chambers contained in the system.

[0011]より小型の半導体デバイスの一次的利点は、デバイス処理速度を改良し、かつデバイスによる熱の発生を低減することである。プロセス変動性耐性は、半導体デバイスのサイズが縮小するにつれて縮小する。これらのより厳しいプロセス要件を満たすために、産業界は新たなプロセスを開発してきたが、これらは、しばしば完了するのにより多くの時間がかかる。例えば、一部のALDプロセスは、基板表面上に高品質の層を堆積させるのに約10〜約200分のチャンバ処理時間を必要とし、これは1時間当たり約0.3〜約6個程度の基板の基板処理シーケンススループットをもたらすことになる。デバイス性能の改良によりゆっくりとしたプロセスを使用することを強いられる場合、より遅い基板スループットゆえに製作コストは増大する。所望のスループットを満たすために更なるチャンバを一体型処理ツールに付加することが可能であるが、一体型処理ツールのサイズおよびこのツールを稼動させるスタッフを著しく増大させずにプロセスチャンバやツールの数を増大させることはしばしば非現実的である。これらは、しばしば、基板製作プロセスの最も高価な態様である。   [0011] A primary advantage of smaller semiconductor devices is to improve device processing speed and reduce the generation of heat by the device. Process variability tolerance decreases as the size of the semiconductor device decreases. To meet these more stringent process requirements, the industry has developed new processes, which often take more time to complete. For example, some ALD processes require about 10 to about 200 minutes of chamber processing time to deposit a high quality layer on the substrate surface, which is on the order of about 0.3 to about 6 per hour. Result in a substrate processing sequence throughput of the substrate. If device performance improvements are forced to use a slower process, fabrication costs increase due to slower substrate throughput. Additional chambers can be added to the integrated processing tool to meet the desired throughput, but the number of process chambers and tools without significantly increasing the size of the integrated processing tool and the staff operating the tool Is often unrealistic. These are often the most expensive aspects of the substrate fabrication process.

[0012]デバイス性能の変動性および反復性に影響を与える恐れのある要因の1つはキュー時間である。キュー時間は、第1のプロセスが基板上で完了された後、デバイス性能の低減を防止するために第2のプロセスが基板上で完了される前に基板が大気や他の汚染物質に暴露される恐れのある時間のことである。基板が、受容可能なキュー時間より長い間大気や他の汚染物質源に暴露される場合、デバイス性能は、第1の層と第2の層の界面の汚染ゆえに低減されることがある。従って、大気や他の汚染源への基板の暴露を含むプロセスシーケンスは、デバイス性能変動性を防止するために基板がこれらの源に暴露される時間をコントロールまたは最小化しなければならない。また、有用な電子デバイス製作プロセスは均一かつ反復可能なプロセス結果を送出し、汚染を最小化し、また基板処理シーケンスで使用するために考えられる受容可能なスループットを提供しなければならない。   [0012] One factor that can affect device performance variability and repeatability is queue time. The cue time is that after the first process is completed on the substrate, the substrate is exposed to the air and other contaminants before the second process is completed on the substrate to prevent a reduction in device performance. It is a time when there is a risk. If the substrate is exposed to the atmosphere or other contaminant source for longer than an acceptable cue time, device performance may be reduced due to contamination of the interface between the first layer and the second layer. Thus, process sequences that involve exposure of the substrate to the atmosphere or other sources of contamination must control or minimize the time that the substrate is exposed to these sources to prevent device performance variability. Also, useful electronic device fabrication processes must deliver uniform and repeatable process results, minimize contamination, and provide acceptable throughput for use in substrate processing sequences.

[0013]金属酸化物などの高誘電率材料は、基板上に形成される薄膜の1タイプである。基板上に金属酸化膜を形成するための現在の方法に伴う問題は、高表面粗さ、高結晶性、および/または形成された金属酸化膜の不良核生成を含んでいる。   [0013] High dielectric constant materials such as metal oxides are one type of thin film formed on a substrate. Problems with current methods for forming a metal oxide film on a substrate include high surface roughness, high crystallinity, and / or poor nucleation of the formed metal oxide film.

[0014]従って、基板上に高k誘電材料を形成するためのプロセスおよび装置の改良の必要がある。また、必要なデバイス性能目的を満たし、かつシステムスループットを増大させるために基板を処理可能なシステム、方法および装置の必要がある。   [0014] Accordingly, there is a need for improved processes and apparatus for forming high-k dielectric materials on a substrate. There is also a need for a system, method and apparatus that can process substrates to meet the required device performance objectives and increase system throughput.

発明の概要Summary of the Invention

[0015]本発明は概して、各処理ツールが外部壁を具備する少なくとも1個の移送チャンバを有する2個以上の処理ツールで基板を一体型処理するための方法および装置を提供しており、ここでは少なくとも1個の中間チャンバが該処理ツールを接続しており、また該一体型処理ツールは、該移送チャンバの該壁に取り付けられている少なくとも5個のプロセスチャンバを有している。本発明はまた、概して、1個以上の中間チャンバによって接続されている第1および第2の処理ツール上に配置されている少なくとも5個の処理チャンバに高誘電率膜を堆積させるための方法および一体型処理ツールを提供する。   [0015] The present invention generally provides a method and apparatus for integrally processing a substrate with two or more processing tools, each processing tool having at least one transfer chamber with an external wall, wherein Wherein at least one intermediate chamber connects the processing tool, and the integrated processing tool has at least five process chambers attached to the wall of the transfer chamber. The present invention also generally provides a method for depositing a high dielectric constant film in at least five processing chambers disposed on first and second processing tools connected by one or more intermediate chambers. Provide an integrated processing tool.

[0016]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のより特定的な説明は実施形態を参照してなされてもよく、これらの一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を認めてもよいため本発明の範囲の制限とみなされるべきではない点に留意すべきである。   [0016] In order that the above-cited features of the invention may be understood in detail, a more specific description of the invention briefly summarized above may be made by reference to the embodiments, some of which Is illustrated in the accompanying drawings. However, the attached drawings illustrate only typical embodiments of the invention, and the invention should not be regarded as a limitation on the scope of the invention as it may recognize other equally effective embodiments. It should be noted.

詳細な説明Detailed description

[0032]本発明は、2個以上の処理ツールを組み合わせることによって拡張処理シーケンスを実行するように構成された一体型処理ツールに関する。
処理ツール
[0033]図1および図2は、処理チャンバの正確な配列および組み合わせが製作プロセスの具体的なステップを実行するために変えられてもよい使用可能な処理ツールの実施形態を提供する。しかしながら、処理チャンバの総数は、互換性のあるプロセスチャンバを取り付けるための内部チャンバの外部表面積を含む複数の要因によって制限される。つまり、内部チャンバ寸法は、互換性のあるプロセスチャンバを提供することと、フロア空間を節約することと、チャンバの内部部分およびロードロックチャンバ内に達するロボットを構成することとのバランスをとるように、選択されなければならない。また、サービスチャンバは内部チャンバの外部表面積に取り付けられてもよい。
5個以上のプロセスチャンバを具備する一体型処理ツール
[0034]図3は、2個の処理ツール301A、301Bを組み合わせる一体型処理ツール300の実施形態の概略図である。システムコントローラ302は処理ツール301A、301Bの両方をコントロールする。内部チャンバ301は、中間チャンバ308A、308Bによって接続されている2個の領域301A、301Bを有しており、また更なるプロセスチャンバを取り付けるための更なる外部表面積を特徴付ける。この形状は、領域301Aの外部に沿ったサービスチャンバおよび2個のロードロックチャンバ306A〜Bの配置を容易にする。この形状は、また、最大6個のプロセスチャンバ314A〜Fの更なるプロセスチャンバを提供する。内部チャンバ310の2個の領域301A、301Bは中間チャンバ308A、308Bによって接続されて、ロボット315とロボット313間の連通を容易にする。中間チャンバ308A、308Bは、アニーリングチャンバなどのサービスチャンバであってもよい。
[0032] The present invention relates to an integrated processing tool configured to execute an extended processing sequence by combining two or more processing tools.
Processing tools
[0033] FIGS. 1 and 2 provide embodiments of usable processing tools where the exact arrangement and combination of processing chambers may be varied to perform specific steps of the fabrication process. However, the total number of processing chambers is limited by several factors including the external surface area of the internal chamber for mounting compatible process chambers. That is, the internal chamber dimensions balance between providing a compatible process chamber, saving floor space, and constructing the robot to reach the internal portion of the chamber and the load lock chamber. Must be selected. The service chamber may also be attached to the outer surface area of the inner chamber.
Integrated processing tool with 5 or more process chambers
[0034] FIG. 3 is a schematic diagram of an embodiment of an integrated processing tool 300 that combines two processing tools 301A, 301B. The system controller 302 controls both the processing tools 301A and 301B. Inner chamber 301 has two regions 301A, 301B connected by intermediate chambers 308A, 308B and characterizes an additional external surface area for mounting additional process chambers. This shape facilitates placement of the service chamber and the two load lock chambers 306A-B along the exterior of region 301A. This shape also provides an additional process chamber of up to six process chambers 314A-F. The two regions 301A and 301B of the internal chamber 310 are connected by intermediate chambers 308A and 308B to facilitate communication between the robot 315 and the robot 313. The intermediate chambers 308A, 308B may be service chambers such as annealing chambers.

[0035]図4は、一体型処理ツール400の代替実施形態の概略図である。ツールの長さは増大されるが、ツールの幅は、標準ENDURA(商標)ツールなどのより小型のシステムに匹敵する。従って、内部チャンバ410の外部表面積および内部容積は標準ENDURA(商標)ツールより大きい。より大きな外部表面積は、一体型処理ツール400の外部表面に沿って置かれる複数のサービスチャンバおよび1個のロードロック406Aを許容する。基板はフロントエンド環境401を介して処理ツール400に導入される。より大きな外部表面積はまた、更なるプロセスチャンバ414A〜G、つまり7個のプロセスチャンバ用の場所を提供する。内部チャンバ410の2個の領域403A、403Bは中間チャンバ408A,408Bによって接続されており、ロボット415とロボット413の連通を容易にする。中間チャンバ408A,408Bはサービスチャンバであってもよい。ロードロック406Aは、参照して本明細書に組み入れられる米国特許第5,961,269号に説明されている上下ロードロックチャンバなどの上下ロードロックであってもよい。   [0035] FIG. 4 is a schematic diagram of an alternative embodiment of an integrated processing tool 400. As shown in FIG. Although the tool length is increased, the tool width is comparable to smaller systems such as standard ENDURA ™ tools. Thus, the external surface area and internal volume of the internal chamber 410 are larger than the standard ENDURA ™ tool. The larger external surface area allows multiple service chambers and a single load lock 406A to be placed along the external surface of the integrated processing tool 400. The substrate is introduced into the processing tool 400 via the front end environment 401. The larger external surface area also provides a place for additional process chambers 414A-G, ie seven process chambers. The two regions 403A and 403B of the internal chamber 410 are connected by the intermediate chambers 408A and 408B to facilitate communication between the robot 415 and the robot 413. The intermediate chambers 408A and 408B may be service chambers. Load lock 406A may be an upper and lower load lock such as the upper and lower load lock chambers described in US Pat. No. 5,961,269, incorporated herein by reference.

[0036]図3および図4の実施形態の両方について、システムコントローラ302、402、サービスチャンバおよびプロセスチャンバ314A〜H、414A〜Iの配置は、最適なロボットアクセス、熱伝達最適化または他の要因について選択されてもよい。プロセスチャンバ数はまた、図3の実施形態の4〜6個のプロセスチャンバおよび図4の4〜7個のプロセスチャンバについて調整されてもよい。コントローラパラメータはより大型の一体型処理ツール実施形態について調整されてもよい。パージガス、ガス送出システムおよび排出システムの流量は、より大きな一体型処理ツール容積全体を考慮してより大きな内部チャンバについて修正されてもよい。   [0036] For both the embodiment of FIG. 3 and FIG. 4, the placement of system controllers 302, 402, service chambers and process chambers 314A-H, 414A-I may provide optimal robot access, heat transfer optimization or other factors. May be selected. The number of process chambers may also be adjusted for the 4-6 process chambers of the embodiment of FIG. 3 and the 4-7 process chambers of FIG. Controller parameters may be adjusted for larger integrated processing tool embodiments. Purge gas, gas delivery system and exhaust system flow rates may be modified for larger internal chambers to account for the overall larger integrated processing tool volume.

ロードロックチャンバ
[0037]ロードロックは、フロントエンド環境と次の移送チャンバとの間の第1の容積界面を提供する。図3の実施形態では、2個のロードロック306A、306Bが、移送チャンバ301Bおよびフロントエンド環境320と交互に連通することによってスループットを増大させるように提供される。従って、一方のロードロックが移送チャンバと連通するのに対して、第2のロードロックはフロントエンド環境と連通可能である。一実施形態では、ロードロックは、ファクトリインタフェースから2個以上の基板を受信可能であり、かつ基板を保有可能であるバッチタイプロードロックであるのに対して、チャンバはシールされてから、移送チャンバへの基板の移送に十分低い真空レベルにまで空にされる。好ましくは、バッチロードロックは一度に25〜50個の基板を保有可能である。一実施形態では、ロードロックは、一体型ツールでの処理後に基板を冷却するように適合されてもよい。一実施形態では、ロードロックに保有されている基板は、両方ともロードロックに搭載されているガス源入口(図示せず)からガス排出口(図示せず)にガスを流すことによってもたらされる対流によって冷却されてもよい。別の実施形態では、ロードロックは、冷却可能な複数の熱伝導シェルフ(図示せず)を含むロードロックカセットが嵌合されてもよい。シェルフは、カセットに保有されている基板間にインタリーブ可能であるため、シェルフと基板間にギャップが存在する。本実施形態では、シェルフは基板を放射状に冷却することによって、基板の損傷や反りを回避するために基板の均一な加熱や冷却を提供することができる。別の実施形態では、シェルフは基板の表面に接触して、この表面から熱を伝導することによって基板を冷却する。
Load lock chamber
[0037] The load lock provides a first volume interface between the front end environment and the next transfer chamber. In the embodiment of FIG. 3, two load locks 306A, 306B are provided to increase throughput by alternating communication with transfer chamber 301B and front end environment 320. Thus, one load lock is in communication with the transfer chamber, while the second load lock is in communication with the front end environment. In one embodiment, the load lock is a batch type load lock that can receive and hold more than one substrate from the factory interface, whereas the chamber is sealed before the transfer chamber. And evacuated to a vacuum level low enough for transfer of the substrate to. Preferably, the batch load lock can hold 25-50 substrates at a time. In one embodiment, the load lock may be adapted to cool the substrate after processing with an integrated tool. In one embodiment, the substrate held in the loadlock is convectively provided by flowing gas from a gas source inlet (not shown), both mounted on the loadlock, to a gas outlet (not shown). May be cooled. In another embodiment, the load lock may be fitted with a load lock cassette that includes a plurality of thermally conductive shelves (not shown) that can be cooled. Since the shelf can be interleaved between the substrates held in the cassette, there is a gap between the shelf and the substrate. In this embodiment, the shelf can provide uniform heating and cooling of the substrate in order to avoid damage and warpage of the substrate by cooling the substrate radially. In another embodiment, the shelf contacts the surface of the substrate and cools the substrate by conducting heat from this surface.

[0038]一実施形態では、一体型ツールは、大気圧(例えば、760トール)またはこの付近の圧力で基板を処理するように適合されているため、ファクトリインタフェースと移送チャンバ間の中間チャンバとしてロードロックは必要とされない。本実施形態では、ファクトリインタフェースロボットは基板「W」をロボットに直接移送するか、ファクトリインタフェースは基板「W」を、ロードロックの場所をとる通過チャンバ(図示せず)に移送してもよいため、ロボットおよびファクトリインタフェースロボットは基板を交換可能である。移送チャンバは、移送チャンバ、適所に搭載されている処理チャンバおよびサービスチャンバにおける酸素、水および/または他の汚染物質の分圧を最小化するために不活性ガスによって継続的にパージされてもよい。使用可能な不活性ガスは例えばアルゴン、窒素またはヘリウムを含む。   [0038] In one embodiment, the integrated tool is adapted to process substrates at or near atmospheric pressure (eg, 760 Torr) so that it loads as an intermediate chamber between the factory interface and the transfer chamber. No lock is required. In this embodiment, the factory interface robot may transfer the substrate “W” directly to the robot, or the factory interface may transfer the substrate “W” to a passage chamber (not shown) that takes up the location of the load lock. Robots and factory interface robots can change substrates. The transfer chamber may be continuously purged with an inert gas to minimize the partial pressure of oxygen, water and / or other contaminants in the transfer chamber, the processing chamber and service chamber mounted in place. . Usable inert gases include, for example, argon, nitrogen or helium.

サービスチャンバ
[0039]サービスチャンバ308A、Bまたは408A、Bは、計測学、脱ガス化、配向、冷却および他のプロセスについて適合される。計測チャンバは膜厚測定や組成分析を提供可能である。基板はサービスチャンバに配向され、かつ/またはサービスチャンバに搭載されているIRランプを使用して脱ガスされてもよい。本発明の一態様では、プレクリーンプロセスステップが、表面汚染を除去するために、サービスチャンバの基板上で完了されてもよい。サービスチャンバは、プロセスチャンバのうちのいずれかと交換されてもよい。
Service chamber
[0039] Service chambers 308A, B or 408A, B are adapted for metrology, degasification, orientation, cooling and other processes. The measurement chamber can provide film thickness measurement and composition analysis. The substrate may be oriented in the service chamber and / or degassed using an IR lamp mounted on the service chamber. In one aspect of the invention, a pre-clean process step may be completed on the service chamber substrate to remove surface contamination. The service chamber may be replaced with any of the process chambers.

プロセスチャンバ
[0040]本発明の一態様では、単一基板処理チャンバのうちの1個以上は、バッチ堆積ステップを実行する前後に基板をアニーリングするために使用可能なRTPチャンバであってもよい。RTPプロセスは、カリフォルニア州サンタクララにあるApplied Materials,Inc.から市販されているRTPチャンバおよび関連プロセスハードウェアを使用して行われてもよい。本発明の別の態様では、単一基板処理チャンバのうちの1個以上はCVDチャンバであってもよい。このようなCVDプロセスチャンバの例は、カリフォルニア州サンタクララにあるApplied Materials,Inc.から市販されているDXZ(商標)チャンバ、Ultima HDP−CVD(商標)チャンバおよびPRECISION5000(登録商標)チャンバを含む。本発明の別の態様では、単一基板処理チャンバのうちの1個以上はPVDチャンバであってもよい。このようなPVDプロセスチャンバの例は、カリフォルニア州サンタクララにあるApplied Materials,Inc.から市販されているEndura(商標) PVD処理チャンバを含む。本発明の別の態様では、単一基板処理チャンバのうちの1個以上はDPNチャンバであってもよい。このようなDPNプロセスチャンバの例は、カリフォルニア州サンタクララにあるApplied Materials,Inc.から市販されているDPN Centura(商標)チャンバを含む。本発明の別の態様では、単一基板処理チャンバのうちの1個以上はプロセス/基板計測チャンバであってもよい。プロセス/基板計測チャンバで完了されるプロセスは、粒子測定技術、残渣ガス分析技術、XRF技術、および、偏光解析技術などの膜厚および/または膜組成を測定するのに使用される技術を含むことができるが、これらに制限されない。
Process chamber
[0040] In one aspect of the invention, one or more of the single substrate processing chambers may be RTP chambers that can be used to anneal the substrate before and after performing a batch deposition step. The RTP process is available from Applied Materials, Inc., located in Santa Clara, California. May be performed using commercially available RTP chambers and associated process hardware. In another aspect of the invention, one or more of the single substrate processing chambers may be a CVD chamber. Examples of such CVD process chambers are available from Applied Materials, Inc., located in Santa Clara, California. DXZ (TM) chamber, Ultima HDP-CVD (TM) chamber and PRECISION5000 (R) chamber commercially available from In another aspect of the invention, one or more of the single substrate processing chambers may be PVD chambers. Examples of such PVD process chambers are available from Applied Materials, Inc., located in Santa Clara, California. An Endura ™ PVD processing chamber commercially available from In another aspect of the invention, one or more of the single substrate processing chambers may be a DPN chamber. Examples of such DPN process chambers are available from Applied Materials, Inc., located in Santa Clara, California. DPN Centura ™ chamber commercially available from In another aspect of the invention, one or more of the single substrate processing chambers may be a process / substrate metrology chamber. Processes completed in the process / substrate metrology chamber include techniques used to measure film thickness and / or film composition, such as particle measurement techniques, residue gas analysis techniques, XRF techniques, and ellipsometry techniques However, it is not limited to these.

高誘電率膜堆積
[0041]図5〜図11は、高誘電率(高k)膜を堆積するプロセスのプロセスフロー図である。これらのプロセスの各々は、基板を更なる一体型ツールにリロードする前に4個以上のプロセスチャンバにアクセスする必要がある。チャンバ間で基板処理時間を分割するためにより多くのチャンバが使用される。高k膜堆積が、複数のプロセスステップ用のチャンバにアクセスすることによって1個の一体型ツールで使用可能な複数のプロセスチャンバを使用する場合に改良される。より大きなプロセスツールは、より短いラグ時間でのプロセスチャンバへのアクセスを促進して、ツール間の輸送中に化学物質への暴露を低減する。
High dielectric constant film deposition
[0041] FIGS. 5-11 are process flow diagrams of processes for depositing high dielectric constant (high k) films. Each of these processes requires access to four or more process chambers before reloading the substrate into a further integrated tool. More chambers are used to divide the substrate processing time between the chambers. High-k film deposition is improved when using multiple process chambers that can be used with a single integrated tool by accessing the chamber for multiple process steps. Larger process tools facilitate access to the process chamber with shorter lag times to reduce chemical exposure during transport between tools.

[0042]図5は、ステップ501で、まずベース酸化物を堆積する高k膜の堆積を図示している。ベース酸化物は、1個のプロセスチャンバにおいてin situスチーム発生(ISSG)を使用して堆積されてもよい。次に、ステップ502は、堆積酸化物を減結合プラズマ窒化によって処置する。減結合プラズマ窒化は、窒化プロセスを加速するために2個のプロセスチャンバで実行されてもよい。ステップ503はアニーリングステップを提供する。アニーリングステップは急速加熱アニーリングであってもよく、また1個のプロセスチャンバで実行されてもよい。次に、ステップ504は多結晶シリコン堆積ステップである。ステップ504は2個のプロセスチャンバを必要とすることもある。   [0042] FIG. 5 illustrates the deposition of a high-k film that first deposits a base oxide at step 501. The base oxide may be deposited using in situ steam generation (ISSG) in one process chamber. Step 502 then treats the deposited oxide by decoupled plasma nitridation. Decoupled plasma nitridation may be performed in two process chambers to accelerate the nitridation process. Step 503 provides an annealing step. The annealing step may be rapid heat annealing or may be performed in a single process chamber. Next, step 504 is a polycrystalline silicon deposition step. Step 504 may require two process chambers.

[0043]図6は、高k膜を堆積するプロセスの代替実施形態である。ステップ601は、1個または2個のプロセスチャンバで実行されてもよい原子層堆積などの任意の数のプロセスを使用する高k膜の堆積である。ステップ602はアニーリングステップであり、これは、1個のプロセスチャンバで実行される急速加熱アニーリングであってもよい。ステップ603は、2個のプロセスチャンバで実行される減結合プラズマ窒化である。ステップ604は、1個のプロセスチャンバで実行されるもう1個のアニーリングステップである。ステップ605は、1個または2個のプロセスチャンバで実行されてもよい原子層堆積ステップである。   [0043] FIG. 6 is an alternative embodiment of a process for depositing a high-k film. Step 601 is the deposition of a high-k film using any number of processes, such as atomic layer deposition that may be performed in one or two process chambers. Step 602 is an annealing step, which may be a rapid heating annealing performed in one process chamber. Step 603 is a decoupled plasma nitridation performed in two process chambers. Step 604 is another annealing step performed in one process chamber. Step 605 is an atomic layer deposition step that may be performed in one or two process chambers.

[0044]図7は、高k膜を堆積するプロセスの更なる実施形態である。ステップ701は、例えば1個のプロセスチャンバを使用する原子層堆積によってシリコンを堆積する。ステップ702は、1個のプロセスチャンバにおいてISSGを使用して酸化物を堆積する。ステップ703は、2個のプロセスチャンバにおいて減結合プラズマ窒化を使用する。ステップ704は、1個のプロセスチャンバで実行されるアニーリングステップである。ステップ705は、1個または2個のプロセスチャンバでの原子層堆積である。ステップ706は、2個のプロセスチャンバを使用することもある多結晶シリコン堆積ステップである。   [0044] FIG. 7 is a further embodiment of a process for depositing a high-k film. Step 701 deposits silicon by atomic layer deposition using, for example, a single process chamber. Step 702 deposits oxide using ISSG in one process chamber. Step 703 uses decoupled plasma nitridation in the two process chambers. Step 704 is an annealing step performed in one process chamber. Step 705 is atomic layer deposition in one or two process chambers. Step 706 is a polycrystalline silicon deposition step that may use two process chambers.

[0045]図8は、高k膜を堆積するプロセスの更なる代替実施形態である。ステップ801は、1個のプロセスチャンバにおいて原子層堆積を使用してシリコンを堆積する。ステップ802は、1個のプロセスチャンバにおいてISSGを使用して酸化物を堆積する。ステップ803は、1個または2個のチャンバを使用する減結合プラズマ窒化ステップである。ステップ804は、1個のプロセスチャンバにおける、急速加熱アニーリングなどのアニーリングステップである。ステップ805は、ステップ803のようなもう1つの減結合プラズマ窒化ステップである。ステップ806は、ステップ804のようなアニーリングステップである。ステップ807は、1個または2個のプロセスチャンバを使用することもある原子層堆積ステップである。   [0045] FIG. 8 is a further alternative embodiment of a process for depositing a high-k film. Step 801 deposits silicon using atomic layer deposition in one process chamber. Step 802 deposits oxide using ISSG in one process chamber. Step 803 is a decoupled plasma nitridation step using one or two chambers. Step 804 is an annealing step, such as rapid heating annealing, in one process chamber. Step 805 is another decoupled plasma nitridation step like step 803. Step 806 is an annealing step like step 804. Step 807 is an atomic layer deposition step that may use one or two process chambers.

[0046]図9は、高誘電率膜を堆積するプロセスの更なる実施形態である。ステップ901は、例えば1個のプロセスチャンバを使用する原子層堆積によってシリコンを堆積する。ステップ902は、シリコン表面を改良するクリーニングステップである。クリーニングは、アニーリング、オゾンや他のガスによるプラズマクリーニング、あるいは1個のプロセスチャンバにおける基板のエッチングを含んでもよい。ステップ903は、1個のプロセスチャンバにおいてISSGや他の方法を使用する酸化物形成ステップである。ステップ904は、2個のプロセスチャンバを使用することもある多結晶シリコン堆積である。ステップ905は、1個のプロセスチャンバにおいて、急速加熱アニーリングなどの方法を使用してアニーリングする。   [0046] FIG. 9 is a further embodiment of a process for depositing a high dielectric constant film. Step 901 deposits silicon, for example by atomic layer deposition using a single process chamber. Step 902 is a cleaning step to improve the silicon surface. Cleaning may include annealing, plasma cleaning with ozone or other gas, or etching of the substrate in one process chamber. Step 903 is an oxide formation step using ISSG or other methods in one process chamber. Step 904 is a polycrystalline silicon deposition that may use two process chambers. Step 905 anneals in one process chamber using a method such as rapid heat annealing.

[0047]図10は、高誘電率膜を堆積するプロセスの更なる実施形態である。ステップ1001は、例えば1個のプロセスチャンバを使用する原子層堆積によってシリコンを堆積する。ステップ1002は、シリコン表面を改良するクリーニングステップである。クリーニングは、アニーリング、オゾンや他のガスによるプラズマクリーニング、あるいは1個のプロセスチャンバにおける基板のエッチングを含むことがある。ステップ1003は、1個のプロセスチャンバにおいてISSGや他の方法を使用する酸化物形成ステップである。ステップ1004は、2個のプロセスチャンバで実行される原子層堆積などの任意の数のプロセスを使用する高k膜の堆積である。   [0047] FIG. 10 is a further embodiment of a process for depositing a high dielectric constant film. Step 1001 deposits silicon by atomic layer deposition using, for example, one process chamber. Step 1002 is a cleaning step to improve the silicon surface. Cleaning may include annealing, plasma cleaning with ozone or other gas, or etching of the substrate in one process chamber. Step 1003 is an oxide formation step using ISSG or other methods in one process chamber. Step 1004 is a high-k film deposition using any number of processes, such as atomic layer deposition performed in two process chambers.

[0048]図11は、高誘電率膜を堆積するプロセスの更なる実施形態である。ステップ1101は、例えば2個のプロセスチャンバを使用する原子層堆積によってシリコンを堆積する。ステップ1102は、シリコン表面を改良するクリーニングステップである。クリーニングは、アニーリング、オゾンや他のガスによるプラズマクリーニング、あるいは1個のプロセスチャンバにおける基板のエッチングを含むことがある。ステップ1103はエピタキシャル堆積ステップである。シリコン、シリコンカーバイド、シリコン酸化物またはシリコン窒化物は2個のプロセスチャンバでエピタキシャルに堆積されてもよい。   [0048] FIG. 11 is a further embodiment of a process for depositing a high dielectric constant film. Step 1101 deposits silicon by atomic layer deposition using, for example, two process chambers. Step 1102 is a cleaning step to improve the silicon surface. Cleaning may include annealing, plasma cleaning with ozone or other gas, or etching of the substrate in one process chamber. Step 1103 is an epitaxial deposition step. Silicon, silicon carbide, silicon oxide or silicon nitride may be epitaxially deposited in two process chambers.

[0049]図12は、本発明の一実施形態に従って形成されたゲート構造を有するトランジスタを図示している。シリコンゲルマニウムやシリコン炭素を含有する複数のフィールド分離領域1208は、あるタイプの導電性(例えばp型)の平面層1203のウェルを、他のタイプの導電性(例えばn型)の隣接ウェル(図示せず)から分離する。ゲート誘電層1211がボックス酸化物1202およびウェル1203上に形成される。通常、ゲート誘電層1211は、約5.0未満の誘電率を有する、酸化シリコン(SiO)および/または酸窒化シリコンなどの材料層を堆積または成長させることによって形成されてもよい。ゲート誘電技術における最近の進歩は、誘電率が高い材料(k>10)ほどゲート誘電層1211を形成するのに望ましいことを示している。従って、採用される適切な材料の例は、金属酸化物(Al、ZrO、HfO、TiO、YおよびLa)、強誘電体(チタン酸ジルコン酸鉛(PZT)およびチタン酸バリウムストロンチウム(BST))、アモルファス金属シリケート(HfSiおよびZrSi)、アモルファスシリケート酸化物((HfOおよびZrO)および常誘電体(paralectrics)(BaSr1−xTiOおよびPbZrTi1−x)を含むが、これらに制限されない。これらの材料を含有する高k層は種々の堆積プロセスによって形成されてもよい。 [0049] FIG. 12 illustrates a transistor having a gate structure formed in accordance with one embodiment of the present invention. A plurality of field isolation regions 1208 containing silicon germanium or silicon carbon are used to connect a well of one type of conductive (eg, p-type) planar layer 1203 to an adjacent well of another type (eg, n-type) (see FIG. (Not shown). A gate dielectric layer 1211 is formed over the box oxide 1202 and the well 1203. Typically, the gate dielectric layer 1211 may be formed by depositing or growing a layer of material such as silicon oxide (SiO n ) and / or silicon oxynitride having a dielectric constant of less than about 5.0. Recent advances in gate dielectric technology have shown that higher dielectric constant materials (k> 10) are more desirable for forming the gate dielectric layer 1211. Thus, examples of suitable materials employed are metal oxides (Al 2 O 3 , ZrO 2 , HfO 2 , TiO 2 , Y 2 O 3 and La 2 O 3 ), ferroelectrics (lead zirconate titanate) (PZT) and barium strontium titanate (BST)), amorphous metal silicates (HfSi x O y and ZrSi x O y ), amorphous silicate oxides ((HfO 2 and ZrO 2 ) and paraelectrics (Ba x including sr 1-x TiO 3, and PbZr x Ti 1-x O 3 ), without being restricted thereto. high k layers containing these materials may be formed by various deposition processes.

[0050]更に、導電性ゲート電極層1212はゲート誘電層1211上にブランケット堆積される。概して、ゲート電極層1212は、ドープ多結晶シリコン、無ドープ多結晶シリコン、シリコンカーバイドまたはシリコンゲルマニウム化合物などの材料を備えてもよい。しかしながら、想定されている実施形態は、金属、金属合金、金属酸化物、単結晶シリコン、アモルファスシリコン、シリサイド、または、ゲート電極を形成するために当業界で周知の他の材料を含有するゲート電極層1212を包含してもよい。   In addition, a conductive gate electrode layer 1212 is blanket deposited on the gate dielectric layer 1211. In general, the gate electrode layer 1212 may comprise a material such as doped polycrystalline silicon, undoped polycrystalline silicon, silicon carbide, or a silicon germanium compound. However, contemplated embodiments are gate electrodes containing metals, metal alloys, metal oxides, single crystal silicon, amorphous silicon, silicides, or other materials well known in the art for forming gate electrodes Layer 1212 may be included.

[0051]窒化物層などのハードマスク層1213が、導電層1212上にCVDプロセスによって堆積される。フォトレジスト層をマスクキング、暴露および現像してフォトレジストマスク(図示せず)を形成する各ステップを含むフォトリソグラフィプロセスが次いで実施される。フォトレジストマスクのパターンは、エッチングを整列させるためにフォトレジストマスクを使用してハードマスク層をゲート電極層1212の上部にエッチングすることによってハードマスク層に移送され、これによってハードマスク1213をゲート電極層1212上に生成することができる。更なる層1214がハードマスク1213上に形成されてもよい。   [0051] A hard mask layer 1213, such as a nitride layer, is deposited on the conductive layer 1212 by a CVD process. A photolithography process is then performed that includes the steps of masking, exposing and developing the photoresist layer to form a photoresist mask (not shown). The pattern of the photoresist mask is transferred to the hard mask layer by etching the hard mask layer on top of the gate electrode layer 1212 using the photoresist mask to align the etch, thereby causing the hard mask 1213 to move to the gate electrode. It can be produced on layer 1212. A further layer 1214 may be formed on the hard mask 1213.

[0052]この構造は更に、エッチングを整列させるためにハードマスクを使用して、フォトレジストマスクを除去し、かつゲート電極層1212を誘電層1211の上部にまでエッチングすることによって修正され、これによってハードマスクの下方にゲート電極層1212の残りの材料を含む導電性構造を作成する。この構造は、ハードマスクでもゲート誘電層1211でもなくゲート電極層1212をエッチングすることによって生じる。処理シーケンスを継続することによって、ゲート誘電層1211が平面層1203の上部にエッチングされる。ゲート電極1212およびゲート誘電体1211は共に、トランジスタなどの一体型デバイスのゲートスタックやゲートとしても知られている複合構造を画成する。   [0052] This structure is further modified by using a hard mask to align the etch, removing the photoresist mask, and etching the gate electrode layer 1212 to the top of the dielectric layer 1211, thereby A conductive structure including the remaining material of the gate electrode layer 1212 is created below the hard mask. This structure results from etching the gate electrode layer 1212, not the hard mask or the gate dielectric layer 1211. By continuing the processing sequence, the gate dielectric layer 1211 is etched on top of the planar layer 1203. Gate electrode 1212 and gate dielectric 1211 together form a composite structure, also known as a gate stack or gate of an integral device such as a transistor.

[0053]ゲートスタックの更なる処理において、浅型ソース/ドレイン延長部1215が注入プロセスを利用することによって形成される。ゲート電極1212はゲート誘電体1211の下方の基板領域をイオン注入から保護する。急速加熱プロセス(RTP)アニーリングが次いで、ゲート誘電体1211の下方で先端1209を部分的に駆動するために実行されてもよい。   [0053] In further processing of the gate stack, shallow source / drain extensions 1215 are formed by utilizing an implantation process. The gate electrode 1212 protects the substrate region below the gate dielectric 1211 from ion implantation. A rapid heating process (RTP) annealing may then be performed to partially drive the tip 1209 below the gate dielectric 1211.

[0054]次に、コンフォーマルな薄い酸化物層1210が基板表面全体に堆積される。この酸化物層は、シリコン表面を、通常はシリコン窒化物層であるスペーサ層(図示せず)から保護するために使用される。コンフォーマルな薄い酸化物層は通常、高温(>600℃)で低圧化学気相成長チャンバでTEOSソースガスによって堆積される。薄い酸化物層はシリコン基板と窒化物スペーサの間のストレスを緩和し、また、もう1個の材料層を提供することによってゲートコーナーをシリコン窒化物スペーサから保護する。低kの非シリコン窒化物材料が側壁スペーサとして使用される場合、このコンフォーマルな薄い酸化物層1210は場合によっては排除されたり、別の低誘電率材料と置換されたりすることが可能である。   [0054] Next, a conformal thin oxide layer 1210 is deposited over the entire substrate surface. This oxide layer is used to protect the silicon surface from a spacer layer (not shown), usually a silicon nitride layer. A conformal thin oxide layer is typically deposited by TEOS source gas in a low pressure chemical vapor deposition chamber at high temperature (> 600 ° C.). The thin oxide layer relieves stress between the silicon substrate and the nitride spacer and also protects the gate corner from the silicon nitride spacer by providing another material layer. If a low-k non-silicon nitride material is used as the sidewall spacer, this conformal thin oxide layer 1210 can optionally be eliminated or replaced with another low dielectric constant material. .

[0055]高度なデバイス製造について、スペーサ層(図示せず)や酸化物層1210の誘電率が大きすぎる場合、得られる構造はしばしば過剰な信号クロストークを招く。加えて、シリコン窒化物を堆積するために使用される熱CVDプロセスはしばしば高堆積温度を必要とする。高堆積温度はしばしば高い熱サイクルと、先端1209のドーパントプロファイルの変更を招く。従って、堆積温度が低いスペーサ層堆積プロセスを有することが望ましい。   [0055] For advanced device manufacturing, if the dielectric constant of the spacer layer (not shown) or oxide layer 1210 is too high, the resulting structure often results in excessive signal crosstalk. In addition, the thermal CVD process used to deposit silicon nitride often requires high deposition temperatures. High deposition temperatures often result in high thermal cycling and changes in the dopant profile at the tip 1209. Accordingly, it is desirable to have a spacer layer deposition process with a low deposition temperature.

[0056]図13は、本発明の一実施形態に従って形成されたゲート構造を有するトランジスタを図示している。分離酸化物1303は平面層1302に形成される。アクティブエリア1305は、オゾンプラズマなどのプロセスによってクリーニングされているシリコンやシリコン含有材料である。フィールド分離領域1308は、シリコンや、シリコンゲルマニウムなどのシリコン含有材料である。   [0056] FIG. 13 illustrates a transistor having a gate structure formed in accordance with one embodiment of the present invention. An isolation oxide 1303 is formed on the planar layer 1302. The active area 1305 is silicon or a silicon-containing material that has been cleaned by a process such as ozone plasma. The field isolation region 1308 is a silicon-containing material such as silicon or silicon germanium.

[0057]1個の一体型ツールで複数のチャンバを利用可能であることは、熱分布を最適化する方法を提供する。金属膜特性と、得られるDRAMおよびSTI形成とを最適化する可能性も提供する。高k膜は、高k金属ゲートスタック構造を生成する製造用途に望ましい。   [0057] The availability of multiple chambers with a single integrated tool provides a way to optimize heat distribution. It also offers the possibility to optimize the metal film properties and the resulting DRAM and STI formation. High-k films are desirable for manufacturing applications that produce high-k metal gate stack structures.

8個以上のプロセスチャンバを具備する代替一体型処理ツール
[0058]図14は、一体型処理ツール1400の代替実施形態の概略図である。システムコントローラ1402はシステムをコントロールする。内部チャンバ1410は保持チャンバ1408によって接続されている2個の領域を有しており、また更なるプロセスチャンバを取り付けるための更なる外部表面積を特徴とする。この形状は、内部チャンバ1410の外部に沿った4個のサービスチャンバ1416A〜Dおよび2個のロードロックチャンバ1406A〜Bの配置を容易にする。この形状もまた更なるプロセスチャンバ、最大8個のプロセスチャンバ1414A〜Hを提供する。内部チャンバ1410の2個の領域は保持チャンバ1408によって接続されており、ロボット1415とロボット1413の連通を容易にする。保持チャンバ1408はサービスチャンバであってもよい。
Alternative integrated processing tool with 8 or more process chambers
[0058] FIG. 14 is a schematic diagram of an alternative embodiment of an integrated processing tool 1400. As shown in FIG. A system controller 1402 controls the system. The internal chamber 1410 has two regions connected by a holding chamber 1408 and features an additional external surface area for mounting additional process chambers. This shape facilitates the placement of four service chambers 1416A-D and two load lock chambers 1406A-B along the exterior of the internal chamber 1410. This shape also provides additional process chambers, up to eight process chambers 1414A-H. The two regions of the internal chamber 1410 are connected by a holding chamber 1408 to facilitate communication between the robot 1415 and the robot 1413. The holding chamber 1408 may be a service chamber.

[0059]図15は、一体型処理ツール1500の更なる代替実施形態の概略図である。ツールの長さは増大されるが、ツールの幅は、標準ENDURA(商標)ツールなどのより小型のシステムに匹敵する。従って、内部チャンバ1510の外部表面積および内部容積は標準ENDURA(商標)ツールより大きい。より大きな外部表面積は、一体型処理ツール1500の外部表面に沿って置かれた4個のサービスチャンバ1516A〜Dおよび1個のロードロック1501を許容する。より大きな外部表面積はまた更なるプロセスチャンバ1514A〜I、最大9個のプロセスチャンバ用の場所を提供する。内部チャンバ1510の2個の領域は保持チャンバ1508によって接続されており、ロボット1515とロボット1513の連通を容易にする。保持チャンバ1508はサービスチャンバであってもよい。ロードロック1501は、参照して本明細書に組み入れられる米国特許第5,961,269号に説明されている上下ロードロックチャンバなどの上下ロードロックであってもよい。   [0059] FIG. 15 is a schematic diagram of a further alternative embodiment of an integrated processing tool 1500. As shown in FIG. Although the tool length is increased, the tool width is comparable to smaller systems such as standard ENDURA ™ tools. Accordingly, the external surface area and internal volume of the internal chamber 1510 are larger than the standard ENDURA ™ tool. The larger external surface area allows four service chambers 1516A-D and one load lock 1501 placed along the external surface of the integrated processing tool 1500. The larger external surface area also provides room for additional process chambers 1514A-I, up to nine process chambers. Two regions of the internal chamber 1510 are connected by a holding chamber 1508 to facilitate communication between the robot 1515 and the robot 1513. The holding chamber 1508 may be a service chamber. The load lock 1501 may be an upper and lower load lock such as the upper and lower load lock chambers described in US Pat. No. 5,961,269, incorporated herein by reference.

[0060]図14および図15の実施形態の両方について、システムコントローラ1402、1502、サービスチャンバ1416A〜D、1516A〜Dおよびプロセスチャンバ1414A〜H、1514A〜Iの配置は、最適なロボットアクセス、熱伝達最適化または他の要因について選択されてもよい。プロセスチャンバ数はまた、図14の実施形態の4〜8個のプロセスチャンバおよび図15の4〜9個のプロセスチャンバについて調整されてもよい。コントローラパラメータは、より大きな一体型処理ツールの実施形態について調整されてもよい。パージガス、ガス送出システムおよび排出システムの流量もまた、より大きな一体型処理ツール容積全体を考慮してより大きな内部チャンバについて修正されてもよい。   [0060] For both the embodiment of FIG. 14 and FIG. 15, the placement of system controllers 1402, 1502, service chambers 1416A-D, 1516A-D and process chambers 1414A-H, 1514A-I provides optimal robot access, thermal Selection may be made for transmission optimization or other factors. The number of process chambers may also be adjusted for the 4-8 process chambers of the embodiment of FIG. 14 and the 4-9 process chambers of FIG. Controller parameters may be adjusted for larger integrated processing tool embodiments. The purge gas, gas delivery system and exhaust system flow rates may also be modified for larger internal chambers to account for the overall larger integrated processing tool volume.

代替ロードロックチャンバ
[0061]ロードロックは、フロントエンド環境と次の移送チャンバの間の第1の真空界面を提供する。図14の実施形態では、2個のロードロックが、移送チャンバおよびフロントエンド環境と交互に連通することによってスループットを増大させるように提供される。従って、一方のロードロックが移送チャンバと連通するのに対して、第2のロードロックはフロントエンド環境と連通可能である。一実施形態では、ロードロックは、ファクトリインタフェースから2個以上の基板を受信可能であり、かつ基板を保有可能なバッチタイプロードロックであるのに対して、チャンバはシールされて、移送チャンバに基板を移送するのに十分低い真空レベルにまで空にされる。好ましくは、バッチロードロックは一度に25〜50個の基板を保有可能である。一実施形態では、ロードロックは、一体型ツールでの処理後に基板を冷却するように適合されてもよい。一実施形態では、ロードロックに保有されている基板は、両方ともロードロックに搭載されているガスソース入口(図示せず)からガス排出口(図示せず)までのガス流によってもたらされる対流によって冷却されてもよい。別の実施形態では、ロードロックは、冷却可能な複数の熱伝導シェルフ(図示せず)を含むロードロックカセットを嵌合されてもよい。シェルフは、カセットに保有されている基板間にインタリーブ可能であるため、シェルフと基板の間にギャップが存在する。本実施形態では、シェルフは基板を放射状に冷却することによって、基板の損傷や反りを回避するために基板の均一な加熱や冷却を提供することができる。別の実施形態では、シェルフは基板の表面に接触して、基板の表面から熱を伝導することによって基板を冷却する。
Alternative load lock chamber
[0061] The load lock provides a first vacuum interface between the front end environment and the next transfer chamber. In the embodiment of FIG. 14, two load locks are provided to increase throughput by alternating communication with the transfer chamber and front end environment. Thus, one load lock is in communication with the transfer chamber, while the second load lock is in communication with the front end environment. In one embodiment, the load lock is a batch type load lock that can receive and hold more than one substrate from the factory interface, whereas the chamber is sealed and the substrate is transferred to the transfer chamber. Is evacuated to a vacuum level low enough to transport Preferably, the batch load lock can hold 25-50 substrates at a time. In one embodiment, the load lock may be adapted to cool the substrate after processing with an integrated tool. In one embodiment, the substrates held in the loadlock are both convectively brought about by a gas flow from a gas source inlet (not shown) to a gas outlet (not shown) mounted on the loadlock. It may be cooled. In another embodiment, the load lock may be fitted with a load lock cassette that includes a plurality of thermally conductive shelves (not shown) that can be cooled. Since the shelf can be interleaved between the substrates held in the cassette, there is a gap between the shelf and the substrates. In this embodiment, the shelf can provide uniform heating and cooling of the substrate in order to avoid damage and warpage of the substrate by cooling the substrate radially. In another embodiment, the shelf contacts the surface of the substrate and cools the substrate by conducting heat from the surface of the substrate.

[0062]一実施形態では、一体型ツールは、大気圧(例えば、760トール)またはこの付近の圧力で基板を処理するように適合されているため、ファクトリインタフェースと移送チャンバ間の中間チャンバとしてロードロックは必要とされない。本実施形態では、ファクトリインタフェースロボットは基板「W」を直接ロボットに移送し、あるいはファクトリインタフェースロボットは、ロードロックの場所をとる通過チャンバ(図示せず)に基板「W」を移送したりしてもよいため、ロボットおよびファクトリインタフェースロボットは基板を交換可能である。移送チャンバは、移送チャンバ、適所に搭載されている処理チャンバおよびサービスチャンバにおける酸素、水および/または他の汚染物質の分圧を最小化するために不活性ガスによって継続的にパージされてもよい。使用可能な不活性ガスは、例えばアルゴン、窒素またはヘリウムを含む。   [0062] In one embodiment, the integrated tool is adapted to process substrates at or near atmospheric pressure (eg, 760 Torr) so that it is loaded as an intermediate chamber between the factory interface and the transfer chamber. No lock is required. In this embodiment, the factory interface robot transfers the substrate “W” directly to the robot, or the factory interface robot transfers the substrate “W” to a passage chamber (not shown) that takes the place of the load lock. Therefore, the robot and the factory interface robot can replace the substrate. The transfer chamber may be continuously purged with an inert gas to minimize the partial pressure of oxygen, water and / or other contaminants in the transfer chamber, the processing chamber and service chamber mounted in place. . Usable inert gases include, for example, argon, nitrogen or helium.

代替サービスチャンバ
[0063]サービスチャンバは、脱ガス化、配向、冷却および他のプロセスについて適合される。基板はサービスチャンバに配向され、かつ/またはサービスチャンバに搭載されているIRランプを使用して脱ガスされてもよい。本発明の一態様では、プレクリーンプロセスステップが、表面の汚染を除去するために、サービスチャンバの基板上で完了されてもよい。
Alternative service chamber
[0063] The service chamber is adapted for degassing, orientation, cooling and other processes. The substrate may be oriented in the service chamber and / or degassed using an IR lamp mounted on the service chamber. In one aspect of the invention, a pre-clean process step may be completed on the substrate of the service chamber to remove surface contamination.

代替プロセスチャンバ
[0064]本発明の一態様では、単一基板処理チャンバのうちの1個以上が、バッチ堆積ステップを実行する前後に基板をアニーリングするために使用可能なRTPチャンバであってもよい。RTPプロセスは、カリフォルニア州サンタクララにあるApplied Materials,Inc.から市販されているRTPチャンバおよび関連プロセスハードウェアを使用して行われてもよい。本発明の別の態様では、単一基板処理チャンバのうちの1個以上はCVDチャンバであってもよい。このようなCVDプロセスチャンバの例は、カリフォルニア州サンタクララにあるApplied Materials,Inc.から市販されているDXZ(商標)チャンバ、Ultima HDP−CVD(商標)およびPRECISION5000(登録商標)チャンバを含む。本発明の別の態様では、単一基板処理チャンバのうちの1個以上はPVDチャンバであってもよい。このようなPVDプロセスチャンバの例は、カリフォルニア州サンタクララにあるApplied Materials,Inc.から市販されているEndura(商標) PVD処理チャンバを含む。本発明の別の態様では、単一基板処理チャンバのうちの1個以上はDPNチャンバであってもよい。このようなDPMプロセスチャンバの例は、カリフォルニア州サンタクララにあるApplied Materials,Inc.から市販されているDPN Centura(商標)を含む。本発明の別の態様では、単一基板処理チャンバのうちの1個以上はプロセス/基板計測チャンバであってもよい。プロセス/基板計測チャンバで完了されるプロセスは、粒子測定技術、残渣ガス分析技術、XRF技術、および、偏光解析技術などの膜厚および/または膜組成を測定するのに使用される技術を含むことが可能であるが、これらに制限されない。
Alternative process chamber
[0064] In one aspect of the invention, one or more of the single substrate processing chambers may be an RTP chamber that can be used to anneal the substrate before and after performing a batch deposition step. The RTP process is available from Applied Materials, Inc., located in Santa Clara, California. May be performed using commercially available RTP chambers and associated process hardware. In another aspect of the invention, one or more of the single substrate processing chambers may be a CVD chamber. Examples of such CVD process chambers are available from Applied Materials, Inc., located in Santa Clara, California. DXZ (TM) chamber, Ultimate HDP-CVD (TM) and PRECISION5000 (R) chambers commercially available from In another aspect of the invention, one or more of the single substrate processing chambers may be PVD chambers. Examples of such PVD process chambers are available from Applied Materials, Inc., located in Santa Clara, California. An Endura ™ PVD processing chamber commercially available from In another aspect of the invention, one or more of the single substrate processing chambers may be a DPN chamber. Examples of such DPM process chambers are available from Applied Materials, Inc., located in Santa Clara, California. DPN Centura ™ commercially available from In another aspect of the invention, one or more of the single substrate processing chambers may be a process / substrate metrology chamber. Processes completed in the process / substrate metrology chamber include techniques used to measure film thickness and / or film composition, such as particle measurement techniques, residue gas analysis techniques, XRF techniques, and ellipsometry techniques Is possible, but is not limited to these.

[0065]上記は本発明の実施形態を目的としているが、本発明の他の更なる実施形態が、本発明の基本的範囲を逸脱することなく考案されてもよく、またこの範囲は以下の特許請求の範囲によって判断される。   [0065] While the above is directed to embodiments of the invention, other and further embodiments of the invention may be devised without departing from the basic scope thereof, and the scope is as follows: This is determined by the claims.

(従来技術)従来技術の処理ツールの概略図である(Prior Art) is a schematic diagram of a prior art processing tool. (従来技術)代替的な従来技術の処理ツールの概略図である。(Prior Art) is a schematic diagram of an alternative prior art processing tool. 一体型処理ツールの実施形態の概略図である。1 is a schematic diagram of an embodiment of an integrated processing tool. FIG. 一体型処理ツールの代替実施形態の実施形態の概略図である。FIG. 6 is a schematic diagram of an embodiment of an alternative embodiment of an integrated processing tool. 基板処理シーケンスの一実施形態のフローチャートである。It is a flowchart of one Embodiment of a substrate processing sequence. 基板処理シーケンスの代替実施形態のフローチャートである。6 is a flowchart of an alternative embodiment of a substrate processing sequence. 基板処理シーケンスの更なる代替実施形態のフローチャートである。6 is a flowchart of a further alternative embodiment of a substrate processing sequence. 基板処理シーケンスの更なる代替実施形態のフローチャートである。6 is a flowchart of a further alternative embodiment of a substrate processing sequence. 基板処理シーケンスの更なる代替実施形態のフローチャートである。6 is a flowchart of a further alternative embodiment of a substrate processing sequence. 基板処理シーケンスの更なる代替実施形態のフローチャートである。6 is a flowchart of a further alternative embodiment of a substrate processing sequence. 基板処理シーケンスの更なる代替実施形態のフローチャートである。6 is a flowchart of a further alternative embodiment of a substrate processing sequence. 基板構造の実施形態の断面図である。FIG. 6 is a cross-sectional view of an embodiment of a substrate structure. 代替基板構造の実施形態の断面図である。FIG. 6 is a cross-sectional view of an alternative substrate structure embodiment. 一体型ツールの代替実施形態の概略図である。FIG. 6 is a schematic diagram of an alternative embodiment of an integrated tool. 一体型ツールの更なる代替実施形態の概略図である。FIG. 6 is a schematic view of a further alternative embodiment of an integrated tool.

符号の説明Explanation of symbols

100…処理ツール、104…ファクトリインタフェース、105…ポッドローダー、106…ロードロックチャンバ、108…ロボット、110…移送チャンバ、113…輸送機構、113A…基板輸送ブレード、113B…延長可能アーム、114…プロセスチャンバ、116…サービスチャンバ、200…処理ツール、202、204…ロードロックチャンバ、206…初期移送チャンバ、210…第1のロボット移送機構、212、214、216、218…チャンバ、222…プレクリーンチャンバ、224…冷却チャンバ、230…第2の輸送機構、232、234、236、238…プロセスチャンバ、258…内部移送チャンバ、300…一体型処理ツール、301…処理ツール、302…システムコントローラ、306…ロードロック、308…中間チャンバ、310…内部チャンバ、314…プロセスチャンバ、400…一体型処理ツール、401…フロントエンド環境、402…システムコントローラ、403…領域、410…内部チャンバ、414…プロセスチャンバ、1202…ボックス酸化物、1203…ウェル、1208…フィールド分離領域、1210…酸化物層、1211…ゲート誘電層、1212…ゲート電極層、1213…ハードマスク層、1214…層、1215…浅型ソース/ドレイン延長部、1302…平面層、1303…分離酸化物、1305…アクティブエリア、1400…一体型処理ツール、1402…システムコントローラ、1406…ロードロックチャンバ、1408…保持チャンバ、1410…内部チャンバ、1413…ロボット、1414…プロセスチャンバ、1415…ロボット、1416…サービスチャンバ、1500…一体型処理ツール、1501…ロードロック、1502…システムコントローラ、1508…保持チャンバ、1510…内部チャンバ、1513…ロボット、1514…プロセスチャンバ、1515…ロボット、1516…サービスチャンバ DESCRIPTION OF SYMBOLS 100 ... Processing tool, 104 ... Factory interface, 105 ... Pod loader, 106 ... Load lock chamber, 108 ... Robot, 110 ... Transfer chamber, 113 ... Transport mechanism, 113A ... Substrate transport blade, 113B ... Extendable arm, 114 ... Process Chamber 116 116 Service chamber 200 Processing tool 202 204 Load lock chamber 206 Initial transfer chamber 210 First robot transfer mechanism 212 214 216 218 Chamber 222 Pre-clean chamber 224 ... Cooling chamber, 230 ... Second transport mechanism, 232, 234, 236, 238 ... Process chamber, 258 ... Internal transfer chamber, 300 ... Integrated processing tool, 301 ... Processing tool, 302 ... System controller, 306 ... Lock Lock, 308 ... Intermediate Chamber, 310 ... Internal Chamber, 314 ... Process Chamber, 400 ... Integrated Processing Tool, 401 ... Front End Environment, 402 ... System Controller, 403 ... Region, 410 ... Internal Chamber, 414 ... Process Chamber, 1202 ... Box oxide, 1203 ... Well, 1208 ... Field isolation region, 1210 ... Oxide layer, 1211 ... Gate dielectric layer, 1212 ... Gate electrode layer, 1213 ... Hard mask layer, 1214 ... Layer, 1215 ... Shallow source / drain Extension part 1302 ... Planar layer 1303 ... Separation oxide 1305 ... Active area 1400 ... Integrated processing tool 1402 ... System controller 1406 ... Load lock chamber 1408 ... Holding chamber 1410 ... Internal chamber 1413 Robot, 1414 ... Process chamber, 1415 ... Robot, 1416 ... Service chamber, 1500 ... Integrated processing tool, 1501 ... Load lock, 1502 ... System controller, 1508 ... Holding chamber, 1510 ... Internal chamber, 1513 ... Robot, 1514 ... Process Chamber, 1515 ... Robot, 1516 ... Service chamber

Claims (24)

半導体を製造するための一体型処理ツールであって、
少なくとも1個の移送チャンバと、前記移送チャンバに取り付けられている少なくとも1個のロードロックとを有する第1の処理ツールと、
少なくとも1個の移送チャンバを有する第2の処理ツールと、
前記第1の処理ツールおよび前記第2の処理ツールに取り付けられている少なくとも1個の中間チャンバと、
を備えており、
少なくとも5個のプロセスチャンバが前記移送チャンバに取り付けられている一体型処理ツール。
An integrated processing tool for manufacturing semiconductors,
A first processing tool having at least one transfer chamber and at least one load lock attached to the transfer chamber;
A second processing tool having at least one transfer chamber;
At least one intermediate chamber attached to the first processing tool and the second processing tool;
With
An integrated processing tool, wherein at least five process chambers are attached to the transfer chamber.
各移送チャンバがスリットバルブによって前記少なくとも1個の中間チャンバに取り付けられている、請求項1に記載の一体型処理ツール。   The integrated processing tool of claim 1, wherein each transfer chamber is attached to the at least one intermediate chamber by a slit valve. 前記第1の処理ツールが単一ブレードロボットを備える、請求項1に記載の一体型処理ツール。   The integrated processing tool of claim 1, wherein the first processing tool comprises a single blade robot. 前記第2の処理ツールが二重ブレードロボットを備える、請求項3に記載の一体型処理ツール。   The integrated processing tool of claim 3, wherein the second processing tool comprises a dual blade robot. 前記第1の処理ツールが2個のロードロックチャンバを有する、請求項1に記載の一体型処理ツール。   The integrated processing tool of claim 1, wherein the first processing tool has two load lock chambers. 前記少なくとも5個のプロセスチャンバが6個のプロセスチャンバからなる、請求項1に記載の一体型処理ツール。   The integrated processing tool of claim 1, wherein the at least five process chambers comprise six process chambers. 前記少なくとも5個のプロセスチャンバが7個のプロセスチャンバからなる、請求項1に記載の一体型処理ツール。   The integrated processing tool of claim 1, wherein the at least five process chambers comprise seven process chambers. 前記少なくとも5個のプロセスチャンバが8個のプロセスチャンバからなる、請求項1に記載の一体型処理ツール。   The integrated processing tool of claim 1, wherein the at least five process chambers comprise eight process chambers. 前記少なくとも5個のプロセスチャンバが9個のプロセスチャンバからなる、請求項1に記載の一体型処理ツール。   The integrated processing tool of claim 1, wherein the at least five process chambers comprise nine process chambers. 少なくとも1個のサービスチャンバを更に備える、請求項1に記載の一体型処理ツール。   The integrated processing tool of claim 1, further comprising at least one service chamber. 前記少なくとも1個のサービスチャンバが少なくとも1個の計測(metrology)チャンバである、請求項10に記載の一体型処理ツール。   The integrated processing tool of claim 10, wherein the at least one service chamber is at least one metrology chamber. 半導体を製造するための一体型処理ツールであって、
複数のプロセスチャンバをサポートするように構成されている第1の移送チャンバと、
複数のプロセスチャンバをサポートするように構成されている第2の移送チャンバと、
前記第1の移送チャンバと連通している少なくとも1個のロードロックチャンバと、
前記第1の移送チャンバおよび前記第2の移送チャンバによってサポートされている少なくとも1個の中間チャンバと、
前記第1および第2の移送チャンバと連通している少なくとも5個のプロセスチャンバと、
を備える一体型処理ツール。
An integrated processing tool for manufacturing semiconductors,
A first transfer chamber configured to support a plurality of process chambers;
A second transfer chamber configured to support a plurality of process chambers;
At least one load lock chamber in communication with the first transfer chamber;
At least one intermediate chamber supported by the first transfer chamber and the second transfer chamber;
At least five process chambers in communication with the first and second transfer chambers;
Integrated processing tool with
各中間チャンバがスリットバルブによって前記第1および第2の移送チャンバに取り付けられている、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12, wherein each intermediate chamber is attached to the first and second transfer chambers by slit valves. 少なくとも1個の単一ブレードロボットを更に備える、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12, further comprising at least one single blade robot. 各中間チャンバが、前記少なくとも5個のプロセスチャンバのうちのいずれかに輸送するために少なくとも2個のロボットによってアクセス可能である、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12, wherein each intermediate chamber is accessible by at least two robots for transport to any of the at least five process chambers. 少なくとも2個のロードロックチャンバを有する、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12 having at least two load lock chambers. 前記少なくとも5個のプロセスチャンバが6個のプロセスチャンバである、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12, wherein the at least five process chambers are six process chambers. 前記少なくとも5個のプロセスチャンバが7個のプロセスチャンバである、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12, wherein the at least five process chambers are seven process chambers. 前記少なくとも5個のプロセスチャンバが8個のプロセスチャンバである、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12, wherein the at least five process chambers are eight process chambers. 前記少なくとも5個のプロセスチャンバが9個のプロセスチャンバからなる、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12, wherein the at least five process chambers comprise nine process chambers. 少なくとも1個のサービスチャンバを更に備える、請求項12に記載の一体型処理ツール。   The integrated processing tool of claim 12, further comprising at least one service chamber. 前記少なくとも1個のサービスチャンバが少なくとも1個の計測チャンバである、請求項21に記載の一体型処理ツール。   The integrated processing tool of claim 21, wherein the at least one service chamber is at least one metrology chamber. 高誘電率膜を堆積するための方法であって、
第1のプロセスチャンバにおいて基板上にベース酸化物を堆積するステップと、
第2および第3のプロセスチャンバにおいて前記基板の表面に減結合プラズマ窒化を提供するステップと、
第4のプロセスチャンバにおいて前記基板の前記表面をアニーリングするステップと、
少なくとも1個の第5のプロセスチャンバにおいて多結晶シリコンを堆積するステップと、
を備えており、
前記第1、第2、第3、第4および第5のプロセスチャンバが共通の中間チャンバと流体連通している方法。
A method for depositing a high dielectric constant film comprising:
Depositing a base oxide on a substrate in a first process chamber;
Providing decoupled plasma nitridation on the surface of the substrate in second and third process chambers;
Annealing the surface of the substrate in a fourth process chamber;
Depositing polycrystalline silicon in at least one fifth process chamber;
With
The method wherein the first, second, third, fourth and fifth process chambers are in fluid communication with a common intermediate chamber.
高誘電率膜を堆積するための方法であって、
第1のプロセスチャンバにおいて基板上にベース酸化物を堆積するステップと、
第2および第3のプロセスチャンバにおいて前記基板の表面に減結合プラズマ窒化を提供するステップと、
第4のプロセスチャンバにおいて前記基板の前記表面をアニーリングするステップと、
第5および第6のプロセスチャンバにおいて前記基板の表面に減結合プラズマ窒化を提供するステップと、
第7のプロセスチャンバにおいて前記基板の前記表面をアニーリングするステップと、
第8のプロセスチャンバにおいて原子層堆積を提供するステップと、
を備えており、
前記第1、第2、第3、第4、第5、第6、第7および第8のプロセスチャンバが共通の中間チャンバと流体連通している方法。
A method for depositing a high dielectric constant film comprising:
Depositing a base oxide on a substrate in a first process chamber;
Providing decoupled plasma nitridation on the surface of the substrate in second and third process chambers;
Annealing the surface of the substrate in a fourth process chamber;
Providing decoupled plasma nitridation on the surface of the substrate in fifth and sixth process chambers;
Annealing the surface of the substrate in a seventh process chamber;
Providing atomic layer deposition in an eighth process chamber;
With
The method wherein the first, second, third, fourth, fifth, sixth, seventh and eighth process chambers are in fluid communication with a common intermediate chamber.
JP2008522833A 2005-07-19 2006-07-14 Method and apparatus for semiconductor processing Pending JP2009503818A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US70052305P 2005-07-19 2005-07-19
US11/234,487 US20070020890A1 (en) 2005-07-19 2005-09-22 Method and apparatus for semiconductor processing
PCT/US2006/027250 WO2007011666A2 (en) 2005-07-19 2006-07-14 Method and apparatus for semiconductor processing

Publications (1)

Publication Number Publication Date
JP2009503818A true JP2009503818A (en) 2009-01-29

Family

ID=37669366

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008522833A Pending JP2009503818A (en) 2005-07-19 2006-07-14 Method and apparatus for semiconductor processing

Country Status (6)

Country Link
US (2) US20070020890A1 (en)
EP (1) EP1911073A2 (en)
JP (1) JP2009503818A (en)
KR (1) KR20080034465A (en)
TW (1) TW200704578A (en)
WO (1) WO2007011666A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017092459A (en) * 2015-10-20 2017-05-25 ラム リサーチ コーポレーションLam Research Corporation Wafer transport assembly with integrated buffers
JP2019517736A (en) * 2016-06-03 2019-06-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Vacuum platform with processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates
KR20210068138A (en) * 2018-11-13 2021-06-08 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for manufacturing a substrate

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
EP1523761A1 (en) * 2002-06-21 2005-04-20 Applied Materials, Inc. Transfer chamber for vacuum processing system
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
TWI298895B (en) * 2004-06-02 2008-07-11 Applied Materials Inc Electronic device manufacturing chamber and methods of forming the same
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
TWI332532B (en) * 2005-11-04 2010-11-01 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
JP5558815B2 (en) * 2006-06-30 2014-07-23 アプライド マテリアルズ インコーポレイテッド Nanocrystal formation
US20080025821A1 (en) * 2006-07-25 2008-01-31 Applied Materials, Inc. Octagon transfer chamber
US7769942B2 (en) * 2006-07-27 2010-08-03 Rambus, Inc. Cross-threaded memory system
US7615486B2 (en) * 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
US7902018B2 (en) * 2006-09-26 2011-03-08 Applied Materials, Inc. Fluorine plasma treatment of high-k gate stack for defect passivation
KR100869359B1 (en) * 2006-09-28 2008-11-19 주식회사 하이닉스반도체 Method for fabricating recess gate in semiconductor device
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8821637B2 (en) * 2007-01-29 2014-09-02 Applied Materials, Inc. Temperature controlled lid assembly for tungsten nitride deposition
US20080216077A1 (en) * 2007-03-02 2008-09-04 Applied Materials, Inc. Software sequencer for integrated substrate processing system
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
FR2930675B1 (en) * 2008-04-24 2010-08-20 Alcatel Lucent STATION FOR MEASURING CONTAMINATION IN PARTICULAR OF A TRANSPORT ENCLOSURE FOR CONVEYING AND ATMOSPHERIC STORAGE OF SEMICONDUCTOR SUBSTRATES AND CORRESPONDING MEASUREMENT METHOD
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
JP5277128B2 (en) 2008-09-26 2013-08-28 富士フイルム株式会社 Positive resist composition for immersion exposure and pattern forming method
DE112009003614T5 (en) * 2008-11-14 2013-01-24 Tokyo Electron Ltd. Substrate processing system
JP4707749B2 (en) * 2009-04-01 2011-06-22 東京エレクトロン株式会社 Substrate replacement method and substrate processing apparatus
US20100304027A1 (en) * 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
KR101714607B1 (en) * 2010-06-10 2017-03-09 어플라이드 머티어리얼스, 인코포레이티드 Low resistivity tungsten pvd with enhanced ionization and rf power coupling
JP6178488B2 (en) * 2013-03-15 2017-08-09 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Processing system, apparatus and method adapted for substrate processing in electronic device manufacturing
KR20210127823A (en) * 2013-11-04 2021-10-22 어플라이드 머티어리얼스, 인코포레이티드 Transfer chambers with an increased number of sides, semiconductor device manufacturing processing tools, and processing methods
US9879341B2 (en) * 2015-06-22 2018-01-30 Applied Materials, Inc. Method and apparatus for microwave assisted chalcogen radicals generation for 2-D materials
US10510566B2 (en) 2015-07-14 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool techniques with improved efficiency
WO2017172158A1 (en) * 2016-03-29 2017-10-05 Applied Materials, Inc. Integrated metrology and process system for semiconductor substrate local stress and overlay correction
WO2017209900A1 (en) * 2016-06-03 2017-12-07 Applied Materials, Inc. A vacuum platform with process chambers for removing carbon contaminants and surface oxide from semiconductor substrates
JP6635888B2 (en) 2016-07-14 2020-01-29 東京エレクトロン株式会社 Plasma processing system
CN106098600B (en) * 2016-08-23 2019-06-28 沈阳拓荆科技有限公司 Laminated film sealed in unit
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11049719B2 (en) * 2017-08-30 2021-06-29 Applied Materials, Inc. Epitaxy system integrated with high selectivity oxide removal and high temperature contaminant removal
US11339473B2 (en) * 2019-01-09 2022-05-24 Samsung Electronics Co., Ltd. Apparatus for atomic layer deposition and method of forming thin film using the apparatus
CN111584676A (en) * 2019-02-15 2020-08-25 北京铂阳顶荣光伏科技有限公司 Coating equipment and continuous coating method
US10998209B2 (en) 2019-05-31 2021-05-04 Applied Materials, Inc. Substrate processing platforms including multiple processing chambers
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
CN113025987B (en) * 2019-12-09 2023-02-28 天虹科技股份有限公司 Method for reducing surface oxide formation of aluminum nitride
US11749542B2 (en) 2020-07-27 2023-09-05 Applied Materials, Inc. Apparatus, system, and method for non-contact temperature monitoring of substrate supports
US11817331B2 (en) 2020-07-27 2023-11-14 Applied Materials, Inc. Substrate holder replacement with protective disk during pasting process
US20220051918A1 (en) * 2020-08-13 2022-02-17 Applied Materials, Inc. Transfer chamber with integrated substrate pre-process chamber
US11600507B2 (en) 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US11610799B2 (en) 2020-09-18 2023-03-21 Applied Materials, Inc. Electrostatic chuck having a heating and chucking capabilities
US11605544B2 (en) 2020-09-18 2023-03-14 Applied Materials, Inc. Methods and systems for cleaning high aspect ratio structures
US11674227B2 (en) 2021-02-03 2023-06-13 Applied Materials, Inc. Symmetric pump down mini-volume with laminar flow cavity gas injection for high and low pressure
US12002668B2 (en) 2021-06-25 2024-06-04 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
CN117836920A (en) * 2021-09-03 2024-04-05 应用材料公司 Cluster tools, systems, and methods having one or more pressure stabilization chambers

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0616462U (en) * 1992-07-31 1994-03-04 セイコー電子工業株式会社 Cluster type thin film processing system with buffer
JPH09246347A (en) * 1996-03-01 1997-09-19 Applied Materials Inc Multichamber wafer treatment system
JP2000021948A (en) * 1998-06-30 2000-01-21 Toshiba Corp Semiconductor manufacture device/system
JP2002110761A (en) * 2000-05-04 2002-04-12 Applied Materials Inc Apparatus and method for robot having with temperature sensitive application
JP2005159295A (en) * 2003-09-18 2005-06-16 Nec Kagoshima Ltd Device and method for treating substrate

Family Cites Families (123)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5259881A (en) * 1991-05-17 1993-11-09 Materials Research Corporation Wafer processing cluster tool batch preheating and degassing apparatus
US5224809A (en) * 1985-01-22 1993-07-06 Applied Materials, Inc. Semiconductor processing system with robotic autoloader and load lock
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3743938C2 (en) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2598353B2 (en) * 1991-12-04 1997-04-09 アネルバ株式会社 Substrate processing device, substrate transfer device, and substrate replacement method
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5766360A (en) * 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
TW276353B (en) * 1993-07-15 1996-05-21 Hitachi Seisakusyo Kk
TW295677B (en) * 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
FI97731C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Method and apparatus for making thin films
FI97730C (en) * 1994-11-28 1997-02-10 Mikrokemia Oy Equipment for the production of thin films
FI100409B (en) * 1994-11-28 1997-11-28 Asm Int Method and apparatus for making thin films
KR100310249B1 (en) * 1995-08-05 2001-12-17 엔도 마코토 Substrate Processing Equipment
US5705044A (en) * 1995-08-07 1998-01-06 Akashic Memories Corporation Modular sputtering machine having batch processing and serial thin film sputtering
US5795356A (en) * 1996-05-31 1998-08-18 Slsp Partners, Inc. Microelectronic component fabrication facility, and process for making and using the facility
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
KR0183912B1 (en) * 1996-08-08 1999-05-01 김광호 Pumping facility connected to the multi-reaction chamber and method thereof
US5961269A (en) * 1996-11-18 1999-10-05 Applied Materials, Inc. Three chamber load lock apparatus
US5957648A (en) * 1996-12-11 1999-09-28 Applied Materials, Inc. Factory automation apparatus and method for handling, moving and storing semiconductor wafer carriers
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (en) * 1999-12-08 2003-06-02 삼성전자주식회사 Method for forming a metal layer by an atomic layer deposition and a semiconductor device with the metal layer as a barrier metal layer, an upper electrode, or a lower electrode of capacitor
US6034000A (en) * 1997-07-28 2000-03-07 Applied Materials, Inc. Multiple loadlock system
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100269328B1 (en) * 1997-12-31 2000-10-16 윤종용 Method for forming conductive layer using atomic layer deposition process
KR100275727B1 (en) * 1998-01-06 2001-01-15 윤종용 Capacitor for semiconductor device & manufacturing method
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6113771A (en) * 1998-04-21 2000-09-05 Applied Materials, Inc. Electro deposition chemistry
KR100267885B1 (en) * 1998-05-18 2000-11-01 서성기 Deposition apparatus
US6440261B1 (en) * 1999-05-25 2002-08-27 Applied Materials, Inc. Dual buffer chamber cluster tool for semiconductor wafer processing
US6524952B1 (en) * 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
EP1233983A2 (en) * 1999-11-22 2002-08-28 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
USD446506S1 (en) * 1999-11-30 2001-08-14 Applied Materials, Inc. Monolith processing system platform
KR100705926B1 (en) * 1999-12-22 2007-04-11 주식회사 하이닉스반도체 Method of manufacturing a capacitor in a semiconductor device
US6640151B1 (en) * 1999-12-22 2003-10-28 Applied Materials, Inc. Multi-tool control system, method and medium
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
KR100427423B1 (en) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Inner tube for cvd apparatus
JP3687651B2 (en) * 2000-06-08 2005-08-24 ジニテック インク. Thin film formation method
KR100332314B1 (en) * 2000-06-24 2002-04-12 서성기 Reactor for depositing thin film on wafer
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100545706B1 (en) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 Semiconductor device manufacturing method
DE10034003A1 (en) * 2000-07-07 2002-01-24 Infineon Technologies Ag Trench capacitor with insulation collar and corresponding manufacturing process
US6532715B2 (en) * 2000-07-10 2003-03-18 Applied Materials, Inc. Semiconductor substrate processing tool and fabrications facilities integration plate
US6802906B2 (en) * 2000-07-21 2004-10-12 Applied Materials, Inc. Emissivity-change-free pumping plate kit in a single wafer chamber
KR100444149B1 (en) * 2000-07-22 2004-08-09 주식회사 아이피에스 ALD thin film depositin equipment cleaning method
KR100396879B1 (en) * 2000-08-11 2003-09-02 삼성전자주식회사 Semiconductor memory device having capacitor encapsulated by multi-layer which includes double layeres being made of same material and method of manufacturing thereof
US6793766B2 (en) * 2001-01-04 2004-09-21 Applied Materials Inc. Apparatus having platforms positioned for precise centering of semiconductor wafers during processing
US6613200B2 (en) * 2001-01-26 2003-09-02 Applied Materials, Inc. Electro-chemical plating with reduced thickness and integration with chemical mechanical polisher into a single platform
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (en) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 High speed reading control method in text-to-speech converter
US20030000645A1 (en) * 2001-06-27 2003-01-02 Dornfest Charles N. Apparatus and method for reducing leakage in a capacitor stack
US6817640B2 (en) * 2001-06-28 2004-11-16 Applied Materials, Inc. Four-bar linkage wafer clamping mechanism
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6950716B2 (en) * 2001-08-13 2005-09-27 Applied Materials, Inc. Dynamic control of wafer processing paths in semiconductor manufacturing processes
US6548906B2 (en) * 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6779226B2 (en) * 2001-08-27 2004-08-24 Applied Materials, Inc. Factory interface particle removal platform
US6725564B2 (en) * 2001-08-27 2004-04-27 Applied Materials, Inc. Processing platform with integrated particle removal system
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US7006888B2 (en) * 2002-01-14 2006-02-28 Applied Materials, Inc. Semiconductor wafer preheating
TWI262034B (en) * 2002-02-05 2006-09-11 Semiconductor Energy Lab Manufacturing system, manufacturing method, method of operating a manufacturing apparatus, and light emitting device
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
AU2003221212A1 (en) * 2002-03-26 2003-10-08 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
DE60321271D1 (en) * 2002-06-10 2008-07-10 Imec Inter Uni Micro Electr Transistors and storage capacitors containing a HfO 2 composition with increased dielectric constant
EP1512165A2 (en) * 2002-06-12 2005-03-09 Applied Materials, Inc. Plasma apparatus and method for processing a substrate
KR101118462B1 (en) * 2002-06-12 2012-03-06 어플라이드 머티어리얼스, 인코포레이티드 Method for improving nitrogen profile in plasma nitrided gate dielectric layers
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
US6780720B2 (en) * 2002-07-01 2004-08-24 International Business Machines Corporation Method for fabricating a nitrided silicon-oxide gate dielectric
KR100476926B1 (en) * 2002-07-02 2005-03-17 삼성전자주식회사 Method for forming dual gate of semiconductor device
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (en) * 2002-07-20 2005-01-29 삼성전자주식회사 Manufacturing method of Capacitor Structure
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
KR100542736B1 (en) * 2002-08-17 2006-01-11 삼성전자주식회사 Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7127367B2 (en) * 2003-10-27 2006-10-24 Applied Materials, Inc. Tailored temperature uniformity
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US20050130448A1 (en) * 2003-12-15 2005-06-16 Applied Materials, Inc. Method of forming a silicon oxynitride layer
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0616462U (en) * 1992-07-31 1994-03-04 セイコー電子工業株式会社 Cluster type thin film processing system with buffer
JPH09246347A (en) * 1996-03-01 1997-09-19 Applied Materials Inc Multichamber wafer treatment system
JP2000021948A (en) * 1998-06-30 2000-01-21 Toshiba Corp Semiconductor manufacture device/system
JP2002110761A (en) * 2000-05-04 2002-04-12 Applied Materials Inc Apparatus and method for robot having with temperature sensitive application
JP2005159295A (en) * 2003-09-18 2005-06-16 Nec Kagoshima Ltd Device and method for treating substrate

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017092459A (en) * 2015-10-20 2017-05-25 ラム リサーチ コーポレーションLam Research Corporation Wafer transport assembly with integrated buffers
JP7020772B2 (en) 2015-10-20 2022-02-16 ラム リサーチ コーポレーション Wafer transfer assembly with integrated buffer
JP2019517736A (en) * 2016-06-03 2019-06-24 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated Vacuum platform with processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates
JP7190905B2 (en) 2016-06-03 2022-12-16 アプライド マテリアルズ インコーポレイテッド A vacuum platform having a processing chamber for removing carbon contaminants and surface oxides from semiconductor substrates
KR20210068138A (en) * 2018-11-13 2021-06-08 어플라이드 머티어리얼스, 인코포레이티드 Method and apparatus for manufacturing a substrate
JP2022507132A (en) * 2018-11-13 2022-01-18 アプライド マテリアルズ インコーポレイテッド Methods and equipment for board manufacturing
JP7240496B2 (en) 2018-11-13 2023-03-15 アプライド マテリアルズ インコーポレイテッド Method and apparatus for substrate manufacturing
KR102517788B1 (en) 2018-11-13 2023-04-03 어플라이드 머티어리얼스, 인코포레이티드 Method and Apparatus for Substrate Manufacturing

Also Published As

Publication number Publication date
US20070020890A1 (en) 2007-01-25
TW200704578A (en) 2007-02-01
EP1911073A2 (en) 2008-04-16
WO2007011666A2 (en) 2007-01-25
US20080044595A1 (en) 2008-02-21
KR20080034465A (en) 2008-04-21
WO2007011666A3 (en) 2008-07-03

Similar Documents

Publication Publication Date Title
JP2009503818A (en) Method and apparatus for semiconductor processing
US9583349B2 (en) Lowering tungsten resistivity by replacing titanium nitride with titanium silicon nitride
TWI335618B (en) Substrate processing apparatus using a batch processing chamber
JP4257576B2 (en) Deposition equipment
US20090209095A1 (en) Manufacturing Method for Semiconductor Devices and Substrate Processing Apparatus
JP2006190894A (en) Processing system and module cycle time monitoring program of cluster tool
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
TW201523694A (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
JP2008311631A (en) Methods for depositing high-k dielectric material using chemical vapor deposition process
JP2013140990A (en) Method of coating and annealing large area glass substrate
JPWO2015115002A1 (en) Method for forming fine pattern, method for manufacturing semiconductor device, substrate processing apparatus, and recording medium
TWI814938B (en) Integrated semiconductor processing
JP2006253683A (en) Semiconductor manufacturing apparatus having cleaning stage and semiconductor manufacturing method
JP2009164569A (en) Dopant using controlled crystal structure, polycrystalline silicon film using multi-layer silicon film, and adjustment of stress of ambient layer
TW201724359A (en) Methods for depositing dielectric barrier layers and aluminum containing etch stop layers
JPH10107124A (en) Substrate processing device
JP4477982B2 (en) Cluster tool processing system and dwell time monitoring program
CN110998788B (en) Metal oxide post-treatment method
WO2007132884A1 (en) Semiconductor device manufacturing method and substrate processing apparatus
JP2001250780A (en) Application method of dummy substrate in semiconductor manufacturing device
CN101341276A (en) Method and apparatus for semiconductor processing
JP6176776B2 (en) Semiconductor device manufacturing method, substrate processing apparatus, substrate processing system, and program
JP2010212391A (en) Method of manufacturing semiconductor device and substrate processing apparatus
JP2013004777A (en) Semiconductor manufacturing method and deposition apparatus
KR20240010505A (en) V-NAND stacks with dipole regions

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20090513

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120619

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120925

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20121113