JPH09186239A - 集積回路の物理的設計から寄生容量値を抽出する方法 - Google Patents

集積回路の物理的設計から寄生容量値を抽出する方法

Info

Publication number
JPH09186239A
JPH09186239A JP8308822A JP30882296A JPH09186239A JP H09186239 A JPH09186239 A JP H09186239A JP 8308822 A JP8308822 A JP 8308822A JP 30882296 A JP30882296 A JP 30882296A JP H09186239 A JPH09186239 A JP H09186239A
Authority
JP
Japan
Prior art keywords
edge
parasitic capacitance
conductor
conductors
fragments
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8308822A
Other languages
English (en)
Inventor
Mark E Hammer
マーク・イー・ハンマー
Philip J Johnson
フィリップ・ジェー・ジョンソン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
HP Inc
Original Assignee
Hewlett Packard Co
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hewlett Packard Co filed Critical Hewlett Packard Co
Publication of JPH09186239A publication Critical patent/JPH09186239A/ja
Pending legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F30/00Computer-aided design [CAD]
    • G06F30/30Circuit design
    • G06F30/39Circuit design at the physical level
    • G06F30/398Design verification or optimisation, e.g. using design rule check [DRC], layout versus schematics [LVS] or finite element methods [FEM]
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R27/00Arrangements for measuring resistance, reactance, impedance, or electric characteristics derived therefrom
    • G01R27/02Measuring real or complex resistance, reactance, impedance, or other two-pole characteristics derived therefrom, e.g. time constant
    • G01R27/26Measuring inductance or capacitance; Measuring quality factor, e.g. by using the resonance method; Measuring loss factor; Measuring dielectric constants ; Measuring impedance or related variables
    • G01R27/2605Measuring capacitance

Abstract

(57)【要約】 【課題】多層導体を有する集積回路の物理的設計から側
方結合容量値および周縁容量値をより正確に抽出するこ
とのできる方法を提供する。 【解決手段】本発明の一実施例によれば、各導体の1つ
以上の側方エッジを識別するステップ(58)と、所与
の側方エッジ(60)の上方及び/又は下方の層に存在
するいくつかの導体に基づいて、各導体の側方エッジを
エッジ断片に断片化するステップ(60)と、各エッジ
断片に側方に隣接するエッジ断片を識別するステップ
(62)と、エッジ断片とその側方に隣接するエッジ断
片のそれぞれとの間の1つ以上の関係を計算するステッ
プ(64、66)と、各エッジ断片毎に寄生容量データ
を検索するステップ(68)と、検索した寄生容量デー
タを用いて各エッジ断片毎に1つ以上の寄生容量値を計
算するステップ(70)とを有する寄生容量値抽出方法
が提供される。

Description

【発明の詳細な説明】
【0001】
【産業上の利用分野】本発明は、集積回路の物理的設計
から寄生容量値を抽出する方法に関するものであり、と
りわけ、集積回路の物理的設計から側方結合容量値及び
周縁容量値を抽出する方法に関するものである。
【0002】
【従来の技術】集積回路(IC)は、数百万までの電気
コンポーネント(トランジスタ、抵抗器、コンデンサ、
及び、ダイオードを含む)及びその相互接続(通常は、
金属ワイヤ)を納めた小型デバイスである。ICのコン
ポーネント及びその相互接続(集合的に、「導体」と呼
ぶことにする)は、層をなすように構成されている。各
層は、数千の導体を備えることが可能である。二酸化珪
素(SiO2)非導電性材料または誘電体材料を用い
て、層、および層内の導体の両方が分離される。1つの
層からもう1つの層への接続は、誘電体の「バイア」を
介して行われ、導体の全てが協働して、所望の機能(デ
ータの記憶、信号の処理、または、デバイスの制御のよ
うな)を実施することができるようになっている。
【0003】図1には、典型的なICの断面図が示され
ている。図示のICが、5層の導体から構成されている
点に留意されたい。下部の2層、基板及びポリ(ポリシ
リコンの略)は、ICのトランジスタのビルディング・
ブロックである。ポリの上部層(金属1、金属2、及
び、金属3)は、下部層に設けられたトランジスタを接
続するワイヤ及び他の導体から構成される。
【0004】図1の最も右側の金属3導体の断面が、ほ
ぼ1μm×1μmの寸法を備えているものとすると、I
Cの導体は極めて小さいものであり、間隔が極めて接近
していることが分かる。
【0005】図1における導体の間隔が接近しているの
で、寄生容量と呼ばれる現象が生じることになる。容量
は、互いに電気的に接続されていない2つの導体間に存
在する自然現象であり、導体の近接度が密になるほど、
容量が大きくなる。寄生容量と命名された理由は、IC
の導体が極めて密に近接しているために生じる望ましく
ない効果であることによる。図2のIC断面に示すよう
に、寄生容量(導体間の曲線で表示)は、互いに密に近
接している全ての導体間において重要である。
【0006】IC導体に起因する寄生容量を計算するた
め、容量は、図3のように、成分に分割され、モデル化
することが可能である。図3には、寄生容量の3つの成
分、すなわち、領域容量(CA)、側方結合容量(C
L)、及び、周縁容量(CF)が識別されている。
【0007】領域容量(「平行板」容量とも呼ばれる)
は、2つの重なる導体の上部表面と下部表面の間に存在
する寄生容量の成分である。該成分は、比較的計算が容
易であり、いくつかの寄生容量抽出ツールによって抽出
することが可能である。
【0008】側方結合容量(「側方」、「隣接トレー
ス」、「近接体」、または、「ライン間」容量とも呼ば
れる)は、2つの導体の隣接する側方エッジ間に存在す
る寄生容量の成分である。
【0009】周縁容量(「エッジ」または「周辺」容量
とも呼ばれる)は、第1の導体の側方エッジと、第1の
導体の側方エッジに重なる第2の導体の上部表面及び下
部表面のいずれかとの間に存在する寄生容量の成分であ
る。該成分は、本質的に、導体の側方エッジにおける周
縁効果によって生じる領域容量成分に対する歪みであ
る。
【0010】寄生容量の望ましくない効果とは、回路を
通る電気信号の伝搬が遅くなり、この結果、ICの動作
可能な速度が遅くなるということである。ICの寄生容
量成分をICの物理的設計から抽出することが可能であ
れば、これを利用して、回路における各信号の遅延を推
定することが可能である(タイミング分析として知られ
るプロセス)。この情報を利用して、ICにおける導体
の物理的レイアウトを調整し、IC回路の性能を最適化
することが可能である。
【0011】従来、IC導体は、比較的幅が広く、側方
において比較的間隔を大きく離して配置されていた(層
内において並列に)。こうした構成の場合、側方結合容
量値は小さかった。周縁容量値は、ほぼ完全に導体のエ
ッジの上方及び/下方の層によって決まった。側方結合
容量値、及び、周縁容量値に対する側方間隔の効果は、
回路の全寄生容量のほぼ14%であった。結果として、
これらの成分は、ICの寄生容量の抽出において無視さ
れることが多かった。
【0012】近年になって、IC製造技術に多くの変化
が生じるようになった。ICの生産は、サブミクロンの
段階に入った。導体のサイズが小さくなり、IC製造プ
ロセスによって、導体の間隔をより密にして配置するこ
とが可能になっている。さらに、ICは垂直配置が多く
なっている。それらは、相互接続された素子の密集した
多くの層から構成される。IC製造プロセスの変化によ
って、寄生容量抽出に側方効果を含めることの重要性が
高まってきた。寄生容量の周縁及び側方結合成分に起因
する寄生容量は、今や信号の全寄生容量の90%までを
占めている(実際のところ、領域容量値は、導体幅が狭
くなったために減少した)。寄生容量は、回路タイミン
グにかなりの影響を及ぼすものであり、従って、もは
や、寄生容量の最大成分として側方効果を無視すること
はできない。
【0013】垂直方向における導体間隔の減少は緩やか
であったが、導体の側方間隔は、大幅に減少した。結果
として、寄生容量を計算しなければならない方法に変化
が生じた。側方結合容量は、1)導体間隔、2)2つの
導体が並んで延びる長さ、及び、3)所与の側方エッジ
の上方及び/または下方の層における他の導体の存在に
よって決まる。側方結合容量は、所与の側方エッジの上
方及び/下方に延びる導体に基づいて50%ほど変化す
る可能性がある。側方結合容量は、全寄生容量の大きい
部分を占めるようになったので、この変化は、ICの物
理的設計から正確な寄生容量を抽出する際に考慮しなけ
ればならない重要な要素になった。周縁容量は、1)異
なる層の2つの導体間における間隔および重なり度、及
び、2)単一層における導体の側方間隔によって決ま
る。
【0014】図面中の図2には、側方結合容量及び周縁
容量の依存性が示されている。導体1(COND.1)
の最も右側のエッジと導体4(COND.4)との間の
周縁容量成分が、導体1の最も左側のエッジと導体4の
上部表面との間における周縁容量成分よりも大幅に小さ
い点に留意されたい。これは、導体2(COND.2)
が導体1の最も右側のエッジに密に近接しているためで
ある。同様に、導体2と1の間の側方結合容量成分は、
導体2と3(COND.3)の間の側方結合容量よりも
大幅に小さい。これは、導体4が導体2の最も左側のエ
ッジに密に近接しているためである。
【0015】既存の寄生容量抽出ツールでは、ICの物
理的設計から正確な側方結合容量値及び周縁容量値を効
率よく抽出することはできない。いかなる抽出をも行う
ことが可能な分析ツールにも、2つの主たる欠点があ
る。まず、多くの寄生容量値抽出方法は、初期ICの単
純で「空間の広い」設計に基づいて、抽出ツールの動作
速度を高めようとしている。これらのツールは、上記パ
ラグラフにおいて識別された側方効果に基づく抽出を実
施するものではない。従って、これらのツールが抽出す
る寄生容量値は、決して正確なものではなく、回路のタ
イミング問題の分析にはほとんど役に立たない。第2
に、より正確な抽出ツール(2次元及び3次元分析に基
づく)によって、全ての寄生容量値が個別に抽出され
る。これらのツールは、かなりの正確さをもたらすが、
極めて低速であり、少数の導体についてしか利用するこ
とはできない。
【0016】
【発明が解決しようとする課題】本発明の主たる目的
は、ICの物理的設計から寄生容量値を抽出する、新規
の効率的な、コンピュータで実施する方法を提供するこ
とにある。
【0017】本発明のもう1つの目的は、所与の側方導
体エッジの上方及び/または下方に延びる導体によって
決まる側方結合容量値を抽出する方法を提供することに
ある。
【0018】本発明のもう1つの目的は、所与の側方導
体エッジに側方に隣接した導体によって決まる周縁容量
値を抽出する方法を提供することにある。
【0019】本発明のさらにもう1つの目的は、ICに
関連した寄生容量値のより正確なモデル化が行われる方
法を提供することにある。
【0020】本発明のもう1つの目的は、ICのタイミ
ング分析、最適化、シミュレーション、設計、レイアウ
ト、及び、他のテスト・ツールの効果的な運用のための
より優れた基礎をもたらす方法を提供することにある。
【0021】本発明のさらにもう1つの目的は、寄生容
量値の抽出において正確さと速度のバランスをとる方法
を提供することにある。
【0022】
【課題を解決するための手段】以上の目的の実現に関し
て、発明者は、プログラマブル・コンピュータにおいて
実施すべき、複数導体層から構成される集積回路の物理
的設計から寄生容量値を抽出する方法を考案した。この
方法は、各導体の側方エッジを識別するステップと、所
与の側方エッジの上方及び/または下方に存在するいく
つかの導体に基づいて、各導体の側方エッジをエッジ断
片に断片化するステップと、各エッジ断片に側方に隣接
するエッジ断片を識別するステップと、エッジ断片とそ
の側方に隣接するエッジ断片のそれぞれとの間における
1つ以上の関係を計算するステップと、各エッジ断片毎
に寄生容量データを検索するステップと、検索された寄
生容量データを利用して、各エッジ断片毎に1つ以上の
寄生容量値を計算するステップから構成される。
【0023】上記方法は、寄生容量の側方効果(すなわ
ち、所与の側方導体エッジの上方及び/または下方に延
びる導体の存在に基づく側方結合容量、及び、2つの導
体の隣接する側方エッジ間における側方間隔に基づく周
縁容量)を考慮したものであるので、先行方法の欠点が
克服されることになる。
【0024】本発明の以上の及びその他の重要な利点及
び目的については、添付の説明、図面、及び、請求項に
おいてさらに説明されているか、あるいは、それらから
明らかになるであろう。
【0025】
【実施例】図面及び本説明には、一般に、複数導体層か
ら構成される集積回路(IC)の物理的設計から寄生容
量値を抽出する方法が開示されている。この方法には、
ICにおける各導体の1つ以上の側方エッジを識別する
ステップ(58)と、次に、所与の側方エッジの上方及
び/または下方の層に存在するいくつかの導体に基づい
て、識別された側方エッジをエッジ断片に断片化するス
テップ(60)が含まれている。各エッジ断片に側方に
隣接するエッジ断片が、識別される(62)。エッジ断
片とその側方に隣接するエッジ断片のそれぞれとの間に
おける1つ以上の関係が計算される(64、66)。各
エッジ断片の寄生容量データが検索され(68)、それ
を利用して、各エッジ断片毎に1つ以上の寄生容量値が
計算される(70)。この方法は、専用プログラマブル
・コンピュータ72によって実施するか、あるいは、コ
ンピュータ72のプログラミングが可能な物理的記憶媒
体74にコードとして記憶することが可能である。
【0026】この方法の概要は以上の通りであるが、次
に、該方法に関してさらに詳細な説明を行うものとす
る。
【0027】ICにおける全ての導体は、側方エッジ、
上部表面、及び、下部表面から構成される。隣接する側
方エッジは、互いに向かい合い、単なるスペース(ギャ
ップ、または、誘電体)によって隔てられただけの、同
じ層または隣接する層における側方エッジである。現
在、領域容量値を抽出するのに適した方法は存在する
が、ICにおける多数の導体について側方結合容量値及
び周縁容量値を抽出するのに適した方法は存在しない。
【0028】寄生容量値(側方結合及び周縁)を抽出す
るための方法は、3つの要素に基づくものである。これ
らの要素は、1)2つの導体の隣接する側方エッジ間に
おける間隔、2)2つの導体の隣接する側方エッジが互
いに並んで延びる長さ、及び、3)導体の側方エッジの
上方及び/または下方における導体の存在である。
【0029】該方法の望ましい実施例(図11)は、I
Cにおける全ての側方導体の識別(58)から開始され
る。側方エッジは、ICの物理的設計(すなわち「アー
トワーク」)から識別し、幾何学座標としてコンピュー
タ72のメモリに記憶しておくことが可能である。
【0030】次に、側方エッジが、所与の側方エッジの
上方及び/または下方に延びる導体の存在に基づいて、
1つ以上のエッジ断片に断片化される。エッジ断片は、
(...上方2、上方1、トレース、下方1、下方
2...)といった導体シーケンスから構成されるが、
ここで、トレースは、断片化すべき側方エッジを備える
導体を表している。「...上方2、上方1」の表示
は、「トレース」の上方に延びる個々の層に存在する導
体を表している。同様に、「下方1、下方2」の表示
は、「トレース」の下方に延びる個々の層に存在する導
体を表している。
【0031】所与の側方エッジの上方及び/または下方
に延びる全ての導体が、エッジに起因する寄生容量に影
響する可能性があるが、望ましい実施例の場合、所与の
エッジ断片を識別する1組の導体は、1)所与の側方エ
ッジのすぐ上方及び/または下方に存在する導体、及
び、2)やはり、所与の側方エッジに重なる導体(すな
わち、2つの側方に隣接するエッジ間の誘電体の上に延
びる導体)に限定される。エッジ断片の識別に用いられ
る導体の数を制限することによって、コンピュータ72
が実施すべき操作数が制限され、従って、該方法全体と
しての効率が増すことになる。全てではないとしても、
寄生容量の大部分が、側方エッジのすぐ上方及び/また
は下方の導体か、または、エッジにすぐ隣接した導体に
起因すると考えられるため、正確さの損失は無視するこ
とが可能である。
【0032】代替案として、コンピュータ72は、所与
の側方エッジの所定の距離内において重なるか、また
は、存在する導体だけを探すようにプログラミングする
ことが可能である(エッジ断片及び/または隣接するエ
ッジ断片の識別において所定の距離内の導体だけしか利
用しない)。
【0033】ある層の導体が、エッジの上方及び/また
は下方に延びるが、エッジと重ならない場合、エッジ断
片シーケンスによって、その特定の層に導体が存在しな
いことが分かる。要するに、導体がエッジに重ならず、
側方に隣接する2つの導体間のギャップ内に入り込む場
合、その寄生容量に対する影響は、計算効率のために無
視することが可能な、取るに足りないものでしかないと
いうことになる。
【0034】エッジ断片化(60)は、幾何学的AND
及びANDNOT演算を用いて実施することが可能であ
る。エッジの上方及び/または下方に延びる導体に変化
があれば、新しい断片が生成され、その幾何学座標が、
メモリに記憶される。側方導体エッジは、どれでも、多
くのエッジ断片から構成することが可能であり、各断片
は、1)それが構成するエッジに対するポインタ、及
び、2)エッジ断片を構成する導体のシーケンスを含
む、いくつかのデータ成分と共にメモリに記憶されてい
る。上述のように、エッジのすぐ上方または下方の導体
(層ではなく)だけが、寄生容量にかなりの影響を及ぼ
すことになる。従って、エッジ断片化(60)を実施す
る際には、所与の側方エッジのすぐ上方またはすぐ下方
に延びる導体に変化が生じる場合に限って、新しいエッ
ジ断片が生成されると、メモリのセーブが可能になる。
【0035】例えば、図4に占めすIC領域を参照す
る。この方法は、全ての導体の側方エッジを識別する
(58)ことによって開始される。この方法は、図4に
おけるいくつかの側方エッジを識別するものであるが、
この方法の適用は、2つの金属1導体20、22の側方
に隣接するエッジに制限される。説明しやすくするた
め、各導体タイプ(金属1、ポリ等)によって、ICに
おける特定の導体層が識別され、IC層の順番が、上か
ら下に、金属3、金属2、金属1、ポリであると仮定す
る。
【0036】金属1導体20、22の側方エッジを識別
した(58)後、該側方エッジは、エッジ断片に断片化
しなければならない(60)。ギャップの左側のエッジ
1は、f1及びf2で表示の2つの断片に断片化され
る。ギャップの右側のエッジ2は、f3、f4、f5、
及び、f6で表示の4つの断片に断片化される。各断片
は、その両端部から延びる断片とは異なる導体シーケン
スを構成する。
【0037】隣接する金属1導体20、22のエッジの
それぞれを断片化した後、各エッジ断片に対応する側方
に隣接するエッジ断片が識別される(62)。側方に隣
接するエッジ断片が、エッジ断片の記憶されている幾何
学座標の比較によって判定される。図4において、エッ
ジ断片f1とf3、f1とf4、f1とf5、f2とf
5、及び、f2とf6の隣接を識別することが可能であ
り、これらは、それぞれ、図5〜9の層断面図に示され
ている。
【0038】図5〜9において、点線として個々のエッ
ジ断片を識別する導体シーケンスが識別される。例え
ば、図5の最も左側の点線30は、エッジ断片f1の導
体シーケンスに対応し、図5の最も右側の点線32は、
エッジ断片f3の導体シーケンスに対応する。エッジ断
片f1の導体シーケンスは、図6及び7の最も左側の点
線34、38によっても表示されている。同様に、エッ
ジ断片f2の導体シーケンスは、図8及び9の最も左側
の点線に対応し、エッジ断片f4、f5、及び、f6の
導体シーケンスは、それぞれ、図7〜9の最も右側の点
線40、44、48に対応する。図6の最も左側のエッ
ジ・タイプ34には、金属1導体20、22、及び、金
属2導体24以外に、金属3導体26も見受けられる
が、新しいエッジ断片の生成に影響を及ぼすことが可能
な導体だけが、エッジのすぐ上方及び/または下方に延
びる導体とみなされる限りにおいて、エッジ断片は、や
はりf1として識別される。同様に、ポリ28ブロック
の上方部分(図4)は、エッジ2に重なることができな
いので、ポリ28ブロックの上方部分によって、エッジ
断片f5及びf6の間に追加エッジ断片が形成されるこ
とはない。
【0039】側方に隣接するエッジ断片の各対毎に、少
なくとも2つの関係、すなわち、1)断片間の間隔(距
離)(64)、及び、2)2つの断片が互いに並んで延
びている長さ(66)の計算が行われる。
【0040】もう1度図4〜7を参照すると明らかなよ
うに、エッジ断片f1は、エッジ断片f3、f4、及
び、f5に対し側方に隣接している。同様に、エッジ断
片f2は、エッジ断片f5及びf6に対し側方に隣接し
ている(図4、8、及び、9)。図4の例の場合、隣接
する金属1導体20、22のエッジ間の間隔は、均一で
ある。しかし、これは、そうである必要はない。
【0041】個々のエッジ断片が側方に隣接するエッジ
断片と並んで延びる長さは、変動する。例えば、エッジ
断片f3及びf4は、それぞれ、全体として、f1と並
んで延びている。一方、f1の個々の長さは、f3、f
4、及び、f5と並んで延びている。
【0042】エッジの断片化(60)が済み、側方に隣
接するエッジ断片が識別され(62)、その2つの間に
おける1つ以上の関係が計算されると(64、66)、
各エッジ断片毎に、寄生容量データが検索される。寄生
容量データは、テーブル・ルックアップ・プロセスを通
じて検索することが可能である。しかし、このデータ
は、基本定数と1つ以上の曲線の当てはめ公式の組み合
わせを利用して生成される。
【0043】図12には、寄生容量データの典型的な表
が示されている。こうした表は、特定のICプロセスに
存在する可能性のあるさまざまなエッジ断片導体シーケ
ンスに対応する(ICプロセスでは、特定のIC製造プ
ロセスの制限内において可能性のあるさまざまな導体サ
イズ、導体間隔、及び、導体の重なる可能性が指示され
る)。ICプロセス内において可能性のある各エッジ断
片は、寄生容量データに関するそれ自体の独自の表に関
連している(表は、全て、図12のものと同様の形態で
ある)。従って、ICプロセスによって、50の異なる
エッジ断片(異なる導体シーケンス)を生成することが
可能であれば、表から寄生容量値を抽出するコンピュー
タ72は、それぞれ、図12の表と同様の50のデータ
表にアクセスしなければならない。領域、側方結合、及
び、周縁容量データは、所与のエッジ断片の上方及び/
または下方の層に存在する導体だけでなく、エッジ断片
とその側方に隣接するエッジ断片との間の間隔にも基づ
くものであるという点に留意されたい。従来の寄生容量
抽出ツールは、側方に隣接するエッジ断片間における間
隔の関数としてのみ側方結合容量の計算を行い、所与の
エッジの上方及び/または下方の層に存在する導体につ
いてのみ周縁容量の計算を行った。
【0044】寄生容量データを検索するテーブル・ルッ
クアップ法よりもデータ生成のほうが望ましい。寄生容
量データは、所与のエッジ断片に対応する少数の基本定
数を曲線の当てはめ公式に挿入して、これにより、所望
の寄生容量データを生成することによって生成可能であ
る。公式C=length**-space/A(ここで、A
及びBは、定数であり、「length」は、互いに並
んで延びる、2つの側方に隣接したエッジ断面の長さで
あり、「space」は、2つの側方に隣接したエッジ
断片間の距離である)のような、非整数指数を利用した
指数曲線当てはめ公式を利用することにより、寄生容量
データ表に含まれるデータにほぼ近似させることが可能
である。図13を参照されたい。しかし、正確さと計算
速度(実行時間)とのバランスをとることが必要になる
場合が多い。エッジ断片の上方または下方に層が存在し
ない場合、公式C=(A*length)/(B+sp
ace)を利用して、寄生容量データ表のラインに近似
させることが可能である(ここで、A及びBは、記憶さ
れている定数であり、「length」は、2つの側方
に隣接するエッジ断片が互いに並んで延びている長さで
あり、「space」は、2つの側方に隣接するエッジ
断片間の距離である)。エッジの上方または下方に層が
存在する場合、C=(A*length)/(B+sp
ace2)を利用して、表のデータに近似させることが
可能であり、ここで、A及びBは、やはり、記憶されて
いる定数を表している。層がしっかりと挟まれている場
合、公式C=(A*length)/(B+spac
3)が有効であった。
【0045】適合する寄生容量データの検索及び/また
は生成(68)が済むと、寄生容量値の計算が可能であ
る。側方結合容量値及び周縁容量値は、側方に隣接する
エッジ断片の各対毎に計算される。側方に隣接するエッ
ジ間における側方結合容量の計算においては、側方に隣
接するエッジのそれぞれから側方結合容量の1/2を導
き出すのが望ましい。こうすることによって、単一導体
に起因すると考えられる全寄生容量を求める上におい
て、計算が大幅に単純化され、容量値の合計が可能にな
る。側方結合容量の1/2は、容量計算を単一エッジに
対する依存性に制限することによって計算される。エッ
ジ断片の容量値が、それと側方に隣接するエッジ断片と
の関係(すなわち、間隔及び並んで延びる長さ)によっ
て決まるということと、その容量値が、側方に隣接する
エッジ断片の導体シーケンスによって決まるということ
は同じではない。関係には、2次元分析が必要である
が、関係と導体シーケンスには、3次元分析が必要にな
る。この方法のテストによって明らかになったのは、こ
の単純化によってシステム性能がけた外れに向上し、正
確度は最小限の低下だけにとどまるということである。
単純化の利点は、50のエッジ断片導体シーケンスから
構成されるICが、2,500タイプの側方に隣接する
エッジ対から構成されるという実情を知ることによって
認識することができる。
【0046】図10には、寄生容量の抽出(50)が、
IC分析という大きい概念の中にどのように納まるかが
示されている。寄生容量の抽出を実施するコンピュータ
72は、入力としてICのアートワーク(物理的設計)
を必要とする。アートワークは、手動レイアウト、標準
セルまたはブロック・ルータから経路選択されるセル・
ライブラリ、データ経路、メモリ、または、パッド・フ
レーム・ジェネレータを含む、さまざまな供給源から生
じる可能性がある。アートワークがありさえすれば、寄
生容量値の抽出が可能になる。抽出された値と、ICの
概略図(トランジスタ・レベルまたはゲート・レベル)
及びコンテキストを組み合わせることによって、トラン
ジスタ・レベルのタイミング分析(52)、遅延計算
(54)、セルに基づくタイミング分析(56)、最適
化、シミュレーション等を実施することが可能になる。
【0047】本発明の例証となる、現在のところ望まし
い実施例について詳細な解説を行ってきたが、もちろ
ん、本発明の概念は、別様にさまざまに具現化し、用い
ることが可能である。
【0048】以上、本発明の実施例について詳述した
が、以下、本発明の各実施態様の例を示す。
【0049】[実施態様1]複数の導体層を有する集積回
路(IC)の物理的設計から寄生容量値を抽出する方法
であって、 a)ICにおける各導体の1つ以上の側方エッジを識別
するステップ(58)と、 b)ICにおける各導体毎に、所与の側方エッジの上方
及び/または下方の層に存在するいくつかの導体に基づ
いて、その側方エッジをエッジ断片に断片化するステッ
プ(60)と、 c)各エッジ断片毎に、その側方に隣接するエッジ断片
を識別するステップ(62)と、 d)各エッジ断片毎に、それとその側方に隣接するエッ
ジ断片のそれぞれとの間における1つ以上の関係を計算
するステップ(64、66)と、 e)各エッジ断片毎に、寄生容量データを検索するステ
ップ(68)と、 f)ステップd)の寄生容量データを用いて、各エッジ
断片に関する1つ以上の寄生容量値を計算するステップ
(70)と、を備えて成る抽出方法。
【0050】[実施態様2]所与の側方エッジの上方及び
/または下方の層に存在するいくつかの導体が、前記所
与の側方エッジのすぐ上方及び/または下方に位置する
導体だけから構成されることを特徴とする、実施態様1
に記載の抽出方法。
【0051】[実施態様3]所与の側方エッジの上方及び
/または下方の層に存在するいくつかの導体が、前記所
与の側方エッジに重なる導体だけから構成されることを
特徴とする、実施態様1に記載の抽出方法。
【0052】[実施態様4]各エッジ断片とその側方に隣
接するエッジ断片のそれぞれとの間における1つ以上の
関係を計算するステップ(64、66)が、 a)各エッジ断片毎に、その側方に隣接するエッジ断片
のそれぞれまでの距離を計算するステップ(64)と、 b)各エッジ断片毎に、その側方に隣接するエッジ断片
のそれぞれと並んで延びるその長さを計算するステップ
(66)と、を備えて成ることを特徴とする、実施態様
1に記載の抽出方法。
【0053】[実施態様5] a)所与の側方エッジの上方及び/または下方の層に存
在するいくつかの導体に基づいて、各導体の側方エッジ
をエッジ断片に断片化するステップ(60)が、前記所
与の側方エッジの所定の距離内にある、所与の側方エッ
ジの上方及び/または下方の層に存在する導体に基づい
て断片化するステップを含み、 b)各断片の側方に隣接するエッジ断片を識別するステ
ップ(62)が、前記所与の側方エッジの所定の距離内
にある、側方に隣接するエッジ断片だけを識別するステ
ップを含む、ことを特徴とする、実施態様1に記載の抽
出方法。
【0054】[実施態様6]各エッジ断片毎に寄生容量デ
ータを検索するステップ(68)が、 i)所与のエッジ断片の上方及び/または下方の層に存
在するいくつかの導体と、 ii)実施態様1のステップc)において計算された1
つ以上の関係と、によって決まる、側方結合容量及び周
縁容量データを検索するステップを含むことを特徴とす
る、実施態様1に記載の抽出方法。
【0055】[実施態様7]各エッジ断片毎に寄生容量デ
ータを検索するステップ(68)が、1つ以上の基本定
数及び曲線当てはめ公式を利用して、最初に寄生容量デ
ータを生成するステップを含むことを特徴とする、実施
態様6に記載の抽出方法。
【0056】[実施態様8]各エッジ断片毎に1つ以上の
寄生容量値を計算するステップ(70)が、 a)各エッジ断片毎に、前記エッジ断片の側方に隣接す
るエッジ断片のそれぞれに対する側方結合容量の1/2
を計算するステップと、 b)各エッジ断片毎に、前記側方エッジの上方及び/ま
たは下方における任意の導体に対する周縁容量を計算す
るステップと、を備えて成ることを特徴とする、実施態
様1に記載の抽出方法。
【0057】[実施態様9]複数の導体層を有し、各導体
が1つ以上の側方エッジを備える、集積回路(IC)の
物理的設計から寄生容量値を抽出するためのプログラマ
ブル・コンピュータ(72)であって、 a)所与の側方エッジの上方及び/または下方の層に存
在するいくつかの導体に基づいて、前記ICにおける各
導体の側方エッジをエッジ断片に断片化する(60)た
めの手段と、 b)各エッジ断片に側方に隣接したエッジ断片を識別す
る(62)ための手段と、 c)各断片とその側方に隣接するエッジ断片との間にお
ける1つ以上の関係を計算する(64、66)ための手
段と、 d)各エッジ断片毎に寄生容量データを検索する(6
8)ための手段と、 e)ステップd)で検索された寄生容量データを用い
て、各エッジ断片毎に1つ以上の寄生容量値を計算する
(70)ための手段と、を備えたプログラマブル・コン
ピュータ。
【0058】[実施態様10]複数の導体層を有し、各導
体が1つ以上の側方エッジを備える、集積回路(IC)
から寄生容量値を抽出するように、コンピュータ(7
2)にプログラミングを施すことが可能な物理的記憶媒
体(74)であって、 a)所与の側方エッジの上方及び/または下方の層に存
在するいくつかの導体に基づいて、ICにおける各導体
の側方エッジをエッジ断片に断片化する(60)ための
手段と、 b)各エッジ断片に側方に隣接するエッジ断片を識別す
る(62)ための手段と、 c)各断片とその側方に隣接するエッジ断片のそれぞれ
との間における1つ以上の関係を計算する(64、6
6)ための手段と、 d)各エッジ断片毎に寄生容量データを検索する(6
8)ための手段と、 e)ステップd)において検索された寄生容量データを
用いて、各エッジ断片毎に1つ上の寄生容量値を計算す
る(70)ための手段と、を備えて成る記憶媒体。
【0059】
【発明の効果】以上説明したように、本発明を用いるこ
とにより、ICに関連した寄生容量値のより正確なモデ
ル化を行なうことができるとともに、その計算速度を犠
牲にすることも無い。
【図面の簡単な説明】
【図1】いくつかのIC導体層及びその導体トレースに
関する断面図である。
【図2】寄生容量のフィールド・ラインを加えた、図1
の2つの導体層に関する分解断面図である。
【図3】寄生容量フィールドが領域、周縁、及び、側方
結合容量としてモデル化された、図2に示すような、図
1の2つの導体層に関する断面図である。
【図4】互いに上方及び/または下方に延びるいくつか
のIC導体層に関する平面図である。
【図5】図4において識別された第1の対をなす隣接す
るエッジ断片(f1およびf3)に関する断面図であ
る。
【図6】図4において識別された第2の対をなす隣接す
るエッジ断片(f1およびf4)に関する断面図であ
る。
【図7】図4において識別された第3の対をなす隣接す
るエッジ断片(f1およびf5)に関する断面図であ
る。
【図8】図4において識別された第4の対をなす隣接す
るエッジ断片(f2およびf5)に関する断面図であ
る。
【図9】図4において識別された第5の対をなす隣接す
るエッジ断片(f2およびf6)に関する断面図であ
る。
【図10】寄生容量抽出システムに対して可能性のある
入力及び出力の略図である。
【図11】ICから寄生容量値を抽出するための方法に
伴う可能性のあるステップのフローチャートである。
【図12】寄生容量データ表の典型的な部分を示す図で
ある。
【図13】図12のデータ表に含まれるデータを示す図
である。
【図14】図11の方法を実施することが可能な専用プ
ログラマブル・コンピュータを示す図である。
【図15】図11の方法を実施するコンピュータ・プロ
グラムを記憶することが可能な物理的記憶媒体を示す図
である。
【符号の説明】
20:金属1 22:金属1 24:金属2 26:金属3 28:ポリ 72:専用プログラマブル・コンピュータ 74:物理的記憶媒体

Claims (1)

    【特許請求の範囲】
  1. 【請求項1】複数の導体層を有する集積回路(IC)の
    物理的設計から寄生容量値を抽出する方法であって、 前記ICにおける各導体の1つ以上の側方エッジを識別
    するステップと、 前記ICにおける各導体毎に、所与の側方エッジの上方
    及び/または下方の層に存在するいくつかの導体に基づ
    いて、その側方エッジをエッジ断片に断片化するステッ
    プと、 前記各エッジ断片毎に、その側方に隣接するエッジ断片
    を識別するステップと、 前記各エッジ断片毎に、それとその側方に隣接するエッ
    ジ断片のそれぞれとの間における1つ以上の関係を計算
    するステップと、 前記各エッジ断片毎に、寄生容量データを検索するステ
    ップと、 前記寄生容量データを用いて、各エッジ断片に関する1
    つ以上の寄生容量値を計算するステップと、 を備えて成る抽出方法。
JP8308822A 1995-12-01 1996-11-20 集積回路の物理的設計から寄生容量値を抽出する方法 Pending JPH09186239A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US566,248 1995-12-01
US08/566,248 US5706206A (en) 1995-12-01 1995-12-01 Method of extracting parasitic capacitance values from the physical design of an integrated circuit

Publications (1)

Publication Number Publication Date
JPH09186239A true JPH09186239A (ja) 1997-07-15

Family

ID=24262130

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8308822A Pending JPH09186239A (ja) 1995-12-01 1996-11-20 集積回路の物理的設計から寄生容量値を抽出する方法

Country Status (2)

Country Link
US (1) US5706206A (ja)
JP (1) JPH09186239A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117473933A (zh) * 2023-12-25 2024-01-30 杭州行芯科技有限公司 电容库创建方法、电容获取方法、电子设备及存储介质

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09205149A (ja) * 1996-01-25 1997-08-05 Nec Corp 半導体集積回路のレイアウト方法
US5838582A (en) * 1996-10-07 1998-11-17 International Business Machines Corporation Method and system for performing parasitic capacitance estimations on interconnect data within an integrated circuit
US6086238A (en) * 1996-10-07 2000-07-11 International Business Machines Corporation Method and system for shape processing within an integrated circuit layout for parasitic capacitance estimation
US5831870A (en) * 1996-10-07 1998-11-03 International Business Machines Corporation Method and system for characterizing interconnect data within an integrated circuit for facilitating parasitic capacitance estimation
US5923565A (en) * 1997-01-02 1999-07-13 Vlsi Technology, Inc. Apparatus and method for extracting capacitance in the presence of two ground planes
US5901063A (en) * 1997-02-21 1999-05-04 Frequency Technology, Inc. System and method for extracting parasitic impedance from an integrated circuit layout
US6185722B1 (en) * 1997-03-20 2001-02-06 International Business Machines Corporation Three dimensional track-based parasitic extraction
US5923568A (en) * 1997-03-31 1999-07-13 Hewlett-Packard Company Distributed capacitance estimations of interconnects within integrated circuits
US6061508A (en) * 1997-07-03 2000-05-09 International Business Machines Corporation Modeling and processing of on-chip interconnect capacitance
US6068660A (en) * 1998-06-22 2000-05-30 International Business Machines Corporation Method and apparatus for determining parasitic capacitance
DE19900980C1 (de) * 1999-01-13 2000-05-11 Siemens Ag Verfahren und Anordnung zur Verifikation eines Layouts einer integrierten Schaltung mit Hilfe eines Rechners sowie dessen Anwendung zur Herstellung einer integrierten Schaltung
US6330704B1 (en) 1999-02-09 2001-12-11 Coyote Systems, Inc. Method and apparatus for three dimensional interconnect analysis
US6832182B1 (en) 1999-04-08 2004-12-14 Transim Technology Corporation Circuit simulator
JP3676130B2 (ja) * 1999-07-26 2005-07-27 松下電器産業株式会社 半導体集積回路の設計方法
US6363516B1 (en) * 1999-11-12 2002-03-26 Texas Instruments Incorporated Method for hierarchical parasitic extraction of a CMOS design
US6449754B1 (en) * 2000-03-24 2002-09-10 Sun Microsystems, Inc. Method of measuring the accuracy of parasitic capacitance extraction
US6460167B1 (en) 2000-04-27 2002-10-01 International Business Machines Corporation Efficient system for multi-level shape interactions
US6711723B2 (en) * 2000-04-28 2004-03-23 Northrop Grumman Corporation Hybrid semi-physical and data fitting HEMT modeling approach for large signal and non-linear microwave/millimeter wave circuit CAD
US6574782B1 (en) 2000-11-15 2003-06-03 International Business Machines Corporation Decoupled capacitance calculator for orthogonal wiring patterns
US6467069B2 (en) * 2000-12-15 2002-10-15 International Business Machines Corporation Timing closure and noise avoidance in detailed routing
JP2002259485A (ja) * 2001-03-02 2002-09-13 Fujitsu Ltd Lsi設計工程におけるlcr抽出方法及びlcr抽出を行うコンピュータプログラム
US6587997B1 (en) 2001-07-16 2003-07-01 Taiwan Semiconductor Manufacturing Company Automatic resistance and capacitance technology file generator for multiple RC extractors
US6763503B1 (en) * 2001-11-20 2004-07-13 Sun Microsystems, Inc. Accurate wire load model
US7007252B2 (en) * 2003-04-09 2006-02-28 Synopsys, Inc. Method and apparatus for characterizing the propagation of noise through a cell in an integrated circuit
US7403885B2 (en) * 2004-11-05 2008-07-22 Hewlett-Packard Development Company, L.P. Voltage supply noise analysis
US7669152B1 (en) 2007-03-13 2010-02-23 Silicon Frontline Technology Inc. Three-dimensional hierarchical coupling extraction
US20100122223A1 (en) * 2008-11-09 2010-05-13 International Business Machines Corporation Techniques for Computing Capacitances in a Medium With Three-Dimensional Conformal Dielectrics
US8136069B2 (en) * 2009-04-14 2012-03-13 International Business Machines Corporation Accurate approximation of resistance in a wire with irregular biasing and determination of interconnect capacitances in VLSI layouts in the presence of Catastrophic Optical Proximity Correction
US9330215B2 (en) * 2014-03-19 2016-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for verifying the design of an integrated circuit having multiple tiers
US10360338B2 (en) * 2016-01-15 2019-07-23 International Business Machines Corporation Method for improving capacitance extraction performance by approximating the effect of distant shapes

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5452224A (en) * 1992-08-07 1995-09-19 Hughes Aircraft Company Method of computing multi-conductor parasitic capacitances for VLSI circuits
US5568395A (en) * 1994-06-29 1996-10-22 Lsi Logic Corporation Modeling and estimating crosstalk noise and detecting false logic

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117473933A (zh) * 2023-12-25 2024-01-30 杭州行芯科技有限公司 电容库创建方法、电容获取方法、电子设备及存储介质
CN117473933B (zh) * 2023-12-25 2024-04-09 杭州行芯科技有限公司 电容库创建方法、电容获取方法、电子设备及存储介质

Also Published As

Publication number Publication date
US5706206A (en) 1998-01-06

Similar Documents

Publication Publication Date Title
JPH09186239A (ja) 集積回路の物理的設計から寄生容量値を抽出する方法
US5831870A (en) Method and system for characterizing interconnect data within an integrated circuit for facilitating parasitic capacitance estimation
US7669152B1 (en) Three-dimensional hierarchical coupling extraction
US20080028353A1 (en) Method for treating parasitic resistance, capacitance, and inductance in the design flow of integrated circuit extraction, simulations, and analyses
US6018623A (en) Method and system for determining statistically based worst-case on-chip interconnect delay and crosstalk
US6415422B1 (en) Method and system for performing capacitance estimations on an integrated circuit design routed by a global routing tool
US20070094622A1 (en) Methods, Apparatus and Computer Program Products for Generating Selective Netlists that Include Interconnection Influences at Pre-Layout and Post-Layout Design Stages
JPH10275176A (ja) 相互接続モデリングシステム及び方法
US20090077507A1 (en) Method of Generating Technology File for Integrated Circuit Design Tools
US6467069B2 (en) Timing closure and noise avoidance in detailed routing
US6779164B2 (en) LSI design method having dummy pattern generation process and LCR extraction process and computer program therefor
JP2011065377A (ja) 寄生素子の抽出システムと抽出方法
US6330704B1 (en) Method and apparatus for three dimensional interconnect analysis
US6880142B2 (en) Method of delay calculation for variation in interconnect metal process
EP1436738A2 (en) Clock skew verification methodology for grid-based design
US7036096B1 (en) Estimating capacitances using information including feature sizes extracted from a netlist
KR20100118934A (ko) T-커넥션, t-커넥션의 설계 방법 및 t-커넥션의 콤팩트 모델링
US7289945B2 (en) Analyzing interconnect structures
US6542834B1 (en) Capacitance estimation
US5923565A (en) Apparatus and method for extracting capacitance in the presence of two ground planes
US6434724B1 (en) Method for extracting inductance parameters from a circuit design
Gala et al. Inductance model and analysis methodology for high-speed on-chip interconnect
US6601025B1 (en) Method to partition the physical design of an integrated circuit for electrical simulation
US20060015276A1 (en) Method for determining fringing capacitances on passive devices within an integrated circuit
Van Marck et al. Modeling and evaluating opto-electronic architectures

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050428

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20050512

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20050810

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20050815

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20051114

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060209

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060602

A711 Notification of change in applicant

Free format text: JAPANESE INTERMEDIATE CODE: A711

Effective date: 20060629

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20060921

A912 Re-examination (zenchi) completed and case transferred to appeal board

Free format text: JAPANESE INTERMEDIATE CODE: A912

Effective date: 20061027