JPH09181181A - 接点領域上の横方向スペーサの腐食を最小にする処理方法及び半導体装置 - Google Patents

接点領域上の横方向スペーサの腐食を最小にする処理方法及び半導体装置

Info

Publication number
JPH09181181A
JPH09181181A JP8343725A JP34372596A JPH09181181A JP H09181181 A JPH09181181 A JP H09181181A JP 8343725 A JP8343725 A JP 8343725A JP 34372596 A JP34372596 A JP 34372596A JP H09181181 A JPH09181181 A JP H09181181A
Authority
JP
Japan
Prior art keywords
etching
layer
insulating layer
processing method
contact
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8343725A
Other languages
English (en)
Inventor
E Nolty James
イー ナルティ ジェームス
J Petty Christopher
ジェイ ペティ クリストファ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SAIPURESU SEMICONDUCTOR CORP
Cypress Semiconductor Corp
Original Assignee
SAIPURESU SEMICONDUCTOR CORP
Cypress Semiconductor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Family has litigation
First worldwide family litigation filed litigation Critical https://patents.darts-ip.com/?family=24310003&utm_source=google_patent&utm_medium=platform_link&utm_campaign=public_patent_search&patent=JPH09181181(A) "Global patent litigation dataset” by Darts-ip is licensed under a Creative Commons Attribution 4.0 International License.
Application filed by SAIPURESU SEMICONDUCTOR CORP, Cypress Semiconductor Corp filed Critical SAIPURESU SEMICONDUCTOR CORP
Publication of JPH09181181A publication Critical patent/JPH09181181A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

(57)【要約】 【課題】 接点領域上の横方向スペーサの腐食を最小に
し、ゲート電極又はその他の構造体に対する接点開口の
整列を良好にする。 【解決手段】 半導体本体上に導電層を形成し、次にこ
の導電層に隣接する絶縁層を堆積する。次に、ほぼ方形
の絶縁性スペーサをゲート電極に隣接させて形成する。
次に、前記絶縁層に隣接させてエッチングストッパ層を
堆積し、エッチングストッパ層の材料を接点領域から除
去するエッチングを行なう。このエッチングは、エッチ
ングストッパ層を除去しうるも絶縁層のほぼ方形の横方
向スペーサの形状を保持しうる条件の下で行なう。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体装置の処理
方法に関するもので、特に、絶縁層に開口部をエッチン
グする改良した方法及び良好に規定された接点開口部を
有する半導体装置に関するものである。
【0002】
【従来技術】半導体装置の製造において、多くの導電領
域及び導電層が半導体基板中又は基板上に形成される。
装置の導電領域及び導電層は誘電体によって互いに分離
されている。誘電体の例として、二酸化ケイ素 SiO2
テトラエチルオルトケイ酸ガラス(“TEOS")、チッ化ケ
イ素Six y 、オキシチッ化ケイ素SiOx y (H
z )、及び二酸化ケイ素/ チッ化ケイ素/ 二酸化ケイ素
(“ONO") がある。誘電体は、物理堆積法 (例えば、ス
パッタリング)又は種々の化学堆積法及び化学反応 (例
えば、化学蒸着法(“CVD") )を用いて成長又は堆積さ
せることができる。さらに、誘電体には、ドープしない
か或いは、ホウ素、リン、又はその両方をドープして例
えばホウリンケイ酸塩ガラス(“BPSG")、リンケイ酸塩
ガラス (“PSG") 、ホウリンケイ酸塩テトラエチルオル
トシリケートガラス(“BPTEOSG") を形成するようにす
ることができる。
【0003】半導体装置の製造のいくつかの段階では、
誘電体に開口部を形成し、その下にある領域又は層と接
点を形成する必要が生じる。一般に、誘電体を貫通し拡
散領域を露出する開口部、又は、ポリシリコンと第一金
属層との間にある誘電体層に形成する開口は“接点開口
部" と称され、一方、金属間誘電体層を貫通する開口部
のような他の酸化物層を貫通する開口部は“通路" と称
されている。本発明においては以後、接点開口部及び通
路の双方又はいずれか一方を称するのに“接点開口部”
すなわち“接点領域”を用いる。開口部はソースやドレ
インのようなシリコン基板内にある装置領域を露出する
か、下にある金属化層、局所的相互接続層、又はゲート
のような、他の層や構造を露出することもある。接点を
形成すべき領域又は層の一部を露出する開口部が形成さ
れた後、通常開口部は高周波(“RF")スパッタエッチン
グのようなスパッタエッチングで洗浄され、その後開口
部はこれに堆積される導電材料で満たされ、下にある領
域又は層と電気接触される。
【0004】開口部を形成するには、まず、開口部が形
成されるべき誘電体の領域に対応する位置に開口を有す
るフォトレジストのパターン層を誘電層上に形成する。
最近のほとんどの処理においては、引き続きドライエッ
チングが行われるが、このドライエッチングではウエハ
が1つ又は2つ以上のガス中で形成されたプラズマに曝
される。代表的には、1種以上のハロカーボン及び/又
は1種以上の他のハロゲン化化合物がエッチングガスと
して用いられる。例えば、CF4 、CHF3 (フレオン23)、
SF6 、NF3 及び他のガスがエッチングガスとして用いら
れる。さらに、このガス流にO2、Ar、N2、及び他のガス
を添加してもよい。用いる特定の混合ガスは、例えば、
誘電体エッチング特性、エッチング工程、使用するエッ
チング装置、さらに、エッチング速度、側壁の傾斜、異
方性等のような所望のエッチング特性によって決定され
る。
【0005】多くのエッチング特性は、エッチング工程
中に堆積する重合体の残留物に影響されると一般に考え
られている。この理由からプラズマ中のフッ素と炭素の
比 (F/C)はエッチング工程で重要な決定要素と考えられ
ている。一般に、F/C が大きなプラズマはF/C が小さな
プラズマに比べ速いエッチング速度を示す。この比が非
常に低い場合、即ち炭素の量が多い場合には、重合体の
堆積が起こりエッチングの進行が停止する。F/C 比の関
数としてのエッチング速度は通常異なった材料に対し異
なった値をとる。この差異を利用して選択性エッチング
を行うも、これは、 F/C比をある材料に対しては十分速
いエッチング速度を与えるが、他の材料に対してはエッ
チングが起こらない、即ち重合体の堆積が起きるような
値に設定する混合ガスを用いることにより達成される。
例えば、チッ化ケイ素の、二酸化ケイ素に対するエッチ
ング速度比即ち選択比が2:1のエッチング剤は半導体
基板からチッ化ケイ素を取り除く有効なストリッパーで
ある。その理由は、このエッチング剤は基板表面にある
二酸化ケイ素に対しチッ化ケイ素を選択的に取り除くか
らである。これに反し、チッ化ケイ素の、二酸化ケイ素
に対するエッチング速度比即ち選択比が0.85:1のエッ
チング剤は半導体基板からチッ化ケイ素を取り除く有効
なストリッパーとは見做されない。その理由は、このエ
ッチング剤は二酸化ケイ素を除いてチッ化ケイ素を効率
的に取り除かないからである。
【0006】エッチング処理の選択性は、特定のエッチ
ング剤のエッチング速度特性に基づいてこのエッチング
処理を監視するのに有用なパラメータである。前述した
ように、特定のエッチング剤により、即ちエッチング剤
の化学反応により異なった材料を異なったエッチング速
度で腐食する。例えば、誘電体に関しては、特定のエッ
チング剤が、二酸化ケイ素、BPTEOS、TEOS、チッ化ケイ
素誘電体をそれぞれ異なった速度で腐食する。例えばチ
ッ化ケイ素の誘電体層で囲まれた TEOS 誘電体層のよう
な異なった誘電体層で囲まれた接点領域を有する基板に
開口部を形成するときには、異なった誘電体を貫通する
開口部を形成するために異なったエッチング剤を処理に
用いる。したがって、一つのエッチング剤に対し、特定
の異なった誘電体層のエッチング速度を用い誘電体層を
貫通する開口部の形成を監視できる。
【0007】さらに、供給ガスを調整することにより、
誘電体のエッチングされる開口部内の側壁の傾斜を変化
させることが可能である。ゆるやかな側壁角度が望まし
い場合には、側壁に、ある重合体の堆積が起きるように
化学反応を調整する。逆に急峻な側壁が望ましい場合に
は、側壁に重合体の堆積が起きないように化学反応を調
整する。例えば、エッチングガスの圧力変化が開口部の
形状に大きな影響を及ぼす。その理由は、エッチング剤
のイオンは通常基板表面に垂直な方向に進み、したがっ
てマスクのない基板の底面と衝突するからである。一
方、エッチングされる開口部の側壁への衝突はほんの少
しあるか又は全くない。エッチングガスの圧力を増加さ
せると側壁への衝突は増加し、エッチングガスの圧力を
減少させると側壁への衝突は減少する。エッチング化学
反応を変化させることもまた選択性に直接影響する。約
90°の側壁角度を与えるエッチング剤は普通大きな選択
性を持たず、選択性が大きなエッチング剤は通常傾斜の
ある側壁を生じる。
【0008】誘電体のエッチングの後、また接点領域に
何らかの導電材料を堆積する前に、接点領域内の導電層
の上部にある自然の酸化物を、例えば RF スパッタエッ
チングのような非化学的スパッタエッチングにより除去
即ち洗浄する。このスパッタエッチングは、その接点領
域の酸化物を除去するだけでなくいかなる絶縁誘電体層
をも腐食するおそれがある。したがって、スパッタエッ
チングのパラメータを注意深く監視し、絶縁誘電体層を
過度に腐食してその下にある他の導電材料を露出しない
ようにする必要がある。接点領域内の導電材料の近くに
ある絶縁された導電材料を露出させると接点の品質低下
又はその導電材料を通して短絡が起きるようになる。酸
化物のエッチングに関するより詳しい説明は、S. Wolf
及びR.N.Tauber 著 Silicon Processing for the VLSI
Era (VLSI 時代のシリコンプロセス)Vol.1(1986)
の第539 〜585 頁を参照されたい。
【0009】前述したところでは半導体基板上の誘電体
材料に、例えば接点開口部のような開口部を形成するこ
とに関して主として説明してきた。同様の原理が誘電体
層を有する装置領域を構成するのにも適用される。寸法
が小さくなるにつれ、半導体基板上に個別の装置を形成
することはより特殊なものとなってくる。特殊な堆積及
びエッチング技術により一つのチップ上の半導体素子の
密度が大幅に増大することが可能になり、これにより大
量のメモリ、高速演算速度、低い生産コストをもたらす
ようになる。
【0010】代表的な金属−酸化物半導体 (MOS)トラン
ジスタ( 例えば、NMOS又は PMOS トランジスタ) は一般
に、基板中のソース/ドレイン領域と、そのソース領域
とドレイン領域との中間にあり基板から比較的薄い誘電
体で分離され基板上方に位置するゲート電極とを有して
いる。接点構造体はソース/ドレイン領域に挿入され、
中間体がこれら接点構造体上に形成され隣接する接点構
造体を接続する。拡散領域に対するこれらの接点構造体
は誘電体スペーサ又は肩部により隣接のゲートから分離
される。さらに誘電体スペーサ又は肩部は拡散領域をも
ゲートから分離している。
【0011】従来の接点構造体は拡散領域の面積に制限
を与える。その理由は、接点開口は別のマスキング工程
でこれらの拡散領域に整列され、整列誤りのために余分
の面積を取っておかなくてはならないからである。接点
構造体がゲート又は拡散井戸(ウエル)へ短絡するのを
防ぐため正しい整列が必要である。接点面積を大きくと
れば素子の密度がそれだけ小さくなる。また、接点面積
を大きくとれば拡散領域と基板との接合容量が増加し、
装置の動作速度が減少することになる。
【0012】自己整列接点は従来の接点構造に付随した
整列の問題を解決し装置の素子密度を増加させる。自己
整列接点はソース又はドレイン拡散領域に対する接点で
ある。自己整列接点は小さな寸法に対して有用である。
その理由は、この接点を、電気接触さすべきでない導電
領域の上方に重ねることができ、また拡散領域の下にあ
る井戸と短絡せずにその拡散領域の端の上方に重ねるこ
とができるためである。したがって、電気接触面積が少
なくてすみ、ゲート又は例えばポリシリコンのような導
電性材料の配線を互いに接近して配置できるため、所定
の基板上に従来の接点より多くのゲート又は配線が可能
となる。
【0013】図1(A) ,1 (B)及び1 (C)は2つのゲー
ト構造間の自己整列接点を示している。図1(A) は接点
の平面図である。図1(B) は、一対のゲート間の自己整
列接点を図1(A) の直線1(B) に沿って断面とした断面
図である。図1(C) は、一対のゲート間の自己整列接点
を、図1(A) の直線1(C) に沿って断面とした断面図で
ある。
【0014】自己整列接点は、ソース又はドレイン拡散
領域(n+ 又はp+ シリコン)140への接点であり、拡
散領域140 の下にある井戸に短絡しないように拡散領域
140のエッジと上方で重ならせることができる。このこ
とは図1(C) で最もよく示されている。図1(C) では接
点130 はそのすべてが拡散領域140 中に位置しておら
ず、整列誤りによりフィールド酸化物と僅かに重なり合
っている。この図では、自己整列接点はそのすべてが拡
散領域上方に存在するのではなく、井戸領域170の上方
に延在している(即ち上方で重なている)。自己整列接
点はフィールド酸化物により井戸170 から分離されてい
るので、自己整列接点は井戸170 部分と短絡しない。
【0015】自己整列接点130 は、導電性のポリシリコ
ン層110 から、カプセル封止用の誘電体層120 により分
離されているので、接点130 を、ポリシリコン層110 即
ちゲートと電気接触をすることなくポリシリコン層110
に重ならせることもできる。ポリシリコン層110 は、導
電性ポリシリコンの直接上にある誘電体層120 と同一又
は異なった誘電体材料からなる誘電体スペーサ又は肩部
150 によりソース/ドレイン拡散領域140 から分離され
ている。
【0016】カプセル封止用の誘電体層120 の上には別
の誘電体のエッチングストッパ層125 が形成されてい
る。エッチングストッパ層125 が過度のエッチングから
装置の構造及び層を保護しているため、装置の構造及び
層を露出させることなく基板のエッチングをさらに続け
ることが可能となる。拡散接点は自己整列されている。
その理由は、誘電体スペーサ150 がポリシリコン層110
を保護した状態で、ソース/ドレイン拡散領域140 上で
基板までこの構造をエッチングできるからである。たと
えポリシリコン層110 をエッチング剤から保護するフォ
トレジストがポリシリコン層110 に対して整列不備であ
っても、接点130 を拡散領域140 に設けるとき、ポリシ
リコン層110 へ短絡するのを誘電体スペーサ150 が防止
する。
【0017】ゲート、相互接続配線、又は形状寸法の小
さな他の構造体と電気接触する接点領域、特に自己整列
接点領域を形成することに関して今日行われているの
は、エッチングストッパ層及び第1の絶縁層のような下
にある領域を保護するため大きな選択性を有するエッチ
ング剤を用いることである。図2(A) 及び2(B) は従来
の接点開口の形成を示す断面図である。図2(A) では、
ゲート酸化物層210 が基板200 上に形成され、その上に
例えばポリシリコン層220 のような導電層、さらにその
上に TEOS 層230 のような絶縁層が形成されている。ポ
リシリコン層に隣接して接点開口部領域270 がある。ポ
リシリコン層220 は、TEOSスペーサ部235のような絶縁
スペーサ部によって接点領域270 から分離している。チ
ッ化ケイ素層240 のような別の絶縁層即ちエッチングス
トッパ層が TEOS 層230 と接点領域内に形成されたTEOS
スペーサ部235 上に形成されている。例えばBETEOS層25
0 のようなドープされた絶縁層である被覆層がエッチン
グストッパ層240 上に平坦に形成されている。
【0018】フォトレジスト材料層280 が平坦な BPTEO
S 層250 の上に形成され接点開口部270 を露出させてい
る。図2(A) では接点開口部270 は BPTEOS 層250 を貫
通して開けられている。その開口部を形成するエッチン
グ剤としては、チッ化ケイ素に対するよりも BPTEOS の
方に選択性が高いものが用いられた。接点開口部が BPT
EOS を貫通した際、このエッチング剤はチッ化ケイ素層
240 を全く或いは事実上エッチングしなかった。それ
故、チッ化ケイ素層240 がエッチングストッパ層と呼ば
れるのである。チッ化ケイ素のエッチングストッパ層は
その下にある TEOS 層を保護しポリシリコンが完全にカ
プセル封止されたままとなる。
【0019】図2(A) はチッ化ケイ素のエッチングスト
ッパ層240 を除去するためのエッチング260 を示してい
る。図2(A) で示されているエッチングでは、TEOS層23
0 の材料に対するよりもチッ化ケイ素の方に選択性が高
いエッチングが行われ、チッ化ケイ素層を有効にエッチ
ングししかもその下にあるTEOS層230 をエッチング剤か
ら保護している。TEOS層に比較してチッ化ケイ素を有効
に除去するための高選択性エッチングの処方の一つの例
は、 CHF3 30 sccm 及び O2 30 sccm を60ミリトルの圧
力及び100 Wの電力で用いる例である。高選択性エッチ
ングで得られる結果が図2(B) に示されている。
【0020】図2(B) はチッ化ケイ素選択性エッチング
が接点開口部270 からチッ化ケイ素240 を有効に除去し
たことを示している。しかし、TEOS材料に比較してチッ
化ケイ素に選択性のあるエッチングでは、TEOS層230 の
スペーサ部235 が接点開口部方向に傾斜即ちテーパーを
有するようになる。スペーサ部235 が図2(A) に示すよ
うに元々実質的に方形である場合でさえ同様の結果が生
じる。上にあるエッチングストッパ層の高選択性エッチ
ングの特性が実質的に方形のスペーサを傾斜のついたス
ペーサに変形する。図2(B) はポリシリコン層220 が T
EOS 層230 内にカプセル封止され、スペーサ部235 が接
点開口部270 に隣接し、その角度290 が85°より小さく
なっているところを示している。
【0021】高選択性エッチングを用いて傾斜のあるス
ペーサ部を形成することは、エッチング停止点即ち材料
間の選択性を与えることの外に、このスペーサ部の形状
を傾斜させることによりこのスペーサ部の段部に堆積さ
れる金属による段差被覆性が良好になることから好まし
いことである。ギャップ即ち接点開口部の充填(即ち、
ギャップ充填)は、それが装置の信頼性と直接関係があ
るため、重要な考慮を必要とする。もし開口部が例えば
絶縁材料で完全に充填されてなく、ギャップが生じれ
ば、それに続いて行われる導電材料の堆積によりそのギ
ャップが埋まり、それが短絡につながるおそれがある。
傾斜のある接点開口部の方が箱型構造よりも完全な充填
を容易に行うことができる。それは、傾斜のある構造か
ら開口部へ遷移する方が、箱型構造から開口部への急激
な遷移よりも滑らかだからである。完全なギャップ充填
及び良好な段差被覆性を考慮して、産業界では、図2
(B) に示すような、傾斜のあるスペーサで平らな堆積層
が好まれている。
【0022】接点開口部が形成されると、開口部は、例
えば RF スパッタエッチングのようなスパッタエッチン
グで洗浄され、その後導電材料を用いて開口部即ちギャ
ップが埋められる。前述の工程で開口部を洗浄するのに
用いられる RF スパッタエッチングは、接点領域に隣接
し導電部を取り囲む絶縁スペーサの一部分と反応しそれ
を腐食する。図3は、ゲートと RF スパッタエッチング
を受けている接点領域とを有する従来技術の基板を示し
ている。図3では、基板300 の上にゲート酸化物310 が
形成され、ゲート酸化物310 の上にポリシリコン層320
が形成され、さらに、そのポリシリコン層320 の上に T
EOS 層330 のような絶縁層が形成されている。さらに、
例えばチッ化ケイ素エッチングストッパ層340 のような
別の絶縁層が TEOS 層330 の上に形成され、またこのエ
ッチングストッパ層340 は、例えば、BPTEOS被覆層350
のような第3 の絶縁層で覆われている。ゲートには接点
領域360 が隣接している。チッ化ケイ素のエッチングス
トッパ層をその下にある TEOS 層材料に比較してチッ化
ケイ素に対する選択性の高いエッチングでエッチングす
ることにより、破線で示すTEOS材料より成る傾斜のある
スペーサ部370 を有するゲートが形成された。引き続い
て行われる RF スパッタエッチングは接点開口部360 を
洗浄するのに用いられる。
【0023】RF スパッタエッチングは短く、接点領域
を洗浄するように意図されているが、実際は絶縁 TEOS
スペーサの一部分を腐食する。RFスパッタエッチングの
動特性は接点領域で垂直方向となる高エネルギー粒子を
発生するものである。ポリシリコンに隣接し、そのポリ
シリコンを拡散領域から分離する傾斜したスペーサ部に
RFスパッタエッチング380 の高エネルギー粒子で衝突す
る。スペーサ部370 は傾斜しているので、スペーサ部37
0 の大きな表面積の部分がRFスパッタエッチング380 か
らの高エネルギー粒子に直接曝されることになる。さら
に、傾斜のあるスペーサ即ち基板表面に対する角度が85
°よりも小さいスペーサの場合、誘電体層の垂直部(即
ち、ポリシリコンゲートの上の部分)は、スペーサの傾
斜部分に比べてほんの僅かしか減少しない。即ち、図3
で示すRFスパッタエッチング中のTEOS 材料の減少量を
測定するという観点からは、d 1とd2との差は v1と
v2との差よりも大きい。したがって、従来技術の自己
整列接点構造において、ゲートに対する絶縁層の厚さの
最低値は、TEOS層の垂直方向の厚さではなく TEOSスペ
ーサの傾斜部分の厚さで決定される。
【0024】傾斜部分の絶縁スペーサ部の最小厚さが 5
00Å又はそれ以下であるゲート構造の場合、スパッタエ
ッチング380 の結果接点領域に隣接した TEOS 層370 の
傾斜部分を、絶縁層がポリシリコン320 を接点領域360
からもはや分離できなくなる点まで横方向に腐食する。
この場合には、接点開口部が導電性材料で充填されたと
き短絡が生じる。これは、接点領域を洗浄するのに用い
る従来のRFスパッタエッチングによりスペーサ材料が約
200〜 500Å消失することから起きるのである。さら
に、処理上の余裕度の点からして、一般に装置のスペー
サの(全てのエッチング、ドーピング、堆積を行った後
の)最終の最小厚さが少なくとも500 Åとなるようにす
ることが必要である。したがって、自己整列接点構造を
含めた、形状寸法の小さな通常の構造の場合に整列誤差
に対する敏感性をなくすには、最終の(即ち接点堆積時
の)絶縁スペーサの最小厚さを500 Åよりも厚く、好ま
しくは1000〜1500Å程度にして適切な処理上の余裕度、
完全なギャップの充填、及び信頼性に対する条件を満足
するようにする必要がある。
【0025】最小厚さが500 Åよりも厚い絶縁スペーサ
部を有する構造体を構成することは、チップのような装
置上に配置できるそのような構造体の個数に直接影響を
及ぼす。最小厚さが500 Åよりも厚い絶縁スペーサ部を
有する構造体を構成するには、予備エッチング−ストッ
パエッチングを受けるスペーサを大きく、即ち厚肉にし
てエッチング工程後に有効なスペーサが得られるように
する必要がある。そのような場合には、接点領域開口部
が十分大きく有効な接点が得られるような距離だけ、各
構造体を互いに離間させなければならない。この分離が
必要なため装置に含まれる構造体の個数が直接制限され
る。寸法形状が小さな構造体、特に自己整列接点を用い
る構造体に於いては、接点開口部の幅は、平坦層の上部
で約 0.6ミクロンで開口部の底部で約 0.2ミクロンであ
る。図3は従来技術の構造体における同一の接点に対す
る接点開口部の幅の差を示している。W1は平坦層の上
部における接点開口部の幅を表し、W2は開口部の底部
の幅を表す。さらに、アスペクト比は、接点開口部の底
の幅(即ち、隣接したスペーサ間の距離)に対する構造
体の高さ(フィールド酸化物、導電層、第1絶縁層、も
しあれば、エッチングストッパ層の総和)の比で定義さ
れる。自己整列接点構造に対する典型的なアスペクト比
は1.0 〜2.4 である。この従来技術では装置のいずれの
信頼性をも有するようにしてこのアスペクト比の範囲を
達成することはできない。1.0 〜2.4のアスペクト比を
達成するには1000 Å以下、より好ましくは 500Å程度
の最小の厚さのスペーサ部が必要である。前述したよう
に、1.0 〜2.4 のアスペクト比を要する最小の厚さのス
ペーサ部はスパッタエッチングに耐えられず、下にある
ポリシリコンゲートが露出し、接点と短絡を生じる。
【0026】
【発明が解決しようとする課題】装置の信頼性及び適切
な処理上の余裕度を維持し、完全なギャップ充填を保証
して各装置をできるだけ近接して配置するようにした価
格面から有効な構造が必要とされている。また、ゲート
電極又は他の構造に対する整列に敏感でないように接点
開口部を設け、ゲート電極が接点開口部中に入り込ま
ず、しかも絶縁層により接点開口部から絶縁された状態
を維持するようにした装置及びその製造処理方法が必要
とされている。この処理方法は、500 Åよりも薄肉のゲ
ート電極絶縁スペーサと両立しなくてはならない。ま
た、このような処理方法により形成される装置は、接点
領域中の導電性材料とこれに隣接したソース又はドレイ
ン又は他の構造との間で高品質の接点を維持できなくて
はならない。
【0027】
【課題を解決するための手段】本発明は、閉じ込められ
た接点領域上の絶縁層のスペーサ部の横方向腐食を最小
にする処理方法及びゲート電極又は他の構造に対する整
列に敏感でないように小さな接点開口部を設けた装置に
関するものである。本発明の処理方法によれば、ソース
若しくはドレイン、又は他の層若しくは他の構造のよう
な、装置領域と接点領域中の導電材料との間に良質の接
点をもたらす。この処理方法は接点領域に隣接する半導
体本体上に導電層を形成する周知の工程を含む。この工
程の後には、前記導電層及び接点領域に隣接して第1の
絶縁層を形成する。選択部分をフォトレジストでマスク
し、第1の絶縁層及び導電層をエッチングし、接点領域
に隣接するゲートのような装置構造部を形成する。次
に、装置の導電部を分離するため絶縁性の横方向スペー
サを装置構造部に設ける。次に絶縁スペーサをエッチン
グし、それにより装置が、導電層上に絶縁層を有し、こ
の絶縁層が接点領域に隣接する横方向スペーサ部を有
し、しかもそのスペーサ部が実質的には方形をなすよう
にする。次に、第2の絶縁層即ちエッチングストッパ層
を第1の絶縁層及び接点領域上に形成する。その後、随
意であるが、第3の絶縁層即ち被覆層をエッチングスト
ッパ層上に形成する。この被覆層は平坦化してもしなく
てもよい。
【0028】被覆層を設ける場合には、エッチング剤を
用いてこの被覆層の露出部分を貫通してエッチングスト
ッパ層に至る接点開口部をエッチング形成する。次に、
第2のエッチング即ちエッチングストッパ層のエッチン
グを行ない、エッチングストッパ層の材料を除去する。
エッチングストッパ層のエッチングはほとんど完全に異
方性とし、このことは、エッチング剤が一方向に、この
場合は水平方向よりも垂直方向に (即ち、基板表面に対
し直角方向に)エッチングを行なうことを意味する。エ
ッチングによりエッチングストッパ層を除去するも、第
1の絶縁層の、実質的に方形の横スペーサはそのまま維
持する。この異方性エッチングにより主として、エッチ
ング方向に対し垂直方向に延在する露出したエッチング
ストッパ層材料をエッチングする。したがって、このエ
ッチングにより、接点領域を覆っているエッチングスト
ッパ層材料を除去するが、スペーサに隣接するエッチン
グストッパ層材料はそれほどエッチングしない。スペー
サ上のエッチングストッパ層は導電層といかなる接点形
成用の導電体との間の誘電体層の厚さを増加させること
になる。一般に、エッチングストッパ層をエッチングす
るのに用いるエッチング条件は、エッチングストッパ層
に対する方がその下にある絶縁材料に対するより低い選
択性を有するようにする。
【0029】エッチングストッパ層のエッチングに続い
て接点領域を洗浄するためスパッタエッチングを行って
もよい。従来の処理ではスパッタエッチングが、導電層
に隣接した第1の絶縁層の傾斜のある横方向スペーサ部
を腐食するが、本発明で行なうスパッタエッチングは第
1の絶縁層の実質的に方形の横方向スペーサ部をそれほ
ど腐食しない。したがって、装置構造部の導電層が、第
1の絶縁層及びエッチングストッパ層材料からなるスペ
ーサにより完全に分離即ち絶縁される。
【0030】本発明の構造体は、小さな形状寸法の構造
体、特に自己整列接点に対し、有効な装置となる。本発
明の構造体は、基板中での所定の面積を有する領域によ
り互いに分離された第1及び第2の導電層と、各導電層
をカプセル封止し横方向スペーサ部を有する絶縁層と、
該絶縁層に隣接し且つ第1及び第2の導電層の上方に位
置するエッチングストッパ層とを具える。本発明は、前
記所定の面積を有する領域が、第1の導電層と第2の導
電層との間の第1の幅と、第1の導電層及び第2の導電
層に隣接した絶縁層の横方向スペーサ部間の第2の幅と
を有し、また前記領域のアスペクト比が1.0 〜2.4 であ
るようにする。ここでアスペクト比は前記領域の第2の
幅に対する装置の高さとして定義される。したがって、
本発明によれば、有効な接点に対する接点開口部を大き
くでき、装置の形状寸法を小さくでき、装置の密度を高
くでき、一方、より大型で低密度の従来の装置と同様な
アスペクト比を維持しうる。さらに、本発明において
は、絶縁層の最小の厚さを400 Åとし、且つこの最小の
厚さを、本発明の構造体に垂直に堆積する絶縁層の厚さ
により決定する。
【0031】本発明による装置では、接点領域中の導電
体材料と、ソース若しくはドレイン又は他の層若しくは
他の構造体のような下側の装置領域との間に良質で信頼
性のある接点を形成することができる。又、本発明の装
置では、接点開口部の底部の最小幅を0.2 ミクロンにで
き、平坦化層の上部で測定した接点開口部の最小幅を0.
5 ミクロンにでき、アスペクト比(即ち、スペーサ間の
接点開口部の底部の幅に対するエッチングストッパ層を
含めた構造体の高さ)を1.0 〜2.4 にしうる。本発明の
他の特徴及び利点は以下に説明する詳細な説明、図及び
特許請求の範囲から明らかになるであろう。
【0032】
【発明の実施の形態】本発明は、ゲート電極又は他の構
造に対する整列に敏感でない接点開口部を設け、ゲート
電極が接点開口部中に入り込まず、絶縁層により接点開
口部から絶縁された状態を維持するようにした装置及び
その処理方法に関するものである。本発明が意図する構
造は、形状寸法が小さい構造体、特に自己整列接点にと
って有効な装置である。この装置とは、接点領域中の導
電材料とその下にあるソース又はドレインのような装置
領域又は他の層若しくは構造との間の接点を高品質に維
持することができ、接点開口部の底部の最小幅が 0.2ミ
クロンで、平坦層の上部で測った接点開口部の最小幅が
0.5ミクロンで、カプセル封止層の最小厚さが 400Å
で、アスペクト比(スペーサ間の接点開口部の底部の幅
に対するエッチングストッパ層を含めた構造体の高さ)
が1.0 〜2.4 の範囲内にある装置である。
【0033】以下の説明では、本発明の完全な理解のた
め、特定の材料、厚さ、処理工程、処理パラメータ等種
々の特定の詳細につき述べる。しかし、当業者には、本
発明を実行するのにこれら特定の詳細を必ずしも採用す
る必要がないこと明らかである。また、本発明を不必要
に不明瞭にすることを避けるため、周知の材料又は方法
については詳細に延べない。さらに、以下の説明におい
ては、本発明のいくつかの実施例を、特定の構造、酸化
物層、酸化物層開口部に対して述べている。ここに述べ
る各方法はいかなる種類の開口部をも形成するための種
々の構造及び酸化物層に対しても用いることが可能であ
り、ここに述べる各絶縁層エッチング法は、それを説明
するのに関連して述べられた構造、及び/又は、絶縁層
に必ずしも限定されないことは明らかである。さらに、
ここに述べる各方法は他のエッチング工程を含む多工程
エッチングの一部として行うこともできる。
【0034】図4〜15は、半導体基板表面上へのゲー
ト列の製造を示す平坦断面図である。図4を参照する
に、半導体基板400 はp型又はn型のどちらでもよく、
基板と逆の導電型のドーパントで高濃度にドープされ
た、ソース又はドレインのような拡散領域405 を含んで
いる。イオン注入でリンを注入し抵抗率が 50 〜200 Ω
/□になったポリシリコンのn型の第1の導電層415 が
拡散領域上に堆積される。ポリシリコン層415 は低圧 C
VD(“LPCVD")管及び200 〜400 ミリトルのSiH4ガスを
用いた低圧CVDにより2000〜 3000 Åの厚さに堆積さ
せる。当業者には、この導電層415 は、ポリシリコンの
代りに、p型導電層又はW、Mo、Ta、及び/又は、Tiの
ような金属導体であってもよく、この導電層は、WSi2
MoSi2 、TaSi 2 、PtSi、PdSiからなるケイ化物であって
もよく、さらに、この導電層はケイ化物をドープされた
ポリシリコン上に設けたものより成る層構造体であって
もよいことは理解できるであろう。
【0035】ポリシリコン層415 はドープされた又はド
ープされていない二酸化ケイ素のような絶縁誘電体層41
0 上に位置している。誘電体層410 は単一の酸化物層か
らなる場合もあるし、種々の方法で形成されたいくつか
の層からなる場合もある。例えば、一つ又はそれ以上の
酸化物層を、例えばTEOS及び酸素の化学現象、又はTEOS
及びオゾンの化学現象を用いて、プラズマCVD (“PECV
D") 、熱CVD (“TCVD")、大気圧CVD (“APCVD") 、準
大気圧CVD (“SACVD") により堆積してもよい。ここで
用いる略語、例えば、PECVD TEOS酸化物とは、TEOSの化
学現象を用いるPECVD により堆積された酸化物をさす。
さらに、誘電体層410 の1つ又はそれ以上の層がスピン
オンガラス(“SOG") 層であってもよい。
【0036】全厚さ約 3000 ÅのTEOS誘電体層420 が導
電層415 の上に位置している。このTEOS層420 は、誘電
体の代りに、例えば二酸化ケイ素SiO2、ONO 、チッ化ケ
イ素(Six y ) 、又はオキシチッ化ケイ素 ( SiOx
y ) のような絶縁層であってもよいことは当業者には理
解できるであろう。さらに、絶縁層420 には、ドープし
なくても、或いは、例えばホウ素、リン、又はその両方
をドープし、例えばホウリンケイ酸塩ガラス(“BPS
G")、リンケイ酸塩ガラス(“PSG") 、及びホウリンケ
イ酸テトラエチルオルトシリケート(“BPTEOS")を形成
してもよい。さらに、誘電体層420 は TEOS のような単
一の層の酸化物又は種々の方法で形成した複数の層を有
するようにしうる。
【0037】さらに図4を参照するに、フォトレジスト
のマスク層425 を TEOS 誘電体層420 上に堆積させる。
このフォトレジストのマスク層425 は半導体基板中の拡
散領域405 に対応する部分を露出させている。次に図5
を参照するに、一連のフォトリソグラフィのエッチング
を行ない、TEOS層 420の材料及びポリシリコン層415を
拡散領域即ち接点領域から除去する。これらのエッチン
グは200 〜300 Wの平行平板プラズマエッチング装置を
用いて行なう。まず、フルオロカーボンのフォトリソグ
ラフィエッチングを50ミリトルのCHF3/C2F6 を用いて
行ない、拡散領域即ち接点領域を含む領域及び隣接した
領域から絶縁 TEOS 材料を除去する。次に、塩素プラズ
マ (Cl2/He) を用いた単一ポリシリコンのフォトリソグ
ラフィエッチングを行ない、ポリシリコン導電層415 を
トランジスタ又はゲート領域の上に規定する。
【0038】上述した処理は、エッチングチャンバを複
数回通す多段エッチング工程として説明した。しかし、
これらのエッチング工程を組合せて、エッチングチャン
バを一回通すだけで、エッチング装置で化学組成を変更
し、順番に複数のエッチングを行う1回の多重工程にす
ることができるということは当業者にとって明らかであ
る。
【0039】図6及び図7を参照するにTEOS材料430 の
他の層を図5の構造の上に堆積させ、ポリシリコン層41
5 に隣接し、接点開口部中に延在するスペーサ部分を約
1500Åの幅にエッチングし、ゲートのポリシリコン層41
5 と接点領域との間にスペーサを形成する。図7はTEOS
層430 のスペーサ435 の境界を破線で示している。これ
らのスペーサは、ポリシリコン層415 を接点開口部に充
填される導電材料から絶縁し、ゲートが拡散領域と重な
るのを防ぐ作用をする。また、スペーサ435 は各ゲート
のポリシリコン層415 を完全にカプセル封止する作用を
する。図7に示されるようスペーサ435 は、これらスペ
ーサ435 が実質的に方形になるように注意してエッチン
グする。これは低いバイアス及び高圧力(2.8 トル、14
0 sccm He 、30 sccm CHF3、90 sccm CF4 、及び850 W
の電力)を用いて行い、これにより低重合体を形成す
る。この点で、本発明の好ましい実施例は、TEOS層が最
低約3000Åの垂直方向の幅を持ちスペーサは最低約100
0 Åの幅を持ち得ることを意図している。
【0040】図8を参照するに、次に従来の技術を用い
て適切なドーパントを拡散領域にイオン注入する。ドー
パントの注入にはヒ素、リン又はホウ素を用いることが
できる。次に、WSi2や TiSi2のようなケイ化物を形成す
ることもできる。図8は拡散領域にケイ化物445 が形成
されていることを示している。
【0041】図9を参照するに、TEOS層420 の上に別の
第2の誘電体層即ちエッチングストッパ層440 、本例で
はチッ化ケイ素 (Six y ) 層 440を全厚さが700 Åと
なるように堆積する。当業者には、このチッ化ケイ素層
440の代りに、例えば二酸化ケイ素(SiO2)、ONO 又
は、 SiOx y ( Hz ) の絶縁層であってもよいことが
理解できるであろう。さらに、チッ化ケイ素エッチング
ストッパ層340 にはドープしなくても、或いは、例えば
ホウ素、リン、又はその両方をドープし、例えばホウリ
ンケイ酸塩ガラス(“BPSG")や、リンケイ酸塩ガラス
(“PSG") や、ホウリンケイ酸塩テトラエチルオルトシ
リケート(“BPTEOS")を形成してもよい。さらに、エッ
チングストッパ層440 は単一のチッ化ケイ素層を有する
か、種々の方法で形成した複数の層を有するようにする
ことができる。エッチングストッパ層はその下にある絶
縁層と異なるものとすることが重要である。
【0042】本発明は、この点でこの構造が1.0 〜2.4
のアスペクト比を有することを意図している。アスペク
ト比は、絶縁スペーサ間の接点開口部の底部の幅に対す
るエッチングストッパ層の水平部分の頂部までの接点開
口部の高さの比として定義される。例えば、本発明の実
施例は、接点開口部の高さが5300Å (0.53ミクロン)及
びその幅が 0.32 ミクロンでアスペクト比が1.6になる
ことを意図している。
【0043】図10を参照するに、次に、エッチングス
トッパ層440 に隣接して誘電体被覆層450 を堆積する
も、これは随意でよい。被覆層450 は平坦化してもしな
くてもよい。図10に於いては被覆層450 は平坦化され
ている。平坦化した被覆層450は、後に接点領域上に形
成しうる相互接続層の形成を容易にする。図10の被覆
層は、BPTEOSのようなドープされたケイ酸塩ガラスであ
る。このBPTEOS層 450は、BPTEOSの代りに、例えば BPS
G 又はPSG のような他のドープされた絶縁層にしたり、
二酸化ケイ素( SiO2) 、ONO 、又は SiOx y より成る
ドープされていない絶縁層にするこもできることは当業
者にとって明らかである。さらに、被覆層450 は BPTEO
S のような単一酸化物層でもよいし、種々の方法で形成
した複数の層からなっていてもよい。
【0044】次に、図11に示すように、フォトレジス
トのパターン層即ちマスク層455 を被覆層に隣接させ
て、拡散領域の上部にある接点領域に対応する部分が露
出するように形成する。これに引き続き、接点領域中の
BPTEOS 被覆層450 のフォトリソグラフィエッチングを
行なう。このエッチングは、29ミリトルでのフルオロカ
ーボンフォトリソグラフィエッチング(7sccm CHF3
6sccmフレオン134 a)である。このエッチングにより拡
散領域上に一対の接点領域460 及び465 が現れる(図1
2)。
【0045】図13を参照するに、フォトレジスト材料
(図示せず)をエッチングストッパ層に隣接して接点領
域460 及び 465中に設け、接点領域460 及び 465中のエ
ッチングストッパ材料を、エッチングストッパ層を除去
するために次に行うフォトリソグラフィエッチングから
保護するようにすることができる。次に、フォトリソグ
ラフィエッチング (Lam 4400シリーズのプラズマエッチ
ングシステムを用い、900 ミリトル、100 sccm He 、85
sccm C2F6、及び、出力 225Wで) を行ない、接点開口
部460 及び465 からエッチングストッパ層 440を除去す
る。このエッチングに対する条件は、低衝撃/高中性粒
子流の条件である。
【0046】図14は、図13の接点開口部460 の断面
図の拡大図である。エッチングは異方的に進行し、エッ
チング剤のイオンの方向である垂直方向に対し水平面に
あるエッチングストッパ材料を主に除去する。エッチン
グ剤は、主に接点開口部460の底部にある材料を除去す
るが、TEOS層 420のスペーサ部に隣接したエッチングス
トッパ材料の全てを除去するわけではない。したがっ
て、TEOS層のスペーサ部に隣接した残留エッチングスト
ッパ材料も追加のスペーサ材料として作用し、ポリシリ
コンを、後に接点領域460 及び465 に設ける導電性接点
から絶縁する。
【0047】接点開口部460 からチッ化ケイ素を除去す
るのに用いるエッチング剤の、チッ化ケイ素のエッチン
グに対する選択性は、その下にある TEOS 層に対するよ
りも低い。TEOS層に対するよりもチッ化ケイ素に対する
より選択性の低いエッチング剤を用いても TEOS 層420
のスペーサ部を著しく破壊しない。この低い選択性エッ
チングによりTEOS層420 のスペーサ部は方形即ち“箱
型”の形を持つようになる。図14は、TEOS層420 のス
ペーサ部のうちわずかな部分475 のみ (破線で示す)が
エッチングの際に除去されていることを示している。一
番重要なことは、TEOS層420 のスペーサ部が実質的に方
形の形を保っているということである。
【0048】エッチングストッパ層に対する前述した条
件(即ち、低い選択性、低衝撃/高中性粒子流) は、箱
型スペーサを維持するための代表的なエッチング条件で
ある。本発明は、これらの処理条件のみならず箱型スペ
ーサを維持するための他の条件にも関係したものであ
る。したがって、エッチングストッパ層に対するこれら
のエッチング条件は、これに限定されるものではなく、
例示であると見做されるべきである。
【0049】チッ化ケイ素エッチングストッパ層440 の
エッチングの次にスパッタエッチングを行ない接点開口
部460 を洗浄する。現在の好適実施例では、このスパッ
タエッチングは、8 ミリトルのアルゴン雰囲気中で、1
000 Vのバイアスで行われる。現在の好適実施例では、
このスパッタエッチングは、Applied Materials 社のエ
ンデューラ (Endura) 5500システムのような市販のシス
テムで行なう。本発明を実行するには、そのほか、スパ
ッタエッチングモードを有するいかなるシステムを用い
てもよい。パラメータを大幅に変化させても本発明の目
的を得ることが可能なことは当業者にはよく理解される
であろう。現在の好適実施例では、エッチングは、熱酸
化物で測定して約 200Å/分の速度で行うように設定す
る。実質的に方形即ち“箱型”のスペーサ部を維持する
ため、スパッタエッチングは TEOS 層のスペーサ部を著
しくは腐食しない。
【0050】この点で、本発明においては、カプセル封
止される誘電体層即ち TEOS の最小の厚さが約 400Åと
なり、エッチングストッパ層のエッチング及びスパッタ
エッチングによって最も影響される隅部がこの最小の厚
さに相当する。図14に於いてはこの最小厚さをdで表
わしている。
【0051】図15は導電体 480が接点開口460 に堆積
された本発明の構造の断面図を示している。
【0052】上述した処理により、半導体基板内の規定
の面積を持つ接点領域によって第1及び第2の導電層
(例えば、ポリシリコン層)が分離された構造が得られ
る。絶縁層が第1及び第2の導電層に隣接し且つそれら
を包囲している。本発明によれば、その絶縁層が導電層
と接点領域との間にスペーサ部を有する。また、本発明
によれば、スペーサ部の絶縁材料の最小の厚さが 400Å
である高品質接点を得ることができる。さらに、好適実
施例では、絶縁材料のスペーサ部は実質的に方形の形状
を有する。又、本発明によれば、エッチングストッパ層
材料に対する選択性が絶縁層材料に対するより低いエッ
チングによりエッチングストッパ層材料を異方性エッチ
ングした後、エッチングストッパ層材料の一部が絶縁層
のスペーサ部に隣接して残るようにしうる。その結果、
少なくもエッチングストッパ層材料の一部分を有する側
壁を持つ接点開口部が得られる。
【0053】本発明によれば、底部の幅が 0.2μm (随
意ではあるが平坦化した層の上部で測定した場合 0.5μ
m)、底部の面積が0.1μm2の有効な接点開口部を得るこ
とができる。したがって、本発明によれば、有効な接点
開口部に対するアスペクト比が1.0 〜2.4 の範囲に入
る。ここでアスペクト比は、スペーサ間の接点開口部の
底部の幅に対するエッチングストッパ層の水平部分まで
の接点開口部の高さの比として定義する。図15には接
点領域のアスペクト比を計算しうる高さh及び幅wを示
す。
【0054】上述したところでは、本発明の特定の実施
例を説明した。しかし、特許請求の範囲で述べられる本
発明の広い精神及び範囲から逸脱することなくそれらの
実施例に対し種々の修正や変更が可能なことは明らかで
ある。したがって、明細書及び図面は例として与えられ
ているものであって本発明はこれに限定されるものでは
ない。例えば、接点領域465 を接点領域460 と同一形状
にすることができる。
【図面の簡単な説明】
【図1】拡散領域との自己整列接点を示し、図1(A) は
自己整列接点の平面図であり、図1(B) は、拡散領域と
の自己整列接点を図1(A) の線1(B) に沿って断面とし
た断面図であり、図1(C) は、拡散領域との自己整列接
点を図1(A) の線1(C) に沿って断面とした断面図であ
る。
【図2】従来技術の接点開口部の形成を示す断面図であ
り、図2(A) はエッチングストッパ絶縁層の選択性の高
いエッチングを示し、図2(B) はそのエッチングの結果
を示す。
【図3】スパッタ洗浄エッチング工程中の従来技術の接
点開口部の形成を示すとともに本発明を実行しうる半導
体装置の製造中の一例を示す断面図である。
【図4】半導体基板表面上に一連のゲートを形成するに
際し、導電層に隣接する絶縁層を2つの拡散領域の上に
位置させた、本発明の一製造工程を示す断面図である。
【図5】絶縁材料に隣接した導電材料より成る一連のゲ
ートを示す、本発明の他の製造工程の断面図である。
【図6】一連のゲート上に、接点領域即ち拡散領域に隣
接したスペーサ部を形成するのに用いる他の絶縁材料を
堆積した状態を示す本発明のさらに他の製造工程を示す
断面図であ。
【図7】絶縁材料内に完全にカプセル封止された一連の
ゲートの断面図であり、接点領域即ち拡散領域に隣接し
た絶縁材料のスペーサ部を実質的に方形の形状とした本
発明のさらに他の製造工程を示す。
【図8】絶縁材料でカプセル封止された一連のゲートの
断面図であり、ケイ化物を有する拡散領域が注入された
本発明のさらに他の製造工程を示す。
【図9】絶縁材料及び該絶縁材料の上にある絶縁エッチ
ングストッパ層でカプセル封止された一連のゲートの断
面図であり、本発明のさらに他の製造工程を示す。
【図10】絶縁材料、該絶縁材料の上にあるエッチング
ストッパ層及び該エッチングストッパ層の上にある別の
平坦化絶縁層でカプセル封止された一連のゲートの断面
図であり、本発明のさらに他の製造工程を示す。
【図11】絶縁材料、該絶縁材料の上にあるエッチング
ストッパ層及び該エッチングストッパ層の上にある別の
平坦化絶縁被覆層でカプセル封止された一連のゲートの
断面図であり、被覆層の上にフォトレジストパターン層
を設けた本発明のさらに他の製造工程を示す。
【図12】図11の被覆層をエッチングして接点開口部
を形成した一連のゲートの断面図であり、本発明のさら
に他の製造工程を示す。
【図13】拡散領域への接点開口部を完成させた、本発
明のさらに他の製造工程を示す。
【図14】図13に於いて○で囲まれた部分の拡大断面
図である。
【図15】接点開口部中で半導体基板中の拡散領域にま
で延在させた導電接点を設けた一連のゲートの断面図で
あり、本発明のさらに他の製造工程を示す。
【符号の説明】
400 半導体基板 405 拡散領域(ソース/ドレイン) 410 誘電体層 415 導電層 420 ,430 TEOS層 425 フォトレジストマスク層 435 スペーサ 440 エッチングストッパ層(チッ化ケイ素層) 445 ケイ化物 450 被覆層(BPTEOS層) 455 フォトレジストパターン層 460 ,465 接点領域
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.6 識別記号 庁内整理番号 FI 技術表示箇所 H01L 21/336 (72)発明者 クリストファ ジェイ ペティ アメリカ合衆国 カリフォルニア州 94041 マウンテン ヴュー シエラ ア ヴェニュー 660

Claims (26)

    【特許請求の範囲】
  1. 【請求項1】 接点領域上の横方向スペーサの腐食を最
    小にする処理方法において、 半導体本体上に存在し、前記接点領域に隣接している絶
    縁層であって、前記接点領域に隣接した実質的に方形の
    スペーサ部を有する当該絶縁層内に導電層をカプセル封
    止し、 前記絶縁層及び前記接点領域に隣接してエッチングスト
    ッパ層を堆積し、 前記絶縁層の前記スペーサ部を著しく腐食することな
    く、前記エッチングストッパ層のうちの前記接点領域に
    隣接した部分をエッチングすることを特徴とする処理方
    法。
  2. 【請求項2】 請求項1に記載の処理方法において、前
    記エッチングの工程でプラズマエッチングシステムを用
    いることを特徴とする処理方法。
  3. 【請求項3】 請求項2に記載の処理方法において、前
    記エッチングの工程のエッチング条件は低衝撃/高中性
    粒子流の条件にすることを特徴とする処理方法。
  4. 【請求項4】 請求項3に記載の処理方法において、前
    記エッチング条件は前記絶縁層の材料に対するよりも前
    記エッチングストッパ層の材料に対して低い選択性を有
    することを特徴とする処理方法。
  5. 【請求項5】 請求項4に記載の処理方法において、前
    記選択性は1: 1に等しいかそれより小さいことを特徴
    とする処理方法。
  6. 【請求項6】 請求項2に記載の処理方法において、前
    記プラズマエッチングシステムはラム社 (Lam) 4400 シ
    リーズのプラズマエッチングシステムとすることを特徴
    とする処理方法。
  7. 【請求項7】 請求項6に記載の処理方法において、前
    記エッチングストッパ層のエッチングは、900 ミリト
    ル、100sccm He、85 sccm C2F6及び 225Wの電力を用い
    て行なうことを特徴とする処理方法。
  8. 【請求項8】 請求項1に記載の処理方法において、前
    記エッチングストッパ層の前記エッチングの終了後、前
    記絶縁層の前記スペーサ部が実質的に方形の形状を維持
    するようにスパッタエッチングで前記絶縁層の露出した
    部分を洗浄することを特徴とする処理方法。
  9. 【請求項9】 請求項8に記載の処理方法において、前
    記スパッタエッチングは高周波スパッタエッチングにす
    ることを特徴とする処理方法。
  10. 【請求項10】 請求項1に記載の処理方法において、
    前記エッチングストッパ層に隣接して被覆絶縁層を堆積
    し、この被覆絶縁層上に前記接点領域を露出するための
    パターン層を形成し、前記接点領域上の前記被覆絶縁層
    の部分を適切なエッチング剤でエッチングし、前記エッ
    チングストッパ層の前記エッチング前に前記エッチング
    ストッパ層の一部を露出させることを特徴とする処理方
    法。
  11. 【請求項11】 請求項10に記載の処理方法におい
    て、前記被覆絶縁層を平坦化することを特徴とする処理
    方法。
  12. 【請求項12】 接点領域上の横方向スペーサの腐食を
    最小にする処理方法において、 半導体本体上に存在し、前記接点領域に隣接している絶
    縁層であって、前記接点領域に隣接した実質的に方形の
    スペーサ部を有する当該絶縁層内に導電層をカプセル封
    止し、 前記絶縁層及び前記接点領域に隣接してエッチングスト
    ッパ層を堆積し、 前記絶縁層の垂直方向の腐食速度に比較して前記スペー
    サ部に対する斜め方向の腐食速度が最小となるように、
    前記エッチングストッパ層のうち前記接点領域に隣接し
    た部分をエッチングすることを特徴とする処理方法。
  13. 【請求項13】 請求項12に記載の処理方法におい
    て、前記エッチングの工程でプラズマエッチングシステ
    ムを用いることを特徴とする処理方法。
  14. 【請求項14】 請求項13に記載の処理方法におい
    て、前記エッチングの工程のエッチング条件は低衝撃/
    高中性粒子流の条件にすることを特徴とする処理方法。
  15. 【請求項15】 請求項14に記載の処理方法におい
    て、前記エッチング条件は前記絶縁層の材料に対するよ
    りも前記エッチングストッパ層の材料に対して低い選択
    性を有することを特徴とする処理方法。
  16. 【請求項16】 請求項15に記載の処理方法におい
    て、前記選択性は1:1に等しいかそれより小さいこと
    を特徴とする処理方法。
  17. 【請求項17】 請求項13に記載の処理方法におい
    て、前記プラズマエッチングシステムはラム社 (Lam) 4
    400 シリーズのプラズマエッチングシステムとすること
    を特徴とする処理方法。
  18. 【請求項18】 請求項17に記載の処理方法におい
    て、前記エッチングストッパ層のエッチングは、900 ミ
    リトル、100 sccm He 、85 sccm C2F6及び 225Wの電力
    を用いて行なうことを特徴とする処理方法。
  19. 【請求項19】 請求項12に記載の処理方法におい
    て、前記エッチングストッパ層の前記エッチングの終了
    後、前記絶縁層の前記スペーサ部が実質的に方形の形状
    を維持するようにスパッタエッチングで前記絶縁層の露
    出した部分を洗浄することを特徴とする処理方法。
  20. 【請求項20】 請求項19に記載の処理方法におい
    て、前記スパッタエッチングは高周波スパッタエッチン
    グにすることを特徴とする処理方法。
  21. 【請求項21】 請求項12に記載の処理方法におい
    て、前記エッチングストッパ層に隣接して被覆絶縁層を
    堆積し、この被覆絶縁層上に前記接点領域を露出するた
    めのパターン層を形成し、前記接点領域上の前記被覆絶
    縁層の部分を適切なエッチング剤でエッチングし、前記
    エッチングストッパ層の前記エッチング前に前記エッチ
    ングストッパ層の一部を露出させることを特徴とする処
    理方法。
  22. 【請求項22】 請求項21に記載の処理方法におい
    て、前記被覆絶縁層を平坦化することを特徴とする処理
    方法。
  23. 【請求項23】 基板中での所定の面積を有する領域に
    より互いに分離された第1及び第2の導電層と、 前記第1及び第2の導電層に隣接して位置し、横方向ス
    ペーサ部を有する絶縁層と、 前記絶縁層に隣接し且つ前記第1及び第2の導電層の上
    方に位置するエッチングストッパ層とを有する半導体装
    置であって、 前記所定の面積を有する領域は、前記第1及び第2の導
    電層間の第1の幅と、これら第1及び第2の導電層に隣
    接した前記絶縁層の横方向スペーサ部間の第2の幅とを
    有し、この領域は、この領域の第2の幅に対する前記半
    導体装置の高さで定義されるアスペクト比が1.0 〜 2.4
    であることを特徴とする半導体装置。
  24. 【請求項24】 請求項23に記載の半導体装置におい
    て、前記絶縁層は、前記領域に隣接して実質的に方形の
    形状をしたスペーサ部を有することを特徴とする半導体
    装置。
  25. 【請求項25】 請求項23に記載の半導体装置におい
    て、前記エッチングストッパ層はチッ化ケイ素であるこ
    とを特徴とする半導体装置。
  26. 【請求項26】 請求項23に記載の半導体装置におい
    て、前記エッチングストッパ層は二酸化ケイ素であるこ
    とを特徴とする半導体装置。
JP8343725A 1995-12-22 1996-12-24 接点領域上の横方向スペーサの腐食を最小にする処理方法及び半導体装置 Pending JPH09181181A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/577,751 US6066555A (en) 1995-12-22 1995-12-22 Method for eliminating lateral spacer erosion on enclosed contact topographies during RF sputter cleaning
US08/577751 1995-12-22

Publications (1)

Publication Number Publication Date
JPH09181181A true JPH09181181A (ja) 1997-07-11

Family

ID=24310003

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8343725A Pending JPH09181181A (ja) 1995-12-22 1996-12-24 接点領域上の横方向スペーサの腐食を最小にする処理方法及び半導体装置

Country Status (2)

Country Link
US (2) US6066555A (ja)
JP (1) JPH09181181A (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104124173A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165375A (en) * 1997-09-23 2000-12-26 Cypress Semiconductor Corporation Plasma etching method
US6274481B1 (en) * 1997-11-26 2001-08-14 Texas Instruments Incorporated Process sequence to improve DRAM data retention
US7173339B1 (en) 1998-06-22 2007-02-06 Micron Technology, Inc. Semiconductor device having a substrate an undoped silicon oxide structure and an overlaying doped silicon oxide structure with a sidewall terminating at the undoped silicon oxide structure
US6875371B1 (en) 1998-06-22 2005-04-05 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US6117791A (en) * 1998-06-22 2000-09-12 Micron Technology, Inc. Etchant with selectivity for doped silicon dioxide over undoped silicon dioxide and silicon nitride, processes which employ the etchant, and structures formed thereby
US6184119B1 (en) * 1999-03-15 2001-02-06 Vlsi Technology, Inc. Methods for reducing semiconductor contact resistance
US6514804B1 (en) * 1999-05-20 2003-02-04 Nec Corporation Thin-film transistor and fabrication method thereof
US6281143B1 (en) * 1999-06-17 2001-08-28 United Microelectronics Corp. Method of forming borderless contact
US7030029B2 (en) 2000-05-12 2006-04-18 Tokyo Electron Limited Method of high selectivity SAC etching
US6730619B2 (en) * 2000-06-15 2004-05-04 Samsung Electronics Co., Ltd. Method of manufacturing insulating layer and semiconductor device including insulating layer
JP2004503082A (ja) * 2000-07-05 2004-01-29 マイクロン テクノロジー インコーポレイテッド 無ドープの酸化ケイ素と窒化珪素との上部にあるドープされた酸化ケイ素のための選択性を有するエッチング剤、そのエッチング剤を使用する方法、及びそれにより形成された構造。
US6362094B1 (en) * 2000-08-16 2002-03-26 Agere Systems Guardian Corp. Hydrogenated silicon carbide as a liner for self-aligning contact vias
US6803318B1 (en) 2000-09-14 2004-10-12 Cypress Semiconductor Corp. Method of forming self aligned contacts
US6756315B1 (en) 2000-09-29 2004-06-29 Cypress Semiconductor Corporation Method of forming contact openings
US6589835B2 (en) * 2001-03-22 2003-07-08 Macronix International Co., Ltd. Method of manufacturing flash memory
US6727168B2 (en) * 2001-10-24 2004-04-27 Micron Technology, Inc. Method of forming local interconnects
US6770566B1 (en) 2002-03-06 2004-08-03 Cypress Semiconductor Corporation Methods of forming semiconductor structures, and articles and devices formed thereby
KR100443087B1 (ko) * 2002-09-24 2004-08-04 삼성전자주식회사 반도체 소자의 실리사이드막 형성방법
TWI223380B (en) * 2003-07-14 2004-11-01 Nanya Technology Corp Semiconductor device and method of fabricating the same
TWI229411B (en) * 2004-04-20 2005-03-11 Powerchip Semiconductor Corp Method of manufacturing a semiconductor device
US7259083B2 (en) * 2004-10-22 2007-08-21 Lsi Corporation Local interconnect manufacturing process
US7429524B2 (en) * 2005-09-14 2008-09-30 Texas Instruments Incorporated Transistor design self-aligned to contact
US8399360B1 (en) 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
US8435898B2 (en) * 2007-04-05 2013-05-07 Freescale Semiconductor, Inc. First inter-layer dielectric stack for non-volatile memory
US7633125B2 (en) * 2007-08-31 2009-12-15 Intel Corporation Integration of silicon boron nitride in high voltage and small pitch semiconductors
US8320038B2 (en) * 2008-12-16 2012-11-27 Silicon Light Machines Corporation Method of fabricating an integrated device
US8999838B2 (en) * 2011-08-31 2015-04-07 Macronix International Co., Ltd. Semiconductor devices and methods of manufacturing the same
US10998228B2 (en) * 2014-06-12 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned interconnect with protection layer
US10109722B2 (en) 2017-03-02 2018-10-23 Globalfoundries Inc. Etch-resistant spacer formation on gate structure
US10541309B2 (en) * 2017-12-25 2020-01-21 United Microelectronics Corp Semiconductor structure and method for fabricating the same

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US35111A (en) * 1862-04-29 Improvement incurs for elevators of flou ring-mills
US4660276A (en) * 1985-08-12 1987-04-28 Rca Corporation Method of making a MOS field effect transistor in an integrated circuit
US4806201A (en) * 1986-12-04 1989-02-21 Texas Instruments Incorporated Use of sidewall oxide to reduce filaments
GB2219434A (en) * 1988-06-06 1989-12-06 Philips Nv A method of forming a contact in a semiconductor device
US4978637A (en) 1989-05-31 1990-12-18 Sgs-Thomson Microelectronics, Inc. Local interconnect process for integrated circuits
US5264341A (en) 1989-08-30 1993-11-23 Eli Lilly And Company Selective cloning for high monoclonal antibody secreting hybridomas
US5275972A (en) * 1990-02-19 1994-01-04 Matsushita Electric Industrial Co., Ltd. Method for fabricating a semiconductor integrated circuit device including the self-aligned formation of a contact window
US5037777A (en) * 1990-07-02 1991-08-06 Motorola Inc. Method for forming a multi-layer semiconductor device using selective planarization
US5100838A (en) * 1990-10-04 1992-03-31 Micron Technology, Inc. Method for forming self-aligned conducting pillars in an (IC) fabrication process
KR950000519B1 (ko) * 1991-02-28 1995-01-24 현대전자산업 주식회사 폴리실리콘층을 이용한 자기정렬콘택 제조방법
US5164330A (en) * 1991-04-17 1992-11-17 Intel Corporation Etchback process for tungsten utilizing a NF3/AR chemistry
JP2655213B2 (ja) * 1991-10-14 1997-09-17 三菱電機株式会社 半導体装置の配線接続構造およびその製造方法
US5166096A (en) * 1991-10-29 1992-11-24 International Business Machines Corporation Process for fabricating self-aligned contact studs for semiconductor structures
US5382483A (en) * 1992-01-13 1995-01-17 International Business Machines Corporation Self-aligned phase-shifting mask
US5234856A (en) * 1992-04-15 1993-08-10 Micron Technology, Inc. Dynamic random access memory cell having a stacked-trench capacitor that is resistant to alpha particle generated soft errors, and method of manufacturing same
US5466636A (en) * 1992-09-17 1995-11-14 International Business Machines Corporation Method of forming borderless contacts using a removable mandrel
KR960004079B1 (en) * 1992-12-19 1996-03-26 Lg Semicon Co Ltd Contact hole forming method
US5384281A (en) * 1992-12-29 1995-01-24 International Business Machines Corporation Non-conformal and oxidizable etch stops for submicron features
KR970009612B1 (ko) * 1993-01-25 1997-06-14 마쯔시다 덴기 산교 가부시끼가이샤 반도체장치의 제조방법
US5338700A (en) * 1993-04-14 1994-08-16 Micron Semiconductor, Inc. Method of forming a bit line over capacitor array of memory cells
US5306657A (en) * 1993-03-22 1994-04-26 United Microelectronics Corporation Process for forming an FET read only memory device
US5366929A (en) * 1993-05-28 1994-11-22 Cypress Semiconductor Corp. Method for making reliable selective via fills
US5562801A (en) * 1994-04-28 1996-10-08 Cypress Semiconductor Corporation Method of etching an oxide layer
US5364817A (en) * 1994-05-05 1994-11-15 United Microelectronics Corporation Tungsten-plug process
US5378646A (en) * 1994-07-07 1995-01-03 United Microelectronics Corporation Process for producing closely spaced conductive lines for integrated circuits
US5482894A (en) * 1994-08-23 1996-01-09 Texas Instruments Incorporated Method of fabricating a self-aligned contact using organic dielectric materials
US5488011A (en) * 1994-11-08 1996-01-30 Micron Technology, Inc. Method of forming contact areas between vertical conductors
US5521121A (en) * 1995-04-03 1996-05-28 Taiwan Semiconductor Manufacturing Company Oxygen plasma etch process post contact layer etch back
US5759867A (en) * 1995-04-21 1998-06-02 International Business Machines Corporation Method of making a disposable corner etch stop-spacer for borderless contacts
US5686357A (en) * 1995-07-10 1997-11-11 Micron Technology, Inc. Method for forming a contact during the formation of a semiconductor device
US5756396A (en) * 1996-05-06 1998-05-26 Taiwan Semiconductor Manufacturing Company Ltd Method of making a multi-layer wiring structure having conductive sidewall etch stoppers and a stacked plug interconnect
JPH11111691A (ja) * 1997-10-02 1999-04-23 Sony Corp 半導体装置の製造方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104124173A (zh) * 2013-04-28 2014-10-29 中芯国际集成电路制造(上海)有限公司 Mos晶体管的形成方法

Also Published As

Publication number Publication date
US6784552B2 (en) 2004-08-31
US6066555A (en) 2000-05-23
US20020146897A1 (en) 2002-10-10

Similar Documents

Publication Publication Date Title
JPH09181181A (ja) 接点領域上の横方向スペーサの腐食を最小にする処理方法及び半導体装置
US7094672B2 (en) Method for forming self-aligned contact in semiconductor device
US5814862A (en) Metallic source line and drain plug with self-aligned contacts for flash memory device
US6639319B2 (en) Conductive structure in an integrated circuit
US6180531B1 (en) Semiconductor manufacturing method
US5747379A (en) Method of fabricating seamless tungsten plug employing tungsten redeposition and etch back
US6337282B2 (en) Method for forming a dielectric layer
US20060264032A1 (en) Formation of self-aligned contact plugs
US20020001889A1 (en) Methods for forming conductive contact body for integrated circuits using dummy dielectric layer
US5977599A (en) Formation of a metal via using a raised metal plug structure
US6001726A (en) Method for using a conductive tungsten nitride etch stop layer to form conductive interconnects and tungsten nitride contact structure
US5641710A (en) Post tungsten etch back anneal, to improve aluminum step coverage
US6228761B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US5801096A (en) Self-aligned tungsen etch back process to minimize seams in tungsten plugs
US5998269A (en) Technology for high performance buried contact and tungsten polycide gate integration
US6278189B1 (en) High density integrated circuits using tapered and self-aligned contacts
US6319817B1 (en) Method of forming viahole
US6201303B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US6686286B2 (en) Method for forming a borderless contact of a semiconductor device
US6399483B1 (en) Method for improving faceting effect in dual damascene process
US20050140012A1 (en) Method for forming copper wiring of semiconductor device
US5162261A (en) Method of forming a via having sloped sidewalls
US6236091B1 (en) Method of forming a local interconnect with improved etch selectivity of silicon dioxide/silicide
US20010016413A1 (en) Semiconductor device and method of manufacturing a semiconductor device with reduced contact failures
US6483153B1 (en) Method to improve LDD corner control with an in-situ film for local interconnect processing

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20050809

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20070612

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20070912

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20070918

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20071012

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20071017

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20071212

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20080219