JPH09167765A - 絶縁層およびこれを形成する方法 - Google Patents

絶縁層およびこれを形成する方法

Info

Publication number
JPH09167765A
JPH09167765A JP8203904A JP20390496A JPH09167765A JP H09167765 A JPH09167765 A JP H09167765A JP 8203904 A JP8203904 A JP 8203904A JP 20390496 A JP20390496 A JP 20390496A JP H09167765 A JPH09167765 A JP H09167765A
Authority
JP
Japan
Prior art keywords
bias
substrate
plasma
oxide
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP8203904A
Other languages
English (en)
Inventor
Somnath S Nag
エス.ナグ ソムナス
Girish A Dixit
エー. ディグジット ギリッシュ
Kurishunan Surikans
クリシュナン スリカンス
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Texas Instruments Inc
Original Assignee
Texas Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Texas Instruments Inc filed Critical Texas Instruments Inc
Publication of JPH09167765A publication Critical patent/JPH09167765A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02131Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being halogen doped silicon oxides, e.g. FSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

(57)【要約】 【課題】 絶縁層の形成にあたり、累積電荷を除き、お
よび/またはトランジスタゲートの酸化膜の物理的な破
壊を防止すること。 【解決手段】 第1段階に共形デポジット(202)に
よる低容量結合されたRFバイアスによる絶縁体のデポ
ジットを行い、次にプレーナー化デポジット(204)
のための高容量結合されたRFバイアスによる絶縁体の
デポジットを2段階でプラズマエンハンス絶縁体のデポ
ジットを行う。これにより、下方の構造体(104、1
06、108)に累積する電荷が制限される。

Description

【発明の詳細な説明】
【0001】
【発明の属する技術分野】本発明は、半導体デバイスに
関し、より詳細には集積回路の絶縁体およびその製造方
法に関する。
【0002】
【従来技術】集積回路は一般にシリコン基板内に形成さ
れたソース/ドレインと、基板上に形成された絶縁ゲー
トと、ゲート/ソース/ドレインと第1メタルレベル
(プリメタル絶縁体)との間、および連続メタルレベル
(インターメタルレベル絶縁体)との間に絶縁層を備え
た多数のオーバーレイメタル(またはポリシリコン)相
互接続レベルを備えた電界効果型トランジスタを含む。
メタル(またはポリシリコン)で満たされた絶縁層内の
垂直バイア(via)は隣接するメタルレベル間および
ゲート/ソース/ドレインと第1メタルレベル間を接続
する。各絶縁層はメタルレベルまたはゲートおよびフィ
ールド酸化膜の比較的バンプの多い形状をカバーしなけ
ればならず、絶縁層は次のレベルのメタル層の形成を容
易にするよう、プレーナー状の頂部表面を有していなけ
ればならない。従って、バンプの多い形状上にプレーナ
ー状の絶縁層を形成する種々の方法が開発された。すな
わち、デポジット(堆積)したホウ素リンシリケートガ
ラス(BPSG)を再フロー化する方法、スピンオング
ラス(SOG)を使用する方法、テトラエトキシシラン
(TEOS)によるプラズマエンハンス化学的気相法
(PECVD)でデポジットしながらスパッタリングす
る方法、デポジットされたガラスとスピンオンされたプ
レーナー化フォトレジストのスタックをエッチバックす
る方法が開発された。
【0003】
【発明が解決しようとする課題】これら方法は絶縁層の
製造に多数の工程が必要なこと、およびプリメタル絶縁
体のために使用されるPECVD TEOS中でゲート
がプラズマに照射されることから、ゲート絶縁体が破壊
されるという問題がある。
【0004】第18回半導体国際会議71(1995年
5月)のレクスマン氏による低ε誘電体であるCVDフ
ッ化二酸化シリコンには、二酸化シリコンの誘電率
(3. 9)よりも低い誘電率を有するインターメタルレ
ベルの絶縁体としてフッ化二酸化シリコンを使用するこ
とに関するレポートが要約されている。特に、シリコン
テトラフルオライド(SiF4 )、シラン(SiH4
および酸素(O2 )ソースガスを使用するPECVD
は、フッ素を10%まで増加し、誘電率を3. 0まで下
げたSiOX Y をデポジットできる。
【0005】
【課題を解決するための手段】本発明は、累積電荷を除
き、および/またはトランジスタゲートの酸化膜の物理
的な破壊を防止するよう、第1の低バイアスデポジット
を行い、次にプレーナー化のための高バイアスデポジッ
トを行うプラズマエンハンスメントによるプリメタル絶
縁膜の2段階のプレーナー化デポジット方法を提供する
ものである。
【0006】利点としては、ゲートのような電荷の影響
を受けやすい構造体上でもプレーナー化された絶縁層を
形成するように、プラズマ対基板のバイアスを変えなが
ら短時間の1回のデポジットプロセスで済むことが挙げ
られる。
【0007】
【発明の実施の態様】
第1の好ましい実施例 第1の好ましい実施例のプリメタル絶縁体(膜)の製造
を説明するため、まず公知の製造方法について検討す
る。特に図1aはゲート絶縁二酸化シリコン(ゲート酸
化膜)114〜116上に設けられたポリシリコンゲー
ト104〜106を備え、ソース/ドレイン134〜1
36を製造するための側壁酸化膜124〜126を有
し、フィールド酸化膜120上に延びるポリシリコンラ
イン108を備えたフィールド酸化膜120によってト
ランジスタが分離されたシリコン基板102の一部を横
断側面図で示す。一般にポリシリコンゲートおよびフィ
ールド酸化膜は基板表面の約0. 3μm上を延びている
ので、ポリシリコンライン108の頂部は基板表面の約
0. 6μm上にある。ゲート酸化膜114〜116は約
6nmの厚みとすることができる。次にゲートおよびフ
ィールド酸化膜をカバーするための多少プレーナー状の
絶縁層を次のように形成できる。まずホウ素リンシリケ
ートガラス(BPSG、約5%のホウ素および5%のリ
ン)から成る層150をプラズマエンハンス化学的気相
法により約1μmの厚みにデポジットする。図1bを参
照のこと。次にこのBPSGを20分間約900°Cま
で加熱すると、このBPSGは流動化し(表面張力によ
って突起部が低下し、凹部が満たされる)、密度が高く
なり、図1cに示されるようなスムーズになったプレー
ナー状の表面を備えた再度フロー化されたBPSG15
2が形成される。
【0008】再度フロー化されたBPSG152の表面
よりもよりプレーナー状の表面とするために、再フロー
化したBPSG152を化学的−機械的に研磨し、これ
を薄く、かつプレーナー状とし、別のBPSGの層をデ
ポジットし、これを再フロー化し、密度を高める。
【0009】ゲート104から106およびポリシリコ
ンライン108をカバーするプレーナー状にされた絶縁
層152が一旦形成されると、ゲート104〜106ま
たはポリシリコンライン108またはソース/ドレイン
134〜136までの任意の接続部を形成するため、層
152内にバイアをフォトリソグラフィ法によりパター
ニングし、これをエッチングする。次にスパッタリング
された窒化チタン接着層上に、例えばタングステンを化
学的気相法(CVD)によりデポジットすることにより
バイアもメタルで満たすメタル170の層をデポジット
する。
【0010】このメタル層170をフォトリソグラフィ
法によりパターニングし、エッチングし、第1レベルの
メタル接続部172を形成する。これにより、表面に不
連続部が再び残される。この不連続部は第2レベルのメ
タル接続部をサポートするため、プレーナー化されたイ
ンターメタルレベルの絶縁層でカバーしなければならな
い。
【0011】BPSG152は次のような機能を有す
る。(1)第1レベルのメタル相互接続部からゲートお
よびポリシリコンラインを電気的に絶縁すること。
(2)ゲート104〜106およびソース/ドレイン1
34〜136まで下方に拡散する移動イオンにゲッター
作用すること。(3)第1レベルのメタル相互接続部を
形成するためにプレーナー表面を提供すること。BPS
G内のホウ素およびリンはゲッター機能を奏し、移動イ
オンがトランジスタに達し、これらの性能を低下しない
ように働く。第1レベルのメタル相互接続部と第2レベ
ルのメタル相互接続部との間の絶縁層も電気的な絶縁を
行い、プレーナー表面を提供するが、かかるインターメ
タルレベルの絶縁は移動イオンにゲッター作用する必要
はない。
【0012】ゲートおよびポリシリコンライン上にプレ
ーナー状のプリメタル誘電層を形成する第1の好ましい
実施例の方法は、図2a〜cに示される次のような工程
を含む。
【0013】(1)図1aに示されるような、ポリシリ
コンゲート104〜106、ポリシリコンライン108
およびソース/ドレイン134〜136を有する基板か
ら開始し、この基板を図3に示されるような高密度プラ
ズマ反応器300へ挿入する。次にソースガスのシラン
および酸素または亜酸化窒素にアルゴンキャリアガスを
加えたガスを使用するプラズマエンハンスデポジットに
より酸化物を0. 15μmにデポジットする。この反応
は次の式で示される。
【0014】
【化1】 SiH4 +2O2 →SiO2 +2H2 O SiH4 +2N2 O→SiO2 +2H2 +2N2
【0015】プラズマ加熱により基板温度が高まり、こ
の温度が約330°Cに維持される。反応チャンバー内
の全圧力は約5〜10mTorrであり、低圧力にも係
わらず反応器300に対するイオン密度は約1013/c
3 であり、酸化物は高速度でデポジットした。高イオ
ン密度は従来の容量性結合というよりもむしろ高周波ソ
ース1とフィードガスとを誘導性結合した結果得られた
ものである。このような反応器300内における誘導性
結合によりプラズマ密度に影響することなくプラズマと
チャック(chuck)2上の基板との間のバイアスR
F容量電圧(この電圧は基板のイオン打ち込みのための
プラズマ電位を決定する)を調節することが可能となっ
ている。バイアスを0ボルト近くに設定する。これによ
り、ポリシリコンゲート104〜106およびポリシリ
コンライン108によって形成されるアンテナがゲート
酸化膜114〜116の間に大きな電界を形成し、よっ
て酸化膜を破壊し、トランジスタの性能を劣化するよう
な十分な電荷を集める(これはプラズマの不均一性に起
因する)ことを防止する。当然ながら無バイアスによる
デポジットによっても、図2aに示されるような酸化物
の共形層202が生じる。低バイアスは電荷の蓄積を制
限するので、他の圧力条件に従ってバイアスを変えても
よい。
【0016】(2)プラズマエンハンス酸化膜デポジッ
トを続けるが、チャック2への電源をオンにし、プラズ
マから基板への容量性RFバイアスを約600ボルトに
変え、よってプラズマからのイオンをデポジット中の酸
化膜204に数百電子ボルトのオーダーのエネルギーで
衝突させる。これにより成長中の酸化膜204の突起部
分からの材料がスパッタリングされるので、酸化膜20
4は成長しながら大幅に平坦になる。無バイアスでデポ
ジットされた0. 15μm厚の酸化膜202と、600
ボルトのバイアスでデポジットされた0. 5μm厚の酸
化膜204を示す図2bを参照されたい。
【0017】(3)600ボルトの直流バイアスでデポ
ジットされた酸化膜204が約1.0μmの平均厚みお
よび約1. 15μmの総酸化膜厚みに達したときに、プ
ラズマエンハンス酸化膜デポジットを完了する。図2c
を参照のこと。
【0018】(4)ソースガスにPH3 を加え、低バイ
アスデポジットされた酸化膜202または高バイアスデ
ポジットされた酸化膜204のいずれかまたはその双方
にリンをドープすることにより、BPSG152のゲッ
ター作用を維持できる。同様に、B2 6 を添加するこ
とによりホウ素のドーピングを行うか、またはPH3
よびB2 6 の双方を加えることによってBPSGを発
生する。
【0019】(5)オプションとして、化学的−機械的
研磨を行い、その後、この化学的−機械的研磨により薄
くなったことを補償するため、酸化物を更にデポジット
することにより図2cの酸化膜204の表面のプレーナ
ー度(平面度)を増す。
【0020】図3は反応器300を横断側面図で示す。
この反応器300は最大3500ワットの出力を備えた
RF発生器により給電される高密度プラズマ(HDP)
ソース1と、処理中にウェーハの温度を安定にするため
のヘリウムバックサイドガス供給装置を備えた基板を保
持するための移動自在なチャック2を含む。チャック2
は最大2000ワットの出力を備えた容量性RF発生器
によって給電される。チャック2は1つの8インチ径の
ウェーハを保持できる。供給ガスはチャック2の下方か
らチャンバー2へ進入し、ポンプ5が反応生成物を除く
ようになっている。チャック2へのRF電力によりプラ
ズマと基板との間に発生するバイアスが制御され、よっ
て基板に衝突するイオンのイオンエネルギーが制御され
る。チャック2に供給されるRF電力は第1の好ましい
実施例の低バイアスデポジションの初期部分では小さ
く、高バイアスデポジションの最終部分では大きくなっ
ている。
【0021】図4a〜dは第1の好ましい実施例のスム
ーズ化を示す。特に図4aは3つの隣接する丸みを付け
られた形状(側壁スペーサを備えたゲートに類似する)
のプロリフィメータのトレースを示す。この形状の各々
は幅が約43μmであり、高さが0. 05μmである。
図4bはBPSGデポジットと再フローの後の同じトレ
ースを示す。図4cはスパッタリングレートに対するデ
ポジットレートの比が約3. 25となるよう、低バイア
スで0. 15μmデポジットし、その後、高バイアスに
て0. 85μmデポジットした後のトレースを示し、図
4dはスパッタリングレートに対するデポジットレート
の比が約2. 9となるよう、低バイアスで0. 15μm
デポジットし、その後、高バイアスで0. 85μmデポ
ジットした後のトレースを示す。図4dにおいてプレー
ナー度がより高くなっていることは、より大きいスパッ
タリングでバイアスをより高くしたことを示している。
【0022】図5は、アンテナ比が大きい場合のPMD
によってカバーされた絶縁ゲートトランジスタのスレッ
ショルド電圧の累積確率分布に対する第1の好ましい実
施例のPMDの作用を示す。特に、第1の好ましい実施
例は、トランジスタの特性の劣化がなくなることに一致
するスレッショルド電圧の無視できる変化を発生する。
【0023】フッ化酸化膜の好ましい実施例 プリメタル絶縁体を形成する第2の好ましい実施例の方
法は、第1の好ましい実施例の工程に従うが、フッ化シ
リコン酸化物(SiOX Y )の絶縁体をデポジットす
る。フッ素の添加により移動陽イオンがゲッタリングさ
れるだけでなく、Si−O結合と比較してSi−F結合
の分極が低いことに起因し、誘電率が低下する。特に次
の工程はフッ化酸化物の第1共形層を発生し、次にこの
層はプレーナー化酸化物の層によってカバーされる。
【0024】(1)図1aに示されるようなポリシリコ
ンゲート104〜106、ポリシリコンライン108お
よびソース/ドレイン134〜136を有する基板から
スタートし、この基板を高密度プラズマ反応器300へ
挿入する。プラズマ加熱により基板温度が高くなり、こ
の温度は300°Cに維持される。次にソースガスのシ
ラン、酸素および4フッ化シリコンおよびアルゴンキャ
リアガスを使用する。プラズマエンハンスデポジットに
よりフッ化酸化物を0. 15μmにデポジットする。こ
の反応は次のとおりである。
【0025】
【化2】SiH4 +O2 +SiF4 →SiOX Y +H
2 O+HF+...
【0026】反応ハンバーの全圧力は5〜10mTor
rである。フッ化酸化物は第1の好ましい実施例の共形
SiO2 とほぼ同じレートでデポジットする。基板に対
するプラズマバイアスを約0ボルトにセットし、これに
よりポリシリコンゲート104〜106およびポリシリ
コンライン108によって形成されるアンテナが、ゲー
ト酸化膜を横断する大きな電界を発生し、この結果ゲー
ト酸化膜を破壊し、トランジスタの性能を劣化させる十
分な電荷を集めることを制限する。更に、ゲート酸化膜
と基板の境界部におけるフッ素はぶら下がりシリコン結
合のパッシベートを助け、トランジスタの性能を改善す
る。このような無バイアスによるデポジットは図6aに
示されるようなフッ化酸化膜602の共形層を発生す
る。
【0027】(2)プラズマエンハンスデポジットを続
けるが、酸化膜604だけのデポジットをするよう、S
iF4 のフローを停止し、基板に対するプラズマのDC
バイアスを600ボルトに変え、デポジットとしての酸
化膜604をプレーナー状とする。無バイアスでデポジ
ットされた0. 15μm厚のフッ化酸化膜602および
600ボルトのバイアスでデポジットされた1. 0μm
厚の酸化膜604を示す図6bを参照のこと。フッ化酸
化物から低バイアスおよび高バイアス層の双方を製造す
ることは、フッ化酸化物の低応力および低誘電率を最大
に活用できる。
【0028】(3)オプションとしてソースガスがPH
3 を添加することによりゲッター作用を増し、低バイア
スデポジットされたフッ化酸化膜602または高バイア
スデポジットされた酸化膜604のいずれか、またはそ
の双方のリンドーピングを行うことができる。
【0029】(4)オプションとして、化学的−機械的
研磨を行い、その後、この化学的−機械的研磨により薄
くなったことを補償するため、酸化物またはフッ化酸化
物をデポジットすることにより図4bの酸化膜404の
表面のプレーナー度(平面度)を増す。
【0030】変形例 上記好ましい実施例は、累積する電荷を制限する低バイ
アスデポジットおよびそれに続くプレーナー化のための
高バイアスデポジット、およびフッ化ドープデポジット
の1つ以上の特徴を維持しながら、多くの態様に変更で
きる。
【0031】例えば、プラズマ対基板のバイアス電圧を
非ゼロ低バイアス(例えば約150ボルトよりも低いバ
イアス)および異なる高バイアス(例えば約300ボル
トよりも高いバイアス)に変えることができる。更にこ
のバイアスは、デポジット中に多くの段階に、または連
続状に低バイアスから高バイアスへランプ関数に従って
変化できる。許容できる低バイアスはアンテナ比(全ポ
リシリコンライン面積に対するポリシリコンゲート面積
の比)およびプラズマの不均一性に応じて決まるので、
この低バイアスは基板上の回路デザインに従って調節で
きる。同様に、高バイアスを高くするとスパッタリング
が増加し、純デポジットレートが低下するので、プレー
ナー度を十分に維持するには高バイアスを十分高い値に
選択する。酸化物およびフッ化酸化物に対するソースガ
スは変えることができ、TEOS、シラン、ジクロロシ
ラン、亜酸化窒素、CF4 、C2 6 、NF3 等を含む
ことができる。層の厚みも変えることができる。初期の
低バイアスにおけるデポジットを行い、その後、高バイ
アスにおけるプレーナー化を行うことを、他の絶縁体、
例えば窒化シリコン、シリコンオキシナイトライド等の
プラズマエンハンスデポジットにも適用できる。
【0032】以上の説明に関して更に以下の項を開示す
る。 (1)突起を含む表面に設けられた絶縁層であって、
(a)プラズマエンハンスデポジットにより前記表面お
よび突起上に第1絶縁材料によって形成された第1共形
サブ層と、(b)プラズマエンハンスデポジットにより
前記第1サブ層上に第2の絶縁材料により形成された第
2プレーナー化サブ層とを備えた絶縁層。 (2)(a)前記第1および前記第2前記材料が同じで
ある前項1記載の絶縁層。 (3)(a)前記突起が基板上に設けられた絶縁ゲート
を含み、(b)前記第1および第2絶縁材料がドーパン
トを含む絶縁第1および第2材料のうちの少なくとも一
方とのシリコン酸化物である、前項1記載の絶縁層。
【0033】(4)(a)第1スレッショルド電圧より
も低いプラズマ対基板バイアスにより基板上に第1サブ
層をプラズマエンハンスデポジットする工程と、(b)
前記第1スレッショルド電圧よりも高い前記第2スレッ
ショルド電圧と共に第2スレッショルド電圧よりも高い
プラズマ対基板DCバイアスで基板上に第2のサブ層を
プラズマエンハンスデポジットする工程とを備えた、絶
縁層を形成する方法。 (5)(a)前記第1スレッショルド電圧が約150ボ
ルトよりも低く、(b)前記第2スレッショルド電圧が
約300ボルトよりも高い、前項4記載の方法。 (6)(a)前記第1および第2絶縁材料がドーパント
を含む絶縁第1および第2材料のうちの少なくとも一方
とのシリコン酸化物である、前項4記載の方法。
【0034】(7)(a)基板の第1表面に絶縁ゲート
構造体を設ける工程と、(b)最初は第1スレッショル
ド電圧よりも低いが、この第1スレッショルド電圧を越
える第2スレッショルド電圧よりも高くなるプラズマ対
基板バイアスで前記ゲートおよび基板上に絶縁層をプラ
ズマエンハンスデポジットする工程と、を備え、前記第
1スレッショルド電圧が共形デポジットを特徴とし、前
記第2スレッショルド電圧がプレーナー化デポジットを
特徴とする集積回路のためのプリメタル絶縁層を製造す
る方法。 (8)(a)前記絶縁層がシリコン酸化物から成る、前
項7記載の方法。 (9)(a)前記シリコン酸化物が前記ゲート構造体お
よび基板と反対の少なくとも一部にドーパントを含む、
前項8記載の方法。 (10)(a)前記シリコン酸化物が前記ゲート構造体
および基板に隣接する少なくとも一部にドーパントを含
む、前項8記載の方法。
【0035】(11)第1段階に共形デポジット202
による低容量結合されたRFバイアスによる絶縁体のデ
ポジットを行い、次にプレーナー化デポジット204の
ための高容量結合されたRFバイアスによる絶縁体のデ
ポジットを2段階でプラズマエンハンス絶縁体のデポジ
ットを行う。これにより、下方の構造体104、10
6、108に累積する電荷が制限される。
【図面の簡単な説明】
【図1】公知のプリメタル絶縁膜製造方法を横断側面図
で示す。
【図2】第1の好ましい実施例の方法を横断側面図で示
す。
【図3】高密度プラズマ反応器を示す。
【図4】第1の好ましい実施例の実験結果を示す。
【図5】第1の好ましい実施例の実験結果を示す。
【図6】第2の好ましい実施例の方法の横断側面図を示
す。
【符号の説明】
102 シリコン基板 104〜106 ポリシリコンゲート 108 ポリシリコンライン 114〜116 二酸化シリコンゲート絶縁膜 124〜126 側壁酸化膜 134〜136 ソース/ドレイン 152 絶縁層 170 メタル層
───────────────────────────────────────────────────── フロントページの続き (72)発明者 スリカンス クリシュナン アメリカ合衆国 テキサス州 リチャード ソン,ウォータービュー パークウェイ, 220

Claims (2)

    【特許請求の範囲】
  1. 【請求項1】 突起を含む表面に設けられた絶縁層であ
    って、 (a)プラズマエンハンスデポジットにより前記表面お
    よび突起上に第1絶縁材料によって形成された第1共形
    サブ層と、 (b)プラズマエンハンスデポジットにより前記第1サ
    ブ層上に第2の絶縁材料により形成された第2プレーナ
    ー化サブ層とを備えた絶縁層。
  2. 【請求項2】 (a)第1スレッショルド電圧よりも低
    いプラズマ対基板バイアスにより基板上に第1サブ層を
    プラズマエンハンスデポジットする工程と、 (b)前記第1スレッショルド電圧よりも高い前記第2
    スレッショルド電圧と共に第2スレッショルド電圧より
    も高いプラズマ対基板DCバイアスで基板上に第2のサ
    ブ層をプラズマエンハンスデポジットする工程とを備え
    た、絶縁層を形成する方法。
JP8203904A 1995-08-01 1996-08-01 絶縁層およびこれを形成する方法 Pending JPH09167765A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US172995P 1995-08-01 1995-08-01
US001729 1995-08-01

Publications (1)

Publication Number Publication Date
JPH09167765A true JPH09167765A (ja) 1997-06-24

Family

ID=21697542

Family Applications (1)

Application Number Title Priority Date Filing Date
JP8203904A Pending JPH09167765A (ja) 1995-08-01 1996-08-01 絶縁層およびこれを形成する方法

Country Status (3)

Country Link
EP (1) EP0766291A1 (ja)
JP (1) JPH09167765A (ja)
TW (1) TW336338B (ja)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001035845A (ja) * 1999-07-21 2001-02-09 Nec Corp 半導体装置の製造方法及びそれに使用されるプラズマ絶縁膜の成膜装置
JP2007096051A (ja) * 2005-09-29 2007-04-12 Samco Inc カソードカップリング型プラズマcvd装置及びそれによる薄膜製造方法

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6492282B1 (en) * 1997-04-30 2002-12-10 Siemens Aktiengesellschaft Integrated circuits and manufacturing methods
US6268297B1 (en) * 1997-11-26 2001-07-31 Texas Instruments Incorporated Self-planarizing low-temperature doped-silicate-glass process capable of gap-filling narrow spaces
US6028013A (en) * 1999-05-06 2000-02-22 Vlsi Technology, Inc. Moisture repellant integrated circuit dielectric material combination
US6627549B2 (en) 2000-03-07 2003-09-30 Micron Technology, Inc. Methods for making nearly planar dielectric films in integrated circuits
US7208426B2 (en) * 2001-11-13 2007-04-24 Chartered Semiconductors Manufacturing Limited Preventing plasma induced damage resulting from high density plasma deposition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0740569B2 (ja) * 1990-02-27 1995-05-01 エイ・ティ・アンド・ティ・コーポレーション Ecrプラズマ堆積方法
US5120680A (en) * 1990-07-19 1992-06-09 At&T Bell Laboratories Method for depositing dielectric layers
US5268333A (en) * 1990-12-19 1993-12-07 Samsung Electronics Co., Ltd. Method of reflowing a semiconductor device
US5426076A (en) * 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001035845A (ja) * 1999-07-21 2001-02-09 Nec Corp 半導体装置の製造方法及びそれに使用されるプラズマ絶縁膜の成膜装置
JP2007096051A (ja) * 2005-09-29 2007-04-12 Samco Inc カソードカップリング型プラズマcvd装置及びそれによる薄膜製造方法

Also Published As

Publication number Publication date
EP0766291A1 (en) 1997-04-02
TW336338B (en) 1998-07-11

Similar Documents

Publication Publication Date Title
US6566727B1 (en) N2O nitrided-oxide trench sidewalls to prevent boron outdiffusion and decrease stress
US6118168A (en) Trench isolation process using nitrogen preconditioning to reduce crystal defects
KR100624566B1 (ko) 커패시터 상부에 유동성 절연막을 갖는 반도체소자 및 그제조 방법
JP4195734B2 (ja) 集積回路のトレンチ分離製作方法
US7037803B2 (en) Manufacture of semiconductor device having STI and semiconductor device manufactured
JP2004179614A (ja) 半導体装置の製造方法
EP0856197A2 (en) Novel shallow trench isolation technique
CN1649122A (zh) 形成浅沟槽隔离(sti)的方法及其结构
US5472825A (en) Metal interconnect fabrication with dual plasma silicon dioxide deposition and etchback
US5681425A (en) Teos plasma protection technology
US6410403B1 (en) Method for planarizing a shallow trench isolation
US6248673B1 (en) Hydrogen thermal annealing method for stabilizing microelectronic devices
EP0909461B1 (en) Method for simplifying the manufacture of an interlayer dielectric stack
JPH09167765A (ja) 絶縁層およびこれを形成する方法
US6962883B2 (en) Integrated circuit insulator and method
US6602759B2 (en) Shallow trench isolation for thin silicon/silicon-on-insulator substrates by utilizing polysilicon
US6127261A (en) Method of fabricating an integrated circuit including a tri-layer pre-metal interlayer dielectric compatible with advanced CMOS technologies
US5817571A (en) Multilayer interlevel dielectrics using phosphorus-doped glass
JP3601988B2 (ja) 絶縁膜の形成方法
JP2001118928A (ja) 集積回路の製造方法
KR19980081850A (ko) 집적 회로와 그 제조 방법
JP2953447B2 (ja) 溝分離型半導体装置の製造方法
TW495883B (en) Method of fabricating an insulating layer
US7205209B2 (en) Fabrication of stacked dielectric layer for suppressing electrostatic charge buildup
US6169040B1 (en) Method of manufacturing semiconductor device

Legal Events

Date Code Title Description
A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20040909

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20040914

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20050225