JPH08129570A - Automatic arranging and wiring device - Google Patents

Automatic arranging and wiring device

Info

Publication number
JPH08129570A
JPH08129570A JP6267001A JP26700194A JPH08129570A JP H08129570 A JPH08129570 A JP H08129570A JP 6267001 A JP6267001 A JP 6267001A JP 26700194 A JP26700194 A JP 26700194A JP H08129570 A JPH08129570 A JP H08129570A
Authority
JP
Japan
Prior art keywords
wiring
automatic placement
contact
contact cell
routing apparatus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP6267001A
Other languages
Japanese (ja)
Inventor
Hiroshi Ichikawa
浩 市川
Kiyomi Fukuoka
きよみ 福岡
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Renesas Design Corp
Mitsubishi Electric Corp
Mitsubishi Electric Semiconductor Systems Corp
Original Assignee
Renesas Design Corp
Mitsubishi Electric Corp
Mitsubishi Electric Semiconductor Systems Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Renesas Design Corp, Mitsubishi Electric Corp, Mitsubishi Electric Semiconductor Systems Corp filed Critical Renesas Design Corp
Priority to JP6267001A priority Critical patent/JPH08129570A/en
Publication of JPH08129570A publication Critical patent/JPH08129570A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE: To perform a layout operation so that the origin of a contact cell meets a grid. CONSTITUTION: Center lines AC and BC are the center lines of wires extending in both different wire layers and the paths of the wires are so determined as to passing the grid G. The contact cell CC which connects the wires in the different wire layers is installed so that the center point CP is on the grid at the intersection of the center line AC and center line BC. At this time, when at least one side of the contract cell CC is an odd multiple of a grid interval (g), the origin GP shifts from the grid G. The contact cell CC whose at least one side is an odd multiple of the grid interval (g) is so moved and corrected that the origin GP meets a nearby grid G. The layout result where the origin GP of the contact cell CC meets the grid G is obtained without manual movement and correction.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】この発明は、例えばLSIなどの
半導体装置のレイアウト設計を自動的に行う自動配置配
線装置に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to an automatic placement and routing apparatus for automatically designing a layout of a semiconductor device such as an LSI.

【0002】[0002]

【従来の技術】自動配置配線装置は、例えばLSI(大
規模集積回路)などの半導体装置のレイアウト設計、す
なわち所望の回路のレイアウト図面を作成する作業を自
動的に遂行する装置である。図31は、論理回路のレイ
アウト設計を行う従来の自動配置配線装置の構成を示す
ブロック図である。この自動配置配線装置600は、配
置部1、配線部4、および、コンパクション部5を備え
ている。自動配置配線装置600は、ネットリスト2、
レイアウトセルデータ3、コンタクトルール20、およ
びデザインルール6を参照しつつ、レイアウト作業を行
ってレイアウトデータ7を出力する。レイアウトデータ
7は、レイアウト図面を表現するデータであり、レイア
ウト図面と実質等価である。
2. Description of the Related Art An automatic placement and routing apparatus is an apparatus for automatically performing a layout design of a semiconductor device such as an LSI (Large Scale Integrated Circuit), that is, a work of creating a layout drawing of a desired circuit. FIG. 31 is a block diagram showing the configuration of a conventional automatic placement and routing apparatus for designing the layout of a logic circuit. The automatic placement and routing apparatus 600 includes a placement unit 1, a wiring unit 4, and a compaction unit 5. The automatic placement and routing apparatus 600 includes a netlist 2,
With reference to the layout cell data 3, the contact rule 20, and the design rule 6, the layout work is performed and the layout data 7 is output. The layout data 7 is data representing a layout drawing and is substantially equivalent to the layout drawing.

【0003】配置部1には、ネットリスト2およびレイ
アウトセルデータ3が付与される。ネットリスト2は、
レイアウト対象とされる所望の論理回路を表現する論理
回路図から抽出されたデータである。論理回路図では、
論理ブロックと称される所定の論理演算機能をもった構
成単位(機能素子)の組み合わせとして論理回路が表現
される。例えば、論理ゲート素子、その集合体、フリッ
プフロップ、カウンタなどが論理ブロックとして扱われ
る。
A netlist 2 and layout cell data 3 are attached to the placement unit 1. Netlist 2 is
It is data extracted from a logic circuit diagram expressing a desired logic circuit to be laid out. In the logic schematic,
A logic circuit is represented as a combination of structural units (functional elements) having a predetermined logic operation function called a logic block. For example, logic gate elements, aggregates thereof, flip-flops, counters, etc. are treated as logic blocks.

【0004】図32にレイアウト対象とされる論理回路
の論理回路図の一例を示す。図32の論理回路図610
では、論理回路が論理ブロック(機能素子)BL1〜B
L3の組み合わせで表現されるとともに、それらの入力
ピンおよび出力ピンの接続関係が配線W1〜W6で表現
されている。この論理回路図610から抽出して得られ
るネットリスト2は、論理回路を構成する各論理ブロッ
クBL1〜BL3の種別と、配線W1〜W6で表現され
る各論理ブロックBL1〜BL3の接続関係とを記述す
る。
FIG. 32 shows an example of a logic circuit diagram of a logic circuit to be laid out. The logic circuit diagram 610 of FIG.
Then, the logic circuits are logic blocks (functional elements) BL1 to B.
It is expressed by a combination of L3, and the connection relationship between these input pins and output pins is expressed by wirings W1 to W6. The netlist 2 obtained by extracting from the logic circuit diagram 610 shows the types of the logic blocks BL1 to BL3 forming the logic circuit and the connection relationships of the logic blocks BL1 to BL3 represented by the wirings W1 to W6. Describe.

【0005】他方のレイアウトセルデータ3には、各論
理ブロックのレイアウト図面上における対応物(言い替
えると各論理ブロックのレイアウトイメージ)であるレ
イアウトセルの構造に関するレイアウト情報、すなわち
各レイアウトセルの形状、入/出力ピンの位置などが記
述されている。
On the other hand, the layout cell data 3 contains layout information regarding the structure of a layout cell which is a counterpart of each logic block on the layout drawing (in other words, a layout image of each logic block), that is, the shape of each layout cell, / The position of the output pin and the like are described.

【0006】図33は、図32に示した論理回路をレイ
アウト対象としたときに、配置部1および配線部4によ
って実行される作業の結果を示す模式図である。配置部
1は、ネットリスト2が記述する各論理ブロックBL1
〜BL3の種別とそれらの接続関係とにもとづいて、各
論理ブロックBL1〜BL3に対応するレイアウトセル
LC1〜LC3を、レイアウトセルデータ3が準備する
レイアウトセルの中から選び出し、イメージとしての
(すなわち仮想的な)レイアウト領域620内に配置す
る。なお、図33では、便宜上レイアウトセルLC1〜
LC3を論理ブロックBL1〜BL3の記号で表現して
いる。
FIG. 33 is a schematic diagram showing the result of the work performed by the placement unit 1 and the wiring unit 4 when the logic circuit shown in FIG. 32 is the layout target. The arranging unit 1 determines each logical block BL1 described by the netlist 2.
Based on the types of BL3 to BL3 and their connection relationships, the layout cells LC1 to LC3 corresponding to each of the logic blocks BL1 to BL3 are selected from the layout cells prepared by the layout cell data 3 to obtain an image (that is, a virtual cell). ) In the layout area 620. Note that in FIG. 33, the layout cells LC1 to LC1
LC3 is represented by the symbols of the logic blocks BL1 to BL3.

【0007】配置部1は、矩形あるいは任意の形状の多
数の配置領域LR(図33に点線で示す)をレイアウト
領域620にあらかじめ生成し、その後、レイアウトセ
ルLC1〜LC3をこの配置領域LRの中に適宜配置す
る。
The arranging unit 1 previously generates a large number of rectangular or arbitrary-shaped arranging regions LR (shown by dotted lines in FIG. 33) in the layout region 620, and then arranges layout cells LC1 to LC3 in the arranging region LR. It is arranged appropriately.

【0008】配線部4は、配置部1によって図33のよ
うに決定されたレイアウトセルLC1〜LC3の配置に
対して、ネットリスト2およびレイアウトセルデータ3
を参照しつつ、配線W1〜W6を配設する。すなわち、
既に配置されたレイアウトセルLC1〜LC3の入力、
出力ピンの位置に関する情報をレイアウトセルデータ3
から得るとともに、レイアウトセルLC1〜LC3の接
続関係に関する情報をネットリスト2から得ることによ
って、配線W1〜W6の経路をレイアウト領域620上
に決定する。
The wiring unit 4 arranges the layout cells LC1 to LC3 determined by the arranging unit 1 as shown in FIG.
The wirings W1 to W6 are arranged with reference to FIG. That is,
Input of the layout cells LC1 to LC3 already arranged,
Layout cell data 3 for information about output pin positions
And the information regarding the connection relationship of the layout cells LC1 to LC3 from the netlist 2, the routes of the wirings W1 to W6 are determined on the layout area 620.

【0009】なお、配線部4によって決定されるのは配
線W1〜W6の経路とその配線の幅であって、配線W1
〜W6の間隔については後述するコンパクション部5で
決定される。一つの配線の経路が、異なる配線層(レイ
ヤ)にまたがるように決定されるときには、異なるレイ
ヤの間を接続するためのコンタクトホールが設置されな
ければならない。コンタクトルール20には、このコン
タクトホールのレイアウトイメージであるコンタクトセ
ルの形状があらかじめ記述されている。そして配線部4
は、コンタクトルール20にもとづいて、コンタクトセ
ルを配線W1〜W6の経路内に必要に応じて配置する。
The wiring section 4 determines the paths of the wirings W1 to W6 and the widths of the wirings.
The interval from W6 to W6 is determined by the compaction unit 5 described later. When the path of one wiring is determined to extend over different wiring layers, contact holes for connecting between different layers must be provided. In the contact rule 20, the shape of the contact cell, which is the layout image of the contact hole, is described in advance. And the wiring section 4
According to the contact rule 20, the contact cells are arranged in the paths of the wirings W1 to W6 as required.

【0010】通常、配線の幅はレイヤ毎に異なるので、
コンタクトルール20には、異なるレイヤ間を接続する
コンタクトセル毎に、異なる形状のコンタクトセルが準
備される。また、コンタクトルール20にはさらに、コ
ンタクトセルおよびその他の各種レイアウトセルの寸法
の単位であるグリッド間隔の大きさが記述されている。
グリッドは、レイアウト領域内にマトリクス状に配列さ
れるレイアウトのための基準点であり、各種レイアウト
セルおよび配線のレイアウトは、このグリッド上に配置
することによって行われる。そして、各種レイアウトセ
ルの寸法は、レイアウトセルデータ3およびコンタクト
ルール20において、グリッド間隔の整数倍で与えられ
ている。
Since the width of the wiring is usually different for each layer,
In the contact rule 20, contact cells having different shapes are prepared for each contact cell that connects different layers. Further, the contact rule 20 further describes the size of the grid interval which is a unit of the size of the contact cell and other various layout cells.
The grid is a reference point for a layout arranged in a matrix in the layout area, and various layout cells and wirings are laid out on this grid. The dimensions of various layout cells are given in the layout cell data 3 and the contact rule 20 as integral multiples of the grid spacing.

【0011】コンパクション部5は、配線部4によって
決定された配線W1〜W6の経路に対して、ネットリス
ト2、レイアウトセルデータ3、およびデザインルール
6を参照しつつ、配線W1〜W6の実配線を行う。デザ
インルール6には、配線の最小幅や最小間隔等に関する
デザイン上の規則が記述されている。
The compaction section 5 refers to the netlist 2, the layout cell data 3, and the design rule 6 for the routes of the wirings W1 to W6 determined by the wiring section 4 and refers to the actual wirings of the wirings W1 to W6. I do. The design rule 6 describes design rules relating to the minimum wiring width, minimum spacing, and the like.

【0012】コンパクション部5は、既に配置されたレ
イアウトセルLC1〜LC3の配線層、配線禁止領域に
関する情報をレイアウトセルデータ3から、デザイン上
の規則をデザインルール6から、それぞれ得る。そし
て、配線W1〜W6のレイアウトを完成し、レイアウト
データ7を出力する。このとき配線W1〜W6の間隔も
決定される。レイアウトデータ7が得られることによっ
て対象回路のレイアウトは完了する。後続する工程で
は、レイアウトデータ7を用いて所要の拡散パターン等
が生成される。
The compaction section 5 obtains information on the wiring layers and wiring prohibited areas of the already arranged layout cells LC1 to LC3 from the layout cell data 3, and the design rule from the design rule 6. Then, the layout of the wirings W1 to W6 is completed, and the layout data 7 is output. At this time, the intervals between the wirings W1 to W6 are also determined. The layout of the target circuit is completed by obtaining the layout data 7. In the subsequent process, the layout data 7 is used to generate a required diffusion pattern or the like.

【0013】[0013]

【発明が解決しようとする課題】ところで、従来の自動
配置配線装置600では、コンタクトセルを配置する際
には、それぞれのレイヤ上の配線の中心線(センターラ
イン)が交差する点に、コンタクトセルの中心が一致す
るようにコンタクトセルの配置が行われていた。図34
は、この動作を説明する動作説明図である。図34に示
すように、コンタクトセルCCはホールイメージHIと
ランドイメージLIとを有している。このコンタクトセ
ルCCは、レイヤA上の配線AWとレイヤB上の配線B
Wとが交差する位置に配置される。
By the way, in the conventional automatic placement and routing apparatus 600, when the contact cells are placed, the contact cells are arranged at the points where the center lines (center lines) of the wirings on the respective layers intersect. The contact cells were arranged so that the centers of the cells coincided with each other. FIG. 34
[Fig. 6] is an operation explanatory view explaining this operation. As shown in FIG. 34, the contact cell CC has a hole image HI and a land image LI. The contact cell CC includes a wiring AW on the layer A and a wiring B on the layer B.
It is arranged at a position where W and W intersect.

【0014】配線AW、BWはいずれも、それらの中心
線AC、BCがグリッドG上に位置するように配設され
る。そして、コンタクトセルCCは、これらの中心線A
C、BCが交差するグリッドG上に、その中心点CPが
一致するように配置される。その結果、図34に例示す
るようにコンタクトセルCCのサイズ、すなわち一辺の
長さがグリッド間隔の奇数倍(図34では3倍の例を示
している)であるときには、コンタクトセルCCの四隅
の一つとして定義されるコンタクトセルCCの原点GP
がグリッドG上から外れて位置する。
The wirings AW and BW are arranged such that their center lines AC and BC are located on the grid G. Then, the contact cell CC has these center lines A
On the grid G where C and BC intersect, the center points CP thereof are arranged to coincide with each other. As a result, as illustrated in FIG. 34, when the size of the contact cell CC, that is, the length of one side is an odd multiple of the grid spacing (in FIG. 34, an example of 3 times is shown), the four corners of the contact cell CC are Origin GP of contact cell CC defined as one
Is located off the grid G.

【0015】しかしながら、原点GPはコンタクトセル
CCの位置指定の基準点として機能するものであり、レ
イアウト後の工程においてレイアウトデータ7を用いて
拡散パターン等を生成する上で、原点GPはグリッドG
上に存在することが必要がある。このため、レイアウト
データ7において、グリッドGからずれた原点GPを最
寄りのグリッドG上に移動させるという修正を手作業で
行う必要があった。
However, the origin GP functions as a reference point for designating the position of the contact cell CC, and the origin GP is used to generate a diffusion pattern or the like by using the layout data 7 in the post-layout process.
Must exist above. Therefore, in the layout data 7, it is necessary to manually correct the origin GP deviated from the grid G onto the nearest grid G.

【0016】また、自動配置配線装置600では、コン
タクトセルCCを配置する際に、その方向性を無視した
配置が行われていた。図35〜図37は、この動作を説
明する動作説明図である。配線の幅が異なるレイヤ間を
接続するコンタクトセルCCは、図35に示すように、
隣接する二辺の長さが異なる長方形形状をなす。図36
に示すように、接続すべきレイヤA、Bに配設される配
線AW、BWの間で、配線AWの方が配線BWよりも幅
が小さい場合には、コンタクトセルCCはその短辺が配
線AWの方を向き、長辺が配線BWの方を向くように設
置されなければならない。
Further, in the automatic placement and routing apparatus 600, when arranging the contact cells CC, the arranging is ignored. 35 to 37 are operation explanatory views for explaining this operation. As shown in FIG. 35, the contact cell CC that connects layers having different wiring widths is
It has a rectangular shape in which the lengths of two adjacent sides are different. Fig. 36
As shown in, when the width of the wiring AW is smaller than that of the wiring BW between the wirings AW and BW arranged in the layers A and B to be connected, the short side of the contact cell CC is the wiring. It must be installed so that the AW faces the long side and the long side faces the wiring BW.

【0017】しかしながら、従来の自動配置配線装置6
00では、コンタクトセルCCの方向性を考慮すること
なく、配置が行われていたので、図37に示すように、
方向性を誤って配置されることがあった。このため、レ
イアウトデータ7において、図37のように配置された
コンタクトセルCCを、図36のように正しく配置し直
すという修正を手作業で行う必要があった。
However, the conventional automatic placement and routing apparatus 6
In 00, since the arrangement was performed without considering the directivity of the contact cell CC, as shown in FIG.
It was sometimes placed in the wrong direction. Therefore, in the layout data 7, it has been necessary to manually correct the contact cells CC arranged as shown in FIG. 37 so as to be correctly arranged as shown in FIG.

【0018】さらに、自動配置配線装置600では、微
細化プロセスに対応した張出し部を有するコンタクトセ
ルCCに対しても、その方向性を無視した配置が行われ
ていた。一般に、半導体プロセスによってレイアウト対
象回路が半導体装置に作り込まれたときには、各種レイ
アウトセル、配線等のエッジ部に円弧状の減肉が生じる
(この現象は「丸め」と称される)。微細化プロセスを
用いることによって、半導体装置が微細化される場合に
は、この「丸め」によってコンタクトセルCCのランド
イメージLIに生じる減肉が無視できなくなる。
Further, in the automatic placement and routing apparatus 600, the contact cell CC having the overhanging portion corresponding to the miniaturization process is also placed by ignoring its directionality. Generally, when a circuit to be laid out is built in a semiconductor device by a semiconductor process, arc-shaped thinning occurs at edge portions of various layout cells and wirings (this phenomenon is called "rounding"). When the semiconductor device is miniaturized by using the miniaturization process, the thinning that occurs in the land image LI of the contact cell CC due to this “rounding” cannot be ignored.

【0019】このため、微細化プロセスに対応したレイ
アウトデータ7を作成するときには、図38に示すよう
に、二方向に張出し部PA、PBが設けられたコンタク
トセルCCがコンタクトルール20に準備される。張出
し部PA、PBは、それぞれコンタクトセルCCが接続
すべき2つのレイヤA、Bにおける張出し部である。こ
れらの張出し部PA、PBを有するコンタクトセルCC
は、正しくは図39に示すように、張出し部PAが配線
AWの反対側、張出し部PBが配線BWの反対側に位置
するように配置されなければならない。
Therefore, when the layout data 7 corresponding to the miniaturization process is created, the contact rule CC is prepared with the contact cells CC provided with the extending portions PA and PB in two directions as shown in FIG. . The overhang portions PA and PB are overhang portions in the two layers A and B to which the contact cell CC should be connected, respectively. Contact cell CC having these overhang portions PA and PB
Must be arranged so that the projecting portion PA is located on the opposite side of the wiring AW and the projecting portion PB is located on the opposite side of the wiring BW, as shown in FIG.

【0020】ところが、従来の自動配置配線装置600
では、コンタクトセルCCの方向性を考慮することなく
配置が行われていたので、図40に示すように、方向性
を誤って配置されることがあった。このため、レイアウ
トデータ7において、図40のように配置されたコンタ
クトセルCCを、図39のように正しく配置し直すとい
う修正を手作業で行う必要があった。
However, the conventional automatic placement and routing apparatus 600
Then, since the arrangement was performed without considering the directionality of the contact cells CC, the directionality might be arranged incorrectly as shown in FIG. Therefore, in the layout data 7, it has been necessary to manually correct the contact cells CC arranged as shown in FIG. 40 to be correctly arranged as shown in FIG.

【0021】また、従来の自動配置配線装置600で
は、デザインルール6に規定される配線間隔は各レイヤ
毎に一種類のみであった。しかしながら、現実のレイア
ウト設計では、デザインルール6で準備される標準的な
配線よりも配線幅の大きい配線を配設する必要を生じる
場合があり、しかもこの幅の広い配線の近傍には、標準
的な配線間隔よりも大きい配線間隔を設定する必要があ
る。従来の自動配置配線装置600では、このような配
線幅、配線間隔は準備されていないために、レイアウト
データ7において、これらを修正する手作業を必要とし
た。
Further, in the conventional automatic placement and routing apparatus 600, the wiring interval defined in the design rule 6 is only one for each layer. However, in the actual layout design, it may be necessary to dispose a wiring having a larger wiring width than the standard wiring prepared by the design rule 6, and in the vicinity of this wide wiring, a standard wiring may be provided. It is necessary to set a wiring interval larger than a certain wiring interval. In the conventional automatic placement and routing apparatus 600, such a wiring width and a wiring interval are not prepared, so that the layout data 7 requires manual work to correct them.

【0022】さらに、従来の自動配置配線装置600で
は、できるだけ配線長が短くなるように配線が行われて
いた。このため、レイアウトデータ7にもとづいて試作
あるいは製造された半導体装置において、配線間に無視
できないクロストークノイズが発生することがあった。
クロストークノイズを回避するためには、レイアウトデ
ータ7の配線に修正を施すという手作業を必要とした。
Further, in the conventional automatic placement and routing apparatus 600, wiring is performed so that the wiring length is as short as possible. For this reason, in a semiconductor device prototyped or manufactured based on the layout data 7, crosstalk noise which cannot be ignored may occur between wirings.
In order to avoid the crosstalk noise, the manual work of modifying the wiring of the layout data 7 is required.

【0023】以上のように、従来の自動配置配線装置で
は、正しいレイアウトデータを得るため、あるいは高品
質の半導体装置を実現するレイアウトデータを得るため
には、自動配置配線装置が生成したレイアウトデータに
手作業による修正を加える必要があるという問題点があ
った。
As described above, in the conventional automatic placement and routing apparatus, in order to obtain correct layout data or to obtain layout data for realizing a high quality semiconductor device, the layout data generated by the automatic placement and routing apparatus is used. There was a problem that it was necessary to make manual corrections.

【0024】この発明は、従来の装置における上記した
問題点を解消するためになされたもので、手作業による
修正なしで、正しいレイアウトデータおよび高品質の半
導体装置を実現するレイアウトデータを生成する自動配
置配線装置を提供することを目的とする。
The present invention has been made in order to solve the above-mentioned problems in the conventional device, and is an automatic generation of correct layout data and layout data for realizing a high quality semiconductor device without manual correction. An object is to provide a placement and routing device.

【0025】[0025]

【課題を解決するための手段】第1の発明の自動配置配
線装置は、レイアウト対象回路のレイアウトを行う自動
配置配線装置において、複数配線層にわたって前記レイ
アウト対象回路の配線を配設する配線手段と、相異なる
配線層にまたがって配設された配線同士が重なる部位に
これらの配線同士を接続するコンタクトセルを配置する
コンタクトセル配置手段と、当該コンタクトセル配置手
段によって配置されたコンタクトセルに対して、付与さ
れた所定の条件を満たすようにその配置を修正するコン
タクトセル配置修正手段と、を備えることを特徴とす
る。
An automatic placement and routing apparatus according to a first aspect of the present invention is an automatic placement and routing apparatus for laying out a layout target circuit, comprising wiring means for arranging wirings of the layout target circuit over a plurality of wiring layers. A contact cell arranging means for arranging a contact cell connecting these wirings in a portion where the wirings arranged over different wiring layers overlap each other, and a contact cell arranged by the contact cell arranging means And a contact cell arrangement correcting means for correcting the arrangement so that the given predetermined condition is satisfied.

【0026】第2の発明の自動配置配線装置は、第1の
発明の装置において、前記配線手段が、規則的に配列し
たグリッド上に前記配線の中心線が沿うように当該配線
を配設し、前記コンタクトセル配置手段が、接続すべき
配線の中心線が交差する部位に前記コンタクトセルの中
心が重なるように当該コンタクトセルを配置し、前記コ
ンタクトセル配置修正手段が、前記コンタクトセル配置
手段によって配置された前記コンタクトセルに対して、
当該コンタクトセルに付随するとともにその位置指定の
基準点として機能する原点が最寄りのグリッドに一致す
るように、当該コンタクトセルを移動させること、を特
徴とする。
The automatic placement and routing device of the second invention is the device of the first invention, wherein the wiring means arranges the wiring so that the center line of the wiring is arranged on a regularly arranged grid. The contact cell arranging means arranges the contact cell such that the centers of the contact cells overlap with each other at a portion where the center lines of the wirings to be connected intersect, and the contact cell arranging means arranges the contact cell With respect to the arranged contact cells,
It is characterized in that the contact cell is moved so that the origin attached to the contact cell and functioning as a reference point for specifying the position coincides with the nearest grid.

【0027】第3の発明の自動配置配線装置は、第2の
発明の装置において、前記グリッドがマトリクス状に配
列しており、前記コンタクトセルの形状が長方形であっ
てしかも各辺の長さが前記グリッドの間隔の整数倍であ
って、その原点が長方形の四隅の一つで定義されてお
り、前記コンタクトセル配置修正手段は、いずれかの辺
の長さが前記グリッドの間隔の奇数倍であるコンタクト
セルを検索し、検索によって見いだされたコンタクトセ
ルに対して、その原点が最寄りのグリッドに一致するよ
うに、当該コンタクトセルを移動させること、を特徴と
する。
The automatic placement and routing apparatus of the third invention is the apparatus of the second invention, wherein the grids are arranged in a matrix, the contact cells are rectangular and the length of each side is It is an integral multiple of the grid interval, and its origin is defined by one of the four corners of the rectangle, and the contact cell arrangement correcting means is such that the length of any side is an odd multiple of the grid interval. It is characterized in that a certain contact cell is searched and the contact cell found by the search is moved so that its origin coincides with the nearest grid.

【0028】第4の発明の自動配置配線装置は、第1の
発明の装置において、前記コンタクトセルには、接続す
べき各配線に面する方向が指定されており、前記コンタ
クトセル配置修正手段が、前記コンタクトセルに指定さ
れた通りの方向に各配線が面するように、当該コンタク
トセルの向きを変更すること、を特徴とする。
In the automatic placement and routing apparatus of the fourth invention, in the apparatus of the first invention, a direction facing each wiring to be connected is designated in the contact cell, and the contact cell placement correcting means is provided. The orientation of the contact cell is changed so that each wiring faces in the direction specified by the contact cell.

【0029】第5の発明の自動配置配線装置は、第4の
発明の装置において、前記配線手段が、マトリクス状に
配列したグリッド上に前記配線の中心線が沿うように当
該配線を配設し、前記コンタクトセル配置修正手段が、
前記コンタクトセルが接続すべき各配線が前記コンタク
トセルに指定された通りの方向に面するまで、当該コン
タクトセルを90゜ずつ回転すること、を特徴とする。
The automatic placement and routing apparatus of the fifth invention is the automatic placement and routing apparatus of the fourth invention, wherein the wiring means arranges the wiring so that the center line of the wiring is along a grid arranged in a matrix. , The contact cell arrangement correcting means,
The contact cell is rotated by 90 ° until each wiring to be connected to the contact cell faces in a direction specified by the contact cell.

【0030】第6の発明の自動配置配線装置は、第5の
発明の装置において、前記コンタクトセルの回転を3回
行っても、当該コンタクトセルによって接続されるべき
各配線が当該コンタクトセルに指定された通りの方向に
面することがない場合に、これらの配線の少なくとも一
つの方向を当該コンタクトセルの回りに90゜ずつ変更
する配線方向変更手段を、さらに備えることを特徴とす
る。
In the automatic placement and routing apparatus of the sixth invention, in the apparatus of the fifth invention, even if the contact cell is rotated three times, each wiring to be connected by the contact cell is designated as the contact cell. It is characterized by further comprising wiring direction changing means for changing at least one direction of these wirings by 90 ° around the contact cell when the wirings do not face in the same direction as described above.

【0031】第7の発明の自動配置配線装置は、第4の
発明の装置において、前記コンタクトセルに指定された
方向とは合致し得ない角度で、当該コンタクトセルが接
続すべき配線同士が交差している場合に、これらの配線
の少なくとも一つの方向を当該コンタクトセルの近傍に
おいて変更する配線方向変更手段を、さらに備えること
を特徴とする。
In the automatic placement and routing apparatus of the seventh invention, in the apparatus of the fourth invention, wirings to be connected by the contact cells intersect each other at an angle that cannot match the direction designated for the contact cells. In this case, the wiring direction changing means for changing at least one direction of these wirings in the vicinity of the contact cell is further provided.

【0032】第8の発明の自動配置配線装置は、第4の
発明の装置において、前記コンタクトセルが、指定され
た方向とは反対側に張出し部を有すること、を特徴とす
る。
An eighth aspect of the invention is an automatic placement and routing apparatus according to the fourth aspect of the invention, wherein the contact cell has an overhanging portion on the side opposite to the designated direction.

【0033】第9の発明の自動配置配線装置は、レイア
ウト対象回路のレイアウトを行う自動配置配線装置にお
いて、レイアウト対象回路の配線の経路と幅とを決定す
る配線手段と、前記配線手段で決定された配線の中で、
所定の基準値よりも幅の大きい配線である幅広配線を検
索する幅広配線検索手段と、幅広配線とそうでない標準
配線の配線間隔、及び幅広配線どうしの配線間隔が、そ
れぞれの配線に対して個別に付与された所定の値となる
ように、前記幅広配線および前記標準配線のレイアウト
を実行するコンパクション手段と、を備えることを特徴
とする。
An automatic placement and routing apparatus according to a ninth aspect of the present invention is an automatic placement and routing apparatus for laying out a layout target circuit, wherein wiring means for determining a wiring route and width of the layout target circuit, and the wiring means. In the wiring
Wide wiring search means for searching for wide wiring that is wider than a predetermined reference value, wiring spacing between wide wiring and standard wiring other than that, and wiring spacing between wide wiring are different for each wiring. And a compaction means for executing the layout of the wide wiring and the standard wiring so that the predetermined value is given to the standard wiring.

【0034】第10の発明の自動配置配線装置は、第9
の発明の装置において、前記配線手段が、レイアウト対
象回路の配線の経路と幅とを複数の配線層にわたって決
定し、前記所定の基準値が前記複数の配線層毎に個別に
付与されており、前記所定の値が前記複数の配線層毎に
個別に付与されており、前記幅広配線検索手段は、前記
複数の配線層毎に個別に付与された前記所定の基準値に
もとづいて、前記幅広配線を前記複数の配線層毎に検索
すること、を特徴とする。
The automatic placement and routing apparatus according to the tenth aspect of the invention is the ninth aspect.
In the device of the invention described above, the wiring means determines a wiring route and a width of a layout target circuit over a plurality of wiring layers, and the predetermined reference value is individually given to each of the plurality of wiring layers, The predetermined value is individually given to each of the plurality of wiring layers, and the wide wiring search means is configured to provide the wide wiring based on the predetermined reference value individually given to each of the plurality of wiring layers. Is searched for for each of the plurality of wiring layers.

【0035】第11の発明の自動配置配線装置は、レイ
アウト対象回路のレイアウトを行う自動配置配線装置に
おいて、レイアウト対象回路を構成する機能素子を配置
する配置手段と、前記機能素子の出力ピンおよび入力ピ
ンにつながる配線の経路と幅とを決定する配線手段と、
前記配線手段で決定された配線の中から、一方配線の信
号のレベル遷移にともなって他方配線に所定の限度を超
える信号変動が誘起される配線の組を検出するクロスト
ーク性検証手段と、前記クロストーク性検証手段で検出
された配線の組に対しては、標準の配線間隔よりも広い
配線間隔をもってレイアウトを実行するコンパクション
手段と、を備えることを特徴とする。
An automatic placement and routing apparatus according to an eleventh aspect of the present invention is an automatic placement and routing apparatus for laying out a layout target circuit, arranging means for arranging functional elements constituting the layout target circuit, output pins and inputs of the functional element Wiring means for determining the route and width of the wiring connected to the pin,
Crosstalk property verification means for detecting, from among the wirings determined by the wiring means, a set of wirings in which a signal fluctuation exceeding a predetermined limit is induced in the other wiring due to a level transition of a signal of one wiring; It is characterized by further comprising compaction means for executing layout with a wiring interval wider than the standard wiring interval for the set of wires detected by the crosstalk property verification means.

【0036】第12の発明の自動配置配線装置は、第1
1の発明の装置において、前記機能素子の出力ピンに対
して、クロストークノイズを与え易いピンである強いピ
ンとクロストークノイズを受け易いピンである弱いピン
とを識別する識別手段、をさらに備え、前記配線手段
は、配線の経路が存在する範囲で、前記強いピンにつな
がる配線である強い配線と前記弱いピンにつながる配線
である弱い配線とが同一配線層上で隣接しないように、
しかも異なる配線層上で重複しないように、配線の経路
を決定し、前記クロストーク性検証手段は、前記配線手
段で決定された配線の中で不可避的に隣接または重複す
る強い配線と弱い配線の組を選択し、その中から、強い
配線の信号のレベル遷移にともなって弱い配線に所定の
限度を超える信号変動が誘起される配線の組を検出する
こと、を特徴とする。
The automatic placement and routing apparatus according to the twelfth aspect of the invention is the first aspect.
The apparatus according to the first aspect of the present invention further includes identification means for identifying a strong pin that is a pin that easily gives crosstalk noise and a weak pin that is a pin that easily receives crosstalk noise to the output pin of the functional element, Wiring means, in the range where the path of the wiring exists, strong wiring that is a wiring connected to the strong pin and weak wiring that is a wiring connected to the weak pin, so as not to be adjacent on the same wiring layer,
Moreover, the route of the wiring is determined so that they do not overlap on different wiring layers, and the crosstalk property verification means inevitably distinguishes between the strong wiring and the weak wiring which are inevitably adjacent or overlap among the wirings determined by the wiring means. A set is selected, and a set of wirings in which a signal variation exceeding a predetermined limit is induced in a weak wiring due to a level transition of a signal in a strong wiring is detected from among the set.

【0037】第13の発明の自動配置配線装置は、第1
1の発明の装置において、前記クロストーク性検証手段
は、前記一方配線の信号のレベル遷移にともなう前記他
方配線の信号変動の大きさを、前記一方配線のレベル遷
移幅をこれらの配線間の容量によるリアクタンスと前記
他方配線の容量によるリアクタンスとで分圧した値とし
て評価すること、を特徴とする。
The automatic placement and routing apparatus according to the thirteenth invention is the first one.
In the device of the first aspect of the invention, the crosstalk property verifying unit determines a magnitude of a signal fluctuation of the other wiring due to a level transition of a signal of the one wiring, a level transition width of the one wiring, and a capacitance between these wirings. And the reactance due to the capacitance of the other wiring are evaluated as a divided value.

【0038】第14の発明の自動配置配線装置は、レイ
アウト対象回路のレイアウトを行う自動配置配線装置に
おいて、レイアウト対象回路を構成する機能素子を配置
する配置手段と、前記機能素子の出力ピンおよび入力ピ
ンにつながる配線を配設する配線手段と、前記機能素子
の出力ピンに対して、クロストークノイズを与え易いピ
ンである強いピンとクロストークノイズを受け易いピン
である弱いピンとを識別する識別手段と、を備え、前記
配線手段は、配線の経路が存在する範囲で、前記強いピ
ンにつながる配線と前記弱いピンにつながる配線とが同
一配線層上で隣接しないように、しかも異なる配線層上
で重複しないように配線を配設すること、を特徴とす
る。
The automatic placement and routing apparatus of the fourteenth invention is, in the automatic placement and routing apparatus for laying out a layout target circuit, placement means for placing a functional element forming the layout target circuit, and output pins and inputs of the functional element. Wiring means for arranging wiring connected to the pins, and discrimination means for discriminating between the output pin of the functional element, a strong pin that is easy to give crosstalk noise and a weak pin that is easy to receive crosstalk noise. , The wiring means is arranged such that the wiring connected to the strong pin and the wiring connected to the weak pin are not adjacent to each other on the same wiring layer and overlap on different wiring layers within a range where a wiring route exists. The wiring is arranged so as not to do so.

【0039】第15の発明の自動配置配線装置は、第1
2または第14の発明の装置において、前記識別手段
が、前記出力ピンを駆動する前記機能素子内の駆動素子
の駆動能力が第1基準値よりも大きければ当該出力ピン
を強い出力ピンとして識別し、前記出力ピンを駆動する
前記機能素子内の駆動素子の駆動能力が第2基準値より
も小さければ当該出力ピンを弱い出力ピンとして識別
し、しかも、前記第1基準値は前記第2基準値よりも大
きいこと、を特徴とする。
The automatic placement and routing apparatus according to the fifteenth aspect of the present invention is the first aspect.
In the device of the second or fourteenth aspect of the invention, the identifying means identifies the output pin as a strong output pin if the drive capability of the drive element in the functional element that drives the output pin is larger than a first reference value. If the driving capability of the driving element in the functional element that drives the output pin is smaller than the second reference value, the output pin is identified as a weak output pin, and the first reference value is the second reference value. It is characterized by being larger than.

【0040】第16の発明の自動配置配線装置は、第1
4の発明の装置において、前記識別手段が、前記駆動素
子を構成するMOSトランジスタのチャネル幅とチャネ
ル長との比で前記駆動能力を評価すること、を特徴とす
る。
The automatic placement and routing apparatus according to the 16th aspect of the invention is the first aspect.
In the device of the fourth aspect of the invention, the identifying means evaluates the drive capability by a ratio of a channel width and a channel length of a MOS transistor that constitutes the drive element.

【0041】[0041]

【作用】第1の発明の装置では、一旦配置されたコンタ
クトセルに対して、所定の条件に沿ってその配置が修正
される。このため、所定の条件を満たすようにコンタク
トセルが配置されたレイアウトが実現する。
In the device of the first aspect of the present invention, the arrangement of the contact cells once arranged is corrected according to predetermined conditions. Therefore, the layout in which the contact cells are arranged so as to satisfy the predetermined condition is realized.

【0042】第2の発明の装置では、一旦配置されたコ
ンタクトセルに対して、その原点がグリッドに一致する
ようにコンタクトセルの配置が修正される。このため、
原点がグリッドに一致するようにコンタクトセルが配置
されたレイアウトが実現する。
In the device of the second aspect of the present invention, the arrangement of contact cells is corrected so that the origin of the contact cells once arranged coincides with the grid. For this reason,
A layout in which the contact cells are arranged so that the origin coincides with the grid is realized.

【0043】第3の発明の装置では、いずれかの辺の長
さがグリッドの間隔の奇数倍であるコンタクトセルを探
索し、見いだされたコンタクトセルが移動の対象とされ
る。コンタクトセルは、接続すべき配線の中心線が交差
する部位にコンタクトセルの中心が重なるように配置さ
れ、しかも、配線の中心線はグリッドに沿っているの
で、コンタクトセルの中心はグリッドに重なっている。
したがって、四角形の四隅の一つで定義される原点がグ
リッドからずれているコンタクトセルは、いずれかの辺
の長さがグリッドの間隔の奇数倍であるコンタクトセル
に限られる。この発明の装置は、このようなコンタクト
セルを選び出して配置の修正を行う。
In the device of the third aspect of the present invention, a contact cell in which the length of any one of the sides is an odd multiple of the grid interval is searched, and the found contact cell is set as the target of movement. The contact cells are arranged so that the centers of the contact cells overlap at the intersections of the center lines of the wirings to be connected. Moreover, since the center lines of the wirings are along the grid, the centers of the contact cells overlap the grid. There is.
Therefore, the contact cells whose origins defined by one of the four corners of the quadrangle deviate from the grid are limited to contact cells in which the length of any side is an odd multiple of the grid interval. The apparatus of the present invention selects such a contact cell and corrects the arrangement.

【0044】第4の発明では、コンタクトセルは位置修
正手段の働きによって、接続すべき配線に対して指定さ
れた方向に配置される。このため、正しい方向にコンタ
クトセルが配置されたレイアウトが実現する。
In the fourth invention, the contact cells are arranged in the designated direction with respect to the wiring to be connected by the function of the position correcting means. Therefore, a layout in which the contact cells are arranged in the correct direction is realized.

【0045】第5の発明の装置では、グリッドがマトリ
クス状に配列するとともに、中心線がグリッドに沿うよ
うに配線が配設されるので、接続すべき配線が正しい角
度で交差している限り、コンタクトセルを90゜ずつ回
転する中で、接続すべき配線に対して指定された方向へ
のコンタクトセルの配置が必ず実現する。
In the device of the fifth invention, since the grids are arranged in a matrix and the wirings are arranged so that the center lines are along the grids, as long as the wirings to be connected intersect at a correct angle, While the contact cells are rotated by 90 °, the contact cells are always arranged in the specified direction with respect to the wiring to be connected.

【0046】第6の発明の装置では、コンタクトセルが
接続すべき各配線がコンタクトセルに指定された通りの
方向に面することがない場合に、これらの配線の少なく
とも一つの方向が当該コンタクトセルの回りに90゜ず
つ変更される。そのことによって、接続すべき配線が正
しい角度で交差していなくても、正しい角度で交差する
ようにコンタクトセルの近傍での配線の方向が修正され
る。
In the device of the sixth aspect of the invention, when each wiring to be connected to the contact cell does not face in the direction specified by the contact cell, at least one direction of these wirings corresponds to the contact cell. It is changed by 90 degrees around. This corrects the wiring direction in the vicinity of the contact cell so that the wirings to be connected do not intersect at the correct angle but intersect at the correct angle.

【0047】第7の発明の装置では、接続すべき配線が
正しい角度で交差していないときに、正しい角度で交差
するようにコンタクトセルの近傍での配線の方向が修正
される。
In the device of the seventh invention, when the wirings to be connected do not intersect at the correct angle, the direction of the wirings near the contact cell is corrected so that they intersect at the correct angle.

【0048】第8の発明の装置では、コンタクトセル
が、指定された方向とは反対側に張出し部を有するの
で、レイアウト対象回路が微細化プロセスの対象となっ
たときに、「丸め」によるコンタクトセルに減肉が生じ
ても接続不良などの故障を防止できる。
In the device of the eighth invention, since the contact cell has the projecting portion on the side opposite to the designated direction, when the layout target circuit is the target of the miniaturization process, the contact by "rounding" is performed. Even if the cells are thinned, it is possible to prevent failures such as poor connection.

【0049】第9の発明の装置では、標準配線と幅広配
線とに対してそれぞれ個別に付与された配線間隔をもっ
てレイアウトが行われる。
In the device of the ninth aspect of the invention, the standard wiring and the wide wiring are laid out at the wiring intervals individually provided.

【0050】第10の発明の装置では、複数の配線層毎
に標準配線と幅広配線の区別が行われ、複数の配線層毎
に個別の配線間隔をもって標準配線と幅広配線のレイア
ウトが行われる。
In the device of the tenth aspect of the invention, the standard wiring and the wide wiring are distinguished for each of the plurality of wiring layers, and the standard wiring and the wide wiring are laid out at individual wiring intervals for each of the plurality of wiring layers.

【0051】第11の発明の装置では、クロストーク性
検証手段によって、クロストークノイズが所定の限度を
超えて大きい配線の組が検出され、コンパクション手段
によって、その配線の組に対しては配線間隔が大きく設
定される。配線間隔が大きく設定されることによってク
ロストークノイズは減少する。すなわち、この装置で
は、すべての配線についてクロストークノイズを低く抑
えたレイアウトが実現する。
In the device of the eleventh aspect of the invention, the crosstalk property verification means detects a set of wirings having a large crosstalk noise exceeding a predetermined limit, and the compaction means detects a wiring interval for the set of wirings. Is set to a large value. Crosstalk noise is reduced by setting a large wiring interval. That is, this device realizes a layout in which crosstalk noise is suppressed low for all wirings.

【0052】第12の発明の装置では、可能な範囲で強
い配線と弱い配線とが隣接および重複しないように配線
の経路が決定された上で、不可避的に隣接または重複す
る配線の組の中からクロストークノイズが所定の限度を
超えて大きい配線の組が検出される。すなわち、クロス
トークノイズの大きい配線の組を検出する対象があらか
じめ絞り込まれるので、レイアウトに要する時間が短縮
される。
In the device of the twelfth invention, the wiring route is determined so that the strong wiring and the weak wiring do not adjoin and overlap each other within a possible range, and then, in the set of wirings inevitably adjacent or overlapping. From this, a set of wirings whose crosstalk noise exceeds a predetermined limit and is large is detected. In other words, the target for detecting the set of wirings having a large crosstalk noise is narrowed down in advance, so that the time required for the layout is shortened.

【0053】第13の発明の装置では、配線間のクロス
トークノイズの大きさが、一方配線のレベル遷移幅をこ
れらの配線間の容量によるリアクタンスと他方配線の容
量によるリアクタンスとで分圧した値として評価され
る。このため、クロストークノイズの大きさの評価が容
易である。
In the device of the thirteenth invention, the magnitude of crosstalk noise between wirings is a value obtained by dividing the level transition width of one wiring by the reactance due to the capacitance between these wirings and the reactance due to the capacitance of the other wiring. Be evaluated as. Therefore, it is easy to evaluate the magnitude of crosstalk noise.

【0054】第14の発明の装置では、可能な範囲で強
い配線と弱い配線とが隣接および重複しないように配線
の経路が決定される。このため、クロストークノイズの
影響の少ないレイアウトが実現する。
In the device of the fourteenth invention, the wiring route is determined so that the strong wiring and the weak wiring do not adjoin or overlap each other within a possible range. Therefore, a layout that is less affected by crosstalk noise is realized.

【0055】第15の発明の装置では、出力ピンを駆動
する駆動素子の駆動能力を所定の基準値と比較すること
によって、出力ピンが強いピンと弱いピンとに識別され
る。このため、強いピンと弱いピンへの識別が容易に行
われる。
In the device of the fifteenth invention, the output pin is discriminated as a strong pin or a weak pin by comparing the driving capability of the driving element for driving the output pin with a predetermined reference value. Therefore, the strong pin and the weak pin can be easily distinguished.

【0056】第16の発明の装置では、駆動素子を構成
するMOSトランジスタのチャネル幅とチャネル長との
比で駆動能力が評価される。このため、駆動能力の評価
が容易に行われる。
In the device of the sixteenth aspect of the invention, the drivability is evaluated by the ratio of the channel width and the channel length of the MOS transistor forming the driving element. Therefore, the driving ability can be easily evaluated.

【0057】[0057]

【実施例】【Example】

<第1実施例>はじめに、第1実施例について説明す
る。図1はこの実施例の自動配置配線装置100の構成
を示すブロック図である。なお、以下の図において、図
31に示した自動配置配線装置600と同一部分には同
一符号を付して、その詳細な説明を略する。
<First Embodiment> First, a first embodiment will be described. FIG. 1 is a block diagram showing the configuration of the automatic placement and routing apparatus 100 of this embodiment. In the following drawings, the same parts as those of the automatic placement and routing apparatus 600 shown in FIG. 31 are designated by the same reference numerals, and detailed description thereof will be omitted.

【0058】自動配置配線装置100が備える配線部1
2は、自動配置配線装置600の配線部4と同様に、配
置部1によって決定されたレイアウトセルの配置に対し
て、ネットリスト2およびレイアウトセルデータ3を参
照しつつ配線を配設する。すなわち、配線の経路と幅を
決定する。それとともに、配線部12はコンタクトルー
ル20にもとづいて、コンタクトセルを配線の経路内に
必要に応じて配置する。配線部12はさらに、すべての
コンタクトセルCCの原点GPがグリッドGと一致する
ように、原点GPがグリッドGに一致しないコンタクト
セルCCの位置を修正する機能を果たす。配線部12に
おけるこの特徴的な動作については後述する。
Wiring unit 1 of automatic placement and routing apparatus 100
Similarly to the wiring unit 4 of the automatic placement and routing apparatus 600, 2 arranges the wiring for the layout cell layout determined by the layout unit 1 while referring to the netlist 2 and the layout cell data 3. That is, the route and width of the wiring are determined. At the same time, the wiring portion 12 arranges contact cells in the wiring route based on the contact rule 20 as needed. The wiring portion 12 further functions to correct the positions of the contact cells CC whose origin GP does not coincide with the grid G so that the origin GP of all the contact cells CC coincides with the grid G. This characteristic operation of the wiring section 12 will be described later.

【0059】図2は、自動配置配線装置100における
レイアウト作業の流れの主要部を示すフローチャートで
ある。以下に、このフローチャートに沿って、自動配置
配線装置100の動作を説明する。自動配置配線装置1
00を用いたレイアウトを実行するには、あらかじめス
テップS21において、コンタクトルール20にコンタ
クトセルCCのサイズに関するデータが付与される。こ
のとき、グリッド間隔の大きさに関するデータも同時に
付与される。また、ネットリスト2、レイアウトセルデ
ータ3、およびデザインルール6も、同様にあらかじめ
準備される。
FIG. 2 is a flow chart showing the main part of the flow of the layout work in the automatic placement and routing apparatus 100. The operation of the automatic placement and routing apparatus 100 will be described below with reference to this flowchart. Automatic placement and routing device 1
In order to execute the layout using 00, the contact rule 20 is previously provided with data regarding the size of the contact cell CC in step S21. At this time, data regarding the size of the grid interval is also added at the same time. Further, the netlist 2, the layout cell data 3, and the design rule 6 are similarly prepared in advance.

【0060】つぎに、配置部1が動作することによっ
て、対象回路を構成する論理ブロックに対応するレイア
ウトセルが仮想的なレイアウト領域内に配置される(ス
テップS22)。
Then, the placement section 1 operates to place the layout cells corresponding to the logic blocks forming the target circuit in the virtual layout area (step S22).

【0061】つづいて、配線部12によってステップS
23〜ステップS26の処理が実行される。まず、ステ
ップS23では、コンタクトルール20にもとづいて、
コンタクトセルCCの縦横二辺のサイズを算出するとと
もに、縦横の二辺ともグリッド間隔の偶数倍である(こ
こでは、「オングリッド」と称する)か、あるいは、少
なくとも一辺がグリッド間隔の奇数倍である(ここで
は、「オフグリッド」と称する)か、を判定する。配線
部12自身にメモリが備わっており、コンタクトルール
20が準備する各コンタクトセルCCに対して、判定に
よって得られたデータすなわちオングリッドまたはオフ
グリッドのいずれであるかに関するデータが、このメモ
リに記憶される。
Subsequently, the wiring section 12 performs step S
The processing from 23 to step S26 is executed. First, in step S23, based on the contact rule 20,
The size of the vertical and horizontal sides of the contact cell CC is calculated, and both vertical and horizontal sides are even multiples of the grid spacing (herein referred to as "on-grid"), or at least one side is an odd multiple of the grid spacing. It is determined whether or not there is (here, referred to as “off-grid”). The wiring unit 12 itself has a memory, and for each contact cell CC prepared by the contact rule 20, the data obtained by the determination, that is, the data regarding whether it is on-grid or off-grid, is stored in this memory. To be done.

【0062】図3には、オフグリッドのコンタクトセル
CCの例を示す。正方形であるコンタクトセルCCの二
辺の長さaは、グリッド間隔gの3倍すなわち奇数倍で
ある。したがって、ステップS23において、このコン
タクトセルCCはオフグリッドとして記憶される。
FIG. 3 shows an example of an off-grid contact cell CC. The length a of the two sides of the square contact cell CC is three times the grid interval g, that is, an odd number. Therefore, in step S23, this contact cell CC is stored as an off-grid.

【0063】一方、図4には、オングリッドのコンタク
トセルCCの例を示す。同じく正方形であるコンタクト
セルCCの二辺の長さaは、グリッド間隔gの4倍すな
わち偶数倍である。したがって、ステップS23におい
て、このコンタクトセルCCはオングリッドとして記憶
される。
On the other hand, FIG. 4 shows an example of an on-grid contact cell CC. The length a of the two sides of the contact cell CC, which is also a square, is four times the grid interval g, that is, an even multiple. Therefore, in step S23, this contact cell CC is stored as an on-grid.

【0064】つぎに、ステップS24では、配線の経路
と幅が決定される。その後、ステップS25において、
コンタクトセルが配線上の必要な箇所に配置される。こ
れらのステップS24、S25における処理は、自動配
置配線装置600における配線部4の処理と同様であ
る。
Next, in step S24, the route and width of the wiring are determined. Then, in step S25,
Contact cells are arranged at required locations on the wiring. The processing in these steps S24 and S25 is the same as the processing of the wiring section 4 in the automatic placement and routing apparatus 600.

【0065】つづいて、ステップS26において、オフ
セット処理が行われる。この処理は、オフグリッドのコ
ンタクトセルCCに対して、その原点GPがグリッドG
に一致するように位置を修正する処理である。この処理
は、以下のように実行される。
Subsequently, in step S26, offset processing is performed. In this process, the origin GP is set to the grid G for the off-grid contact cell CC.
Is a process for correcting the position so that This process is executed as follows.

【0066】まず、ステップS25の処理によって、レ
イアウト領域上に配置されたコンタクトセルCCの中
で、オフグリッドのコンタクトセルCCを順次探索す
る。探し出されたオフグリッドのコンタクトセルCC
は、図5に示すように、中心点CPがレイヤAの中心線
ACとレイヤBの中心線BCが交差する点に一致するよ
うに配置されている。そのために、原点GPは、グリッ
ドGからずれた位置に存在する。図6に示すように、配
線部12は、このオフグリッドのコンタクトセルCCに
対して、原点GPが最寄りのグリッドG上に重なるよう
に、コンタクトセルCCを移動させる。
First, by the process of step S25, the off-grid contact cells CC are sequentially searched from the contact cells CC arranged in the layout area. Off-grid contact cell CC found
5 is arranged so that the center point CP coincides with the intersection of the center line AC of the layer A and the center line BC of the layer B, as shown in FIG. Therefore, the origin GP exists at a position deviated from the grid G. As shown in FIG. 6, the wiring unit 12 moves the contact cell CC so that the origin GP overlaps the nearest grid G with respect to the off-grid contact cell CC.

【0067】一方、図7に示すように、ステップS25
の処理によってレイアウト領域上に配置されたオングリ
ッドのコンタクトセルCCは、既に原点GPがグリッド
Gに一致しているので、位置を修正する処理は必要がな
い。
On the other hand, as shown in FIG. 7, step S25
Since the origin GP of the on-grid contact cell CC arranged in the layout area by the processing of 1 has already coincided with the grid G, the processing for correcting the position is not necessary.

【0068】ステップS26が終了すると、コンパクシ
ョン部5によってステップS27の処理、すなわちコン
パクション処理が実行される。この処理は、自動配置配
線装置600におけるコンパクション部5の処理と同要
領で行われる。
When step S26 ends, the compaction section 5 executes the process of step S27, that is, the compaction process. This process is performed in the same manner as the process of the compaction unit 5 in the automatic placement and routing apparatus 600.

【0069】以上のように、この実施例の自動配置配線
装置100では、配線部12によって、オフグリッドの
コンタクトセルCCに対して、一旦設置された位置が適
宜修正されるので、レイアウトデータ7において、すべ
てのコンタクトセルCCの原点GPがグリッドG上に位
置する。このため、レイアウトデータ7を手作業で修正
するという手間を要しない。すなわち、非能率な手作業
による修正を加えることなく、正しいレイアウトデータ
7を得ることができる。
As described above, in the automatic placement and routing apparatus 100 of this embodiment, the wiring section 12 appropriately corrects the position once set for the off-grid contact cell CC. , The origin GP of all the contact cells CC is located on the grid G. Therefore, it is not necessary to manually correct the layout data 7. That is, the correct layout data 7 can be obtained without making inefficient manual correction.

【0070】<第2実施例>つぎに、第2実施例につい
て説明する。図8はこの実施例の自動配置配線装置20
0の構成を示すブロック図である。自動配置配線装置2
00は、コンタクトセル検索部23およびコンタクトセ
ル移動処理部24を備える点が、従来の自動配置配線装
置600とは特徴的に異なっている。
<Second Embodiment> Next, a second embodiment will be described. FIG. 8 shows an automatic placement and routing apparatus 20 of this embodiment.
It is a block diagram which shows the structure of 0. Automatic placement and routing device 2
00 is characteristically different from the conventional automatic placement and routing apparatus 600 in that the contact cell search unit 23 and the contact cell movement processing unit 24 are provided.

【0071】コンタクトセル検索部23は、コンパクシ
ョン部5によるコンパクション処理が終了して得られた
レイアウトデータにおいて、原点GPがグリッドGから
ずれているコンタクトセルCCを検索する装置部分であ
る。また、コンタクトセル移動処理部24は、検索の結
果見いだされたコンタクトセルCCを適宜移動させるこ
とによって、その原点GPとグリッドGとを重ねる装置
部分である。
The contact cell search section 23 is a device section for searching the contact cell CC whose origin GP is deviated from the grid G in the layout data obtained after the compaction processing by the compaction section 5 is completed. Further, the contact cell movement processing unit 24 is a device portion that overlaps the origin GP and the grid G by appropriately moving the contact cell CC found as a result of the search.

【0072】図9は、自動配置配線装置200における
レイアウト作業の流れの主要部を示すフローチャートで
ある。以下に、このフローチャートに沿って、自動配置
配線装置200の動作を説明する。自動配置配線装置2
00を用いたレイアウトを実行するには、あらかじめ、
ネットリスト2、レイアウトセルデータ3、コンタクト
ルール20およびデザインルール6に所定のデータが付
与される(図示を略する)。
FIG. 9 is a flow chart showing the main part of the flow of the layout work in the automatic placement and routing apparatus 200. The operation of the automatic placement and routing apparatus 200 will be described below with reference to this flowchart. Automatic placement and routing device 2
To execute the layout using 00,
Predetermined data is given to the netlist 2, layout cell data 3, contact rule 20, and design rule 6 (not shown).

【0073】つぎに、配置部1が動作することによっ
て、対象回路を構成する論理ブロックに対応するレイア
ウトセルが仮想的なレイアウト領域内に配置される(ス
テップS41)。
Then, the placement section 1 operates to place the layout cells corresponding to the logic blocks forming the target circuit in the virtual layout area (step S41).

【0074】つぎに、ステップS42では、配線の経路
と幅が決定されるとともに、コンタクトセルが配線上の
必要な箇所に配置される。この処理は従来の自動配置配
線装置600における配線部4の処理と同様であり、自
動配置配線装置200の配線部4によって行われる。
Next, in step S42, the route and width of the wiring are determined, and the contact cells are arranged at the required locations on the wiring. This processing is similar to the processing of the wiring section 4 in the conventional automatic placement and routing apparatus 600, and is performed by the wiring section 4 of the automatic placement and routing apparatus 200.

【0075】つぎに、コンパクション部5によってステ
ップS43の処理、すなわちコンパクション処理が実行
される。この処理は、自動配置配線装置600における
コンパクション部5の処理と同要領で行われる。
Next, the compaction section 5 executes the process of step S43, that is, the compaction process. This process is performed in the same manner as the process of the compaction unit 5 in the automatic placement and routing apparatus 600.

【0076】つづいて、コンタクトセル検索部23によ
って、ステップS44の処理が実行される。このステッ
プS44では、コンパクション処理が完了したレイアウ
ト領域上のコンタクトセルCCの中で、原点GPがグリ
ッドGと一致しないものを検索する。
Subsequently, the contact cell search section 23 executes the process of step S44. In this step S44, the contact cell CC on the layout area for which the compaction process has been completed is searched for one whose origin GP does not match the grid G.

【0077】つぎに、コンタクトセル移動処理部24に
よってステップS45、S46の処理が実行される。ス
テップS45では、検索によって見いだされた原点GP
とグリッドGとが一致しないコンタクトセルCCに対し
て、その原点GPが最寄りのグリッドGへ一致するよう
な移動処理が施される。例えば、図5に示したコンタク
トセルCCは、原点GPがグリッドGに一致しないの
で、ステップS45の検索処理によって見出される。そ
して、ステップS46の処理によって、図6に示すよう
に、コンタクトセルCCの位置が修正され、原点GPが
最寄りのグリッドGの上に重なる。
Next, the contact cell movement processing section 24 executes the processing of steps S45 and S46. In step S45, the origin GP found by the search
With respect to the contact cell CC in which the grid G does not match with the grid G, movement processing is performed so that the origin GP matches the nearest grid G. For example, since the origin GP does not match the grid G, the contact cell CC shown in FIG. 5 is found by the search process of step S45. Then, as shown in FIG. 6, the position of the contact cell CC is corrected by the process of step S46, and the origin GP overlaps with the nearest grid G.

【0078】一方、図7に示したコンタクトセルCC
は、原点GPがグリッドGに一致しているので、ステッ
プS45における検索によって見いだされることはな
い。したがって、ステップS46における、移動処理の
対象とはならない。
On the other hand, the contact cell CC shown in FIG.
Is not found by the search in step S45 because the origin GP matches the grid G. Therefore, it is not the target of the movement process in step S46.

【0079】ステップS45の処理が完了すると、処理
はステップS46へと移行し、修正が完了したレイアウ
トデータがレイアウトデータ7として出力される。
When the process of step S45 is completed, the process proceeds to step S46, and the layout data whose correction has been completed is output as layout data 7.

【0080】以上のように、この実施例の自動配置配線
装置200では、コンタクトセル検索部23によって、
原点GPがグリッドGからずれて配置されたコンタクト
セルCCに対して、その位置が適宜修正されるので、レ
イアウトデータ7においては、すべてのコンタクトセル
CCの原点GPがグリッドG上に位置する。このため、
レイアウトデータ7を手作業で修正するという手間を要
しない。すなわち、非能率な手作業による修正を加える
ことなく、正しいレイアウトデータ7を得ることができ
る。
As described above, in the automatic placement and routing apparatus 200 of this embodiment, the contact cell search unit 23
Since the positions of the contact cells CC whose origin points GP are displaced from the grid G are appropriately corrected, the origin points GP of all the contact cells CC are located on the grid G in the layout data 7. For this reason,
There is no need to manually modify the layout data 7. That is, the correct layout data 7 can be obtained without making inefficient manual correction.

【0081】<第3実施例>つぎに、第3実施例につい
て説明する。図10はこの実施例の自動配置配線装置3
00の構成を示すブロック図である。自動配置配線装置
300が備える配線部17は、自動配置配線装置600
の配線部4と同様に、配置部1によって決定されたレイ
アウトセルの配置に対して、ネットリスト2およびレイ
アウトセルデータ3を参照しつつ配線の経路と幅を決定
するとともに、コンタクトルール21にもとづいて、コ
ンタクトセルを配線の経路内に必要に応じて配置する。
<Third Embodiment> Next, a third embodiment will be described. FIG. 10 shows the automatic placement and routing apparatus 3 of this embodiment.
It is a block diagram which shows the structure of 00. The wiring unit 17 included in the automatic placement and routing apparatus 300 is the automatic placement and routing apparatus 600.
Similar to the wiring section 4 of the wiring section 4, the layout path and width of the layout cell are determined by referring to the netlist 2 and the layout cell data 3 for the layout cell arrangement determined by the placement section 1, and based on the contact rule 21. Then, the contact cell is arranged in the route of the wiring as required.

【0082】また、コンタクトルール21にはさらに、
コンタクトセルCCの方向性に関するデータが記述され
ている。配線部17は、コンタクトルール21を参照す
ることによって、コンタクトセルCCを正しい方向に配
置する機能をさらに有する点において、配線部4とは特
徴的に異なっている。配線部17におけるこの特徴的な
動作については後述する。
Further, the contact rule 21 further includes
Data on the directionality of the contact cell CC is described. The wiring portion 17 is characteristically different from the wiring portion 4 in that it further has a function of arranging the contact cells CC in the correct direction by referring to the contact rule 21. This characteristic operation of the wiring section 17 will be described later.

【0083】図11は、自動配置配線装置300におけ
るレイアウト作業の流れの主要部を示すフローチャート
である。以下に、このフローチャートに沿って、自動配
置配線装置300の動作を説明する。自動配置配線装置
300を用いたレイアウトを実行するには、あらかじめ
ステップS61において、コンタクトルール21にコン
タクトセルCCのサイズに関するデータが付与される。
このとき、各コンタクトセルCCについて、それらが接
続すべき各レイヤの配線の方向を定義する。
FIG. 11 is a flow chart showing the main part of the flow of the layout work in the automatic placement and routing apparatus 300. The operation of the automatic placement and routing apparatus 300 will be described below with reference to this flowchart. In order to execute the layout using the automatic placement and routing apparatus 300, data regarding the size of the contact cell CC is added to the contact rule 21 in step S61 in advance.
At this time, for each contact cell CC, the wiring direction of each layer to which they are connected is defined.

【0084】図12にその定義の一例を示す。図12に
おいて、コンタクトセルCCはレイヤAの配線とレイヤ
Bの配線とを接続するものであり、コンタクトルール2
1には、レイヤAに配設される配線の方向DAとレイヤ
Bに配設される配線の方向DBとが定義される。すなわ
ち、配線方向DAおよび配線方向DBに関するデータ
が、コンタクトセルCCの形状に関するデータとともに
コンタクトセルCCに付随するデータとしてコンタクト
ルール21に書き込まれる。
FIG. 12 shows an example of the definition. In FIG. 12, the contact cell CC connects the wiring of layer A and the wiring of layer B, and the contact rule 2
1 defines a wiring direction DA arranged on the layer A and a wiring direction DB arranged on the layer B. That is, the data regarding the wiring direction DA and the wiring direction DB is written in the contact rule 21 as data accompanying the contact cell CC together with data regarding the shape of the contact cell CC.

【0085】また、ステップS61では、グリッド間隔
の大きさに関するデータも同時に付与される。さらに、
ネットリスト2、レイアウトセルデータ3、およびデザ
インルール6も、同様にあらかじめ準備される。
Further, in step S61, data regarding the size of the grid interval is also given at the same time. further,
Similarly, the netlist 2, the layout cell data 3, and the design rule 6 are prepared in advance.

【0086】つぎに、配置部1が動作することによっ
て、対象回路を構成する論理ブロックに対応するレイア
ウトセルが仮想的なレイアウト領域内に配置される(ス
テップS62)。
Then, the placement section 1 operates to place the layout cells corresponding to the logic blocks forming the target circuit in the virtual layout area (step S62).

【0087】つぎに、配線部17によってステップS6
3〜ステップS66の処理が実行される。まず、ステッ
プS63では配線の経路と幅が決定され、つづいてステ
ップS64においてコンタクトセルが配線上の必要な箇
所に配置される。これらの処理は従来の自動配置配線装
置600における配線部4の処理と同様である。
Then, the wiring section 17 performs step S6.
The processing from 3 to step S66 is executed. First, in step S63, the route and width of the wiring are determined, and subsequently, in step S64, contact cells are arranged at necessary locations on the wiring. These processes are the same as the processes of the wiring unit 4 in the conventional automatic placement and routing apparatus 600.

【0088】つづくステップS65では、配置されたコ
ンタクトセルに接続されている配線の方向と、コンタク
トルール21に記述される正しい配線方向との間の一致
性を検証する。図13〜図16は、このステップS65
の処理を説明する動作説明図である。
At the subsequent step S65, the matching between the direction of the wiring connected to the arranged contact cell and the correct wiring direction described in the contact rule 21 is verified. 13 to 16 show this step S65.
FIG. 8 is an operation explanatory diagram illustrating the processing of FIG.

【0089】図13に示すように、一つの配線の経路が
レイヤAの配線AWからレイヤBの配線BWへと至るよ
うに決定されている場合に、これらを接続すべきコンタ
クトセルCC(図12)は、ステップS64の処理によ
って例えば図14のように配置される。一方、図15に
示すように、配線AWと配線BWとが図13とは異なる
方向へ配設された場合には、コンタクトセルCCは図1
6に示すように配置される。
As shown in FIG. 13, when the route of one wiring is determined so as to extend from the wiring AW of the layer A to the wiring BW of the layer B, contact cells CC to which these are connected (FIG. 12). ) Are arranged as shown in FIG. 14 by the process of step S64. On the other hand, as shown in FIG. 15, when the wiring AW and the wiring BW are arranged in a direction different from that of FIG.
It is arranged as shown in FIG.

【0090】ステップS65では、ステップS64で配
置された各コンタクトセルCCについて、コンタクトル
ール21に記述される配線方向DA、DBと、コンタク
トセルCCに接続される配線AW、BWの方向とを比較
し、方向が一致しているか否かを判定する。
In step S65, the wiring directions DA and DB described in the contact rule 21 and the directions of the wirings AW and BW connected to the contact cell CC are compared for each contact cell CC arranged in step S64. , Determine whether the directions match.

【0091】例えば、図14のように配置されたコンタ
クトセルCCについては、配線方向DAと配線AWの方
向とが一致し、配線方向DBと配線BWの方向とが一致
している。すなわち、このコンタクトセルCCは正しい
方向に配置されている。このコンタクトセルCCについ
ては、方向が一致していると判定される。一方、図16
のように配置されたコンタクトセルCCについては、配
線方向DAと配線AWの方向が一致せず、また配線方向
DBと配線BWの方向も一致していない。すなわち、こ
のコンタクトセルCCは正しい方向に配置されていな
い。このコンタクトセルCCに対しては、方向が一致し
ていないと判定される。
For example, in the contact cell CC arranged as shown in FIG. 14, the wiring direction DA and the wiring AW are in the same direction, and the wiring direction DB and the wiring BW are in the same direction. That is, the contact cells CC are arranged in the correct direction. It is determined that the contact cells CC have the same direction. On the other hand, FIG.
Regarding the contact cells CC arranged as above, the wiring direction DA and the wiring AW do not match, and the wiring direction DB and the wiring BW do not match. That is, the contact cells CC are not arranged in the correct direction. It is determined that the directions of the contact cells CC do not match.

【0092】つづくステップS66では、方向が一致し
ないと判定されたコンタクトセルCCに対して、回転操
作を加えることによって方向の修正が行われる。例え
ば、図13のように配置されたコンタクトセルCCにつ
いては、方向が一致するとの判定がなされているので、
方向の修正は行われない。
In the subsequent step S66, the direction is corrected by applying a rotating operation to the contact cell CC determined to have the same direction. For example, since it is determined that the contact cells CC arranged as shown in FIG. 13 have the same direction,
No direction correction is done.

【0093】他方、図16のように配置されたコンタク
トセルCCについては、方向が一致しないとの判定が得
られているので、方向修正の対象とされる。すなわち、
コンタクトセルCCをまず90゜いずれかの方向に回転
させた後、ステップS65で行ったと同要領で方向の一
致性が判定される。以下、方向の一致が得られるまで、
90゜ずつの回転が逐次行なわれる。その結果、図17
に示すように、コンタクトセルCCは正しい方向に再配
置される。
On the other hand, with respect to the contact cells CC arranged as shown in FIG. 16, it is determined that the directions do not coincide with each other, and thus the contact cells CC are subjected to direction correction. That is,
After the contact cell CC is first rotated in either direction by 90 °, the direction coincidence is determined in the same manner as in step S65. Hereafter, until a direction match is obtained,
The rotation of 90 ° is sequentially performed. As a result, FIG.
The contact cells CC are rearranged in the correct direction, as shown in FIG.

【0094】ステップS66が終了すると、コンパクシ
ョン部5によってステップS67の処理、すなわちコン
パクション処理が実行される。この処理は、自動配置配
線装置600におけるコンパクション部5の処理と同要
領で行われる。
When step S66 ends, the compaction section 5 executes the process of step S67, that is, the compaction process. This process is performed in the same manner as the process of the compaction unit 5 in the automatic placement and routing apparatus 600.

【0095】以上のように、この実施例の自動配置配線
装置300では、配線部17によって、正しい方向に配
置されていないコンタクトセルCCに対して、その方向
が修正されるので、レイアウトデータ7において、すべ
てのコンタクトセルCCが正しい方向に配置される。こ
のため、レイアウトデータ7を手作業で修正するという
手間を要しない。すなわち、非能率な手作業による修正
を加えることなく、正しいレイアウトデータ7を得るこ
とができる。
As described above, in the automatic placement and routing apparatus 300 of this embodiment, the wiring section 17 corrects the direction of the contact cell CC which is not placed in the correct direction. , All contact cells CC are arranged in the correct direction. Therefore, it is not necessary to manually correct the layout data 7. That is, the correct layout data 7 can be obtained without making inefficient manual correction.

【0096】また、図18に示すように、張出し部P
A、PBを有するコンタクトセルCCに対して、配線方
向DA、DBを、それぞれ張出し部PA、PBとは反対
方向に定義することによって、張出し部PA、PBを有
するコンタクトセルCCを正しい方向に配置することが
可能である。
Further, as shown in FIG.
With respect to the contact cell CC having A and PB, the wiring directions DA and DB are defined in directions opposite to the overhang portions PA and PB, respectively, so that the contact cell CC having the overhang portions PA and PB is arranged in the correct direction. It is possible to

【0097】<第4実施例>つぎに、第4実施例につい
て説明する。図19はこの実施例の自動配置配線装置4
00の構成を示すブロック図である。自動配置配線装置
400は、幅広配線検索部27を備える点が、従来の自
動配置配線装置600とは特徴的に異なっている。
<Fourth Embodiment> Next, a fourth embodiment will be described. FIG. 19 shows the automatic placement and routing apparatus 4 of this embodiment.
It is a block diagram which shows the structure of 00. The automatic placement and routing apparatus 400 is characteristically different from the conventional automatic placement and routing apparatus 600 in that the automatic placement and routing apparatus 400 includes a wide wiring search unit 27.

【0098】幅広配線検索部27は、配線部4による配
線経路と配線幅の決定が終了して得られたレイアウトデ
ータにおいて、標準的な配線よりも配線幅の広い配線
(ここでは便宜上「幅広配線」と記載する)を検索する
装置部分である。そして、コンパクション部28は、通
常のコンパクション処理を実行するとともに、特に幅広
配線に対しては配線間隔が標準よりも広くなるようにレ
イアウトする。このため、デザインルール29には、標
準の配線に対する配線間隔の他に、幅広配線に対する配
線間隔、さらに幅広配線であるか否かの判定の際の比較
の対象とされる配線幅の基準値が記述される。
In the layout data obtained after the wiring unit 4 has determined the wiring route and the wiring width, the wide wiring searching unit 27 has a wiring having a wiring width wider than the standard wiring (here, "wide wiring" for convenience). It is a part of the apparatus for searching. Then, the compaction unit 28 performs a normal compaction process, and lays out the wiring so that the wiring interval becomes wider than the standard, especially for the wide wiring. Therefore, in the design rule 29, in addition to the wiring interval for the standard wiring, the wiring interval for the wide wiring, and the reference value of the wiring width to be compared when determining whether or not the wiring is the wide wiring. Described.

【0099】図20は、自動配置配線装置400におけ
るレイアウト作業の流れの主要部を示すフローチャート
である。以下に、このフローチャートに沿って、自動配
置配線装置400の動作を説明する。自動配置配線装置
400を用いたレイアウトを実行するには、あらかじめ
ステップS111において、標準配線の配線間隔に加え
て、幅広配線の配線間隔および幅広配線であるか否かの
判定の基準となる基準配線幅に関するデータがデザイン
ルール29へ付与される。これらの配線間隔および基準
配線幅は、一般にはレイヤ毎に付与される。また、ステ
ップS111では、ネットリスト2、レイアウトセルデ
ータ3、およびコンタクトルール20も、同様にあらか
じめ準備される。
FIG. 20 is a flow chart showing the main part of the flow of the layout work in the automatic placement and routing apparatus 400. The operation of the automatic placement and routing apparatus 400 will be described below with reference to this flowchart. In order to execute a layout using the automatic placement and routing apparatus 400, in step S111, in addition to the wiring interval of the standard wiring, the wiring interval of the wide wiring and the reference wiring serving as a reference for determining whether the wiring is the wide wiring or not. Data regarding the width is added to the design rule 29. These wiring intervals and reference wiring widths are generally given for each layer. Further, in step S111, the netlist 2, the layout cell data 3, and the contact rule 20 are similarly prepared in advance.

【0100】つぎに、配置部1が動作することによっ
て、対象回路を構成する論理ブロックに対応するレイア
ウトセルが仮想的なレイアウト領域内に配置される(ス
テップS112)。
Then, the placement unit 1 operates to place the layout cells corresponding to the logic blocks forming the target circuit in the virtual layout area (step S112).

【0101】つぎに、配線部4によってステップS11
3の処理が実行される。すなわち、配線の経路と幅が決
定され、さらにコンタクトセルが配線上の必要な箇所に
配置される。このステップS113おける処理は、自動
配置配線装置600における配線部4の処理と同様であ
る。
Next, the wiring unit 4 performs step S11.
Step 3 is executed. That is, the route and width of the wiring are determined, and the contact cell is arranged at a required position on the wiring. The process in step S113 is the same as the process of the wiring unit 4 in the automatic placement and routing apparatus 600.

【0102】つぎに、幅広配線検索部27によってステ
ップS114およびステップS115の処理が実行され
る。まず、ステップS114ではステップS113で配
設された配線を順次検索しつつ、その配線の幅がデザイ
ンルール29に記述される基準配線幅より大きいか否か
を判定する。その比較は、一般に配線が配設されるレイ
ヤ毎に個別に行われる。あるレイヤに配設される配線
が、そのレイヤにおける基準配線幅よりも大きければ、
その配線はそのレイヤにおいて幅広配線であると判定さ
れる。
Next, the wide wiring searching unit 27 executes the processing of steps S114 and S115. First, in step S114, it is determined whether or not the width of the wiring is larger than the reference wiring width described in the design rule 29 while sequentially searching the wiring arranged in step S113. The comparison is generally performed individually for each layer in which wiring is arranged. If the wiring placed in a layer is larger than the standard wiring width in that layer,
The wiring is determined to be a wide wiring in the layer.

【0103】つづいて、ステップS115では、幅広配
線と判定された配線に対して、デザインルール29に記
述される幅広配線の配線間隔を維持すべき旨を指示する
制約情報が付与される。また、幅広配線と判定されない
標準配線に対しては、デザインルール29に記述される
標準配線の配線間隔を維持すべき旨を指示する制約情報
が付与される。
Subsequently, in step S115, constraint information for instructing to maintain the wiring interval of the wide wiring described in the design rule 29 is added to the wiring determined to be the wide wiring. Further, for standard wiring not determined to be wide wiring, constraint information for instructing that the wiring interval of the standard wiring described in the design rule 29 should be maintained is added.

【0104】ステップS115が終了すると、コンパク
ション部28によってステップS116の処理、すなわ
ちコンパクション処理が実行される。このとき、コンパ
クション部28は、幅広配線検索部27によって付与さ
れた制約情報にもとづいて、標準配線と幅広配線,及び
幅広配線どうしとの間で別個の配線間隔が実現するよう
に配線のレイアウトを実行する。
Upon completion of step S115, the compaction section 28 executes the process of step S116, that is, the compaction process. At this time, the compaction unit 28 lays out the wirings based on the constraint information provided by the wide wiring search unit 27 so that separate wiring intervals are realized between the standard wirings and the wide wirings and between the wide wirings. Run.

【0105】図21および図22は、ステップS113
〜ステップS116の処理を説明する動作説明図であ
る。図21に示すように、ステップS113の処理によ
って、3本の配線Wa、Wb、Wcが、あるレイヤに配
設されたとする。そして、これらの中で、配線Waの幅
はそのレイヤにおける基準配線幅よりも大きく、他の配
線Wb、Wcは逆に小さいとする。
21 and 22 show step S113.
It is an operation explanatory view explaining the processing of to step S116. As shown in FIG. 21, it is assumed that the three wirings Wa, Wb, and Wc are arranged in a certain layer by the process of step S113. Then, among these, the width of the wiring Wa is larger than the reference wiring width in the layer, and the other wirings Wb and Wc are conversely smaller.

【0106】このとき、ステップS114における処理
によって、配線Waはそのレイヤにおける幅広配線であ
ると判定され、他の配線Wb、Wcは標準配線であると
判定される。その結果、ステップS115では、配線W
aにはそのレイヤにおける幅広配線の配線間隔DLが制
約情報として付与され、配線Wb、Wcにはそのレイヤ
における標準配線の配線間隔DS(ただし、DS<D
L)が制約情報として付与される。
At this time, by the processing in step S114, the wiring Wa is determined to be the wide wiring in that layer, and the other wirings Wb and Wc are determined to be the standard wiring. As a result, in step S115, the wiring W
The wiring distance DL of the wide wiring in the layer is given to a as constraint information, and the wiring distance DS of the standard wiring in the layer (where DS <D is given to the wirings Wb and Wc.
L) is added as constraint information.

【0107】ステップS116の処理では、これらの制
約情報が参照される結果、図22に示すように、配線W
aは配線間隔DLを維持するようにレイアウトされ、配
線Wb、Wcは配線間隔DSを維持するようにレイアウ
トされる。
In the processing of step S116, as a result of referring to these pieces of constraint information, as shown in FIG.
a is laid out so as to maintain the wiring interval DL, and the wirings Wb and Wc are laid out so as to maintain the wiring interval DS.

【0108】以上のように、この実施例の自動配置配線
装置400では、幅広配線検索部27およびコンパクシ
ョン部28の働きによって、幅広配線と標準配線とがそ
れぞれに好ましい異なる配線間隔を維持するように配線
のレイアウトが行われる。このため、レイアウトデータ
7を手作業で修正するという手間を要しない。すなわ
ち、非能率な手作業による修正を加えることなく、好ま
しいレイアウトデータ7を得ることができる。
As described above, in the automatic placement and routing apparatus 400 of this embodiment, the wide wiring search section 27 and the compaction section 28 work so that the wide wiring and the standard wiring maintain different preferable wiring intervals. The wiring layout is performed. Therefore, it is not necessary to manually correct the layout data 7. That is, it is possible to obtain the preferable layout data 7 without making an inefficient manual correction.

【0109】<第5実施例>つぎに、第5実施例につい
て説明する。図23はこの実施例の自動配置配線装置5
00の構成を示すブロック図である。自動配置配線装置
500が備える配線部30は、自動配置配線装置600
の配線部4と同様に、配置部1によって決定されたレイ
アウトセルの配置に対して、ネットリスト2およびレイ
アウトセルデータ3を参照しつつ配線の経路と幅を決定
するとともに、コンタクトルール20にもとづいて、コ
ンタクトセルを配線の経路内に必要に応じて配置する。
<Fifth Embodiment> Next, a fifth embodiment will be described. FIG. 23 shows the automatic placement and routing apparatus 5 of this embodiment.
It is a block diagram which shows the structure of 00. The wiring unit 30 included in the automatic placement and routing apparatus 500 is the automatic placement and routing apparatus 600.
Similar to the wiring section 4 of the wiring section 4, the wiring path and width are determined with reference to the netlist 2 and the layout cell data 3 for the layout cell arrangement determined by the arranging section 1, and based on the contact rule 20. Then, the contact cell is arranged in the route of the wiring as required.

【0110】配線部30はさらに、配線経路を決定する
際には、クロストーク性検証ルール31および出力イン
ピーダンスデータ32を参照することによって、クロス
トークノイズを与え易い配線と受け易い配線とが、可能
な限り同一レイヤ上で隣接せず、しかも異なるレイヤ間
で重なり合わないような配線経路を探索し決定する。配
線部30におけるこの特徴的な動作については後述す
る。
Further, when determining the wiring route, the wiring section 30 can refer to the crosstalk property verification rule 31 and the output impedance data 32 to enable wiring that easily gives crosstalk noise and wires that easily receive crosstalk noise. As much as possible, a wiring route that is not adjacent on the same layer and does not overlap between different layers is searched and determined. This characteristic operation of the wiring section 30 will be described later.

【0111】自動配置配線装置500はさらに、クロス
トーク性検証部33を備えている。クロストーク性検証
部33は、配線部30で不可避的に隣接または重なり合
って配設されたクロストークノイズを与え易い配線と受
け易い配線の組の中で、クロストーク性検証ルール31
およびデザインルール6を参照しつつ、クロストークノ
イズによる誤動作の危険があるか否かを判定する。そし
て、コンパクション部35は、従来のコンパクション部
5と同様のコンパクション動作とともに、クロストーク
性検証部33で誤動作の危険有りと判定された配線の組
について、それらの間の間隔を一定以上に引き離す機能
をも果たす。
The automatic placement and routing apparatus 500 further comprises a crosstalk property verification section 33. The crosstalk property verifying unit 33 includes a crosstalk property verifying rule 31 in a set of wires that easily inject crosstalk noise and wires that easily receive crosstalk noise that are inevitably arranged adjacently or overlapping in the wiring unit 30.
And referring to the design rule 6, it is determined whether there is a risk of malfunction due to crosstalk noise. Then, the compaction unit 35 performs a compaction operation similar to that of the conventional compaction unit 5 and a function of separating the set of wirings determined to be at risk of malfunction by the crosstalk property verification unit 33 by a certain distance or more. Also fulfill.

【0112】図24は、自動配置配線装置500におけ
るレイアウト作業の流れの主要部を示すフローチャート
である。以下に、このフローチャートに沿って、自動配
置配線装置500の動作を説明する。自動配置配線装置
500を用いたレイアウトを実行するには、あらかじめ
ステップS141において、レイアウトセルデータ3に
記述される各レイアウトセルの出力ピンを駆動するトラ
ンジスタの駆動能力を示す数値、例えばMOSトランジ
スタであればチャネルの幅Wと長さLの比(W/L)の
値が出力インピーダンスデータ32に付与される。
FIG. 24 is a flow chart showing the main part of the flow of the layout work in the automatic placement and routing apparatus 500. The operation of the automatic placement and routing apparatus 500 will be described below with reference to this flowchart. In order to execute the layout using the automatic placement and routing apparatus 500, in step S141, a numerical value indicating the driving capability of the transistor for driving the output pin of each layout cell described in the layout cell data 3, for example, a MOS transistor may be used. For example, the value of the ratio (W / L) of the width W and the length L of the channel is given to the output impedance data 32.

【0113】さらに、ステップS142において、クロ
ストークノイズの影響を与え易いと判断し得る出力ピン
の駆動能力の下限値(ここでは便宜上「強い基準値」と
称する)、逆にクロストークノイズの影響を受け易いと
判断し得る出力ピンの駆動能力の上限値(ここでは便宜
上「弱い基準値」と称する)、および、クロストークに
よる誤動作の危険の有無を判断する上での基準値(ここ
では便宜上「クロストーク基準値」と称する)が、クロ
ストーク性検証ルール31へ付与される。出力インピー
ダンスデータ32に付与される値がW/L値であれば、
「強い基準値」、「弱い基準値」は、ともにW/L値に
対する基準値として与えられる。
Further, in step S142, the lower limit value of the drive capability of the output pin (herein referred to as "strong reference value" for convenience) which can be judged to be susceptible to the influence of crosstalk noise, and conversely the influence of crosstalk noise. The upper limit value of the drive capability of the output pin that can be determined to be easily received (herein, referred to as “weak reference value” for convenience), and the reference value for determining whether there is a risk of malfunction due to crosstalk (here “Crosstalk reference value”) is added to the crosstalk property verification rule 31. If the value given to the output impedance data 32 is the W / L value,
Both the “strong reference value” and the “weak reference value” are given as reference values for the W / L value.

【0114】また、ステップS141またはS142で
は、ネットリスト2、レイアウトセルデータ3、コンタ
クトルール20、およびデザインルール6も、同様にあ
らかじめ準備される。
In step S141 or S142, the netlist 2, layout cell data 3, contact rule 20, and design rule 6 are similarly prepared in advance.

【0115】つぎに、配置部1が動作することによっ
て、対象回路を構成する論理ブロックに対応するレイア
ウトセルが仮想的なレイアウト領域内に配置される(ス
テップS143)。
Then, the placement section 1 operates to place the layout cells corresponding to the logic blocks forming the target circuit in the virtual layout area (step S143).

【0116】つぎに、配線部30によってステップS1
44の処理が実行される。すなわち、配線の経路と幅が
決定され、さらにコンタクトセルが配線上の必要な箇所
に配置される。このとき配線部30は、クロストーク性
検証ルール31と出力インピーダンスデータ32を参照
しつつ、配線間のクロストークを可能な限り抑えるよう
に配線を行う。
Then, the wiring unit 30 performs step S1.
The processing of 44 is executed. That is, the route and width of the wiring are determined, and the contact cell is arranged at a required position on the wiring. At this time, the wiring unit 30 performs wiring so as to suppress crosstalk between the wirings as much as possible while referring to the crosstalk property verification rule 31 and the output impedance data 32.

【0117】以下では、各レイアウトセルの出力ピンが
すべてMOSトランジスタで駆動される場合を例として
説明する。配線部30は、配線を行うにあたって、出力
インピーダンスデータ32に記述されるレイアウトセル
の出力ピンのW/L値を「強い基準値」および「弱い基
準値」と比較する。W/L値が「強い基準値」よりも大
きければ、その出力ピンはクロストークを与え易いピン
(ここでは便宜上「強いピン」と称する)であると判断
する。逆に、W/L値が「弱い基準値」よりも小さけれ
ば、その出力ピンはクロストークを受け易いピン(ここ
では便宜上「弱いピン」と称する)であると判断する。
「強い基準値」は「弱い基準値」よりも大きく設定され
るので、強いピンでも弱いピンでもない出力ピンも一般
に存在し得る。
In the following, the case where all the output pins of each layout cell are driven by MOS transistors will be described as an example. When performing the wiring, the wiring unit 30 compares the W / L value of the output pin of the layout cell described in the output impedance data 32 with the “strong reference value” and the “weak reference value”. If the W / L value is larger than the “strong reference value”, it is determined that the output pin is a pin that easily gives crosstalk (herein, referred to as “strong pin” for convenience). On the contrary, if the W / L value is smaller than the "weak reference value", it is determined that the output pin is a pin susceptible to crosstalk (referred to as "weak pin" here for convenience).
Since the "strong reference value" is set larger than the "weak reference value", there can generally be output pins that are neither strong nor weak.

【0118】配線部30は可能な範囲で、強いピンにつ
ながる配線と弱いピンにつながる配線とが同一レイヤ上
で隣接しないように、しかも、異なるレイヤの間で重な
らないように配線を行う。このように、ステップS14
4では、配線間のクロストークノイズを可能な限り低減
するように配慮した配線が実行される。
The wiring section 30 performs wiring so that a wiring connected to a strong pin and a wiring connected to a weak pin are not adjacent to each other on the same layer and the layers do not overlap each other within a possible range. In this way, step S14
In 4, the wiring is executed so as to reduce the crosstalk noise between the wirings as much as possible.

【0119】つぎに、クロストーク性検証部33によっ
てステップS145〜ステップS147の処理が実行さ
れる。まず、ステップS145では、ステップS144
による配線が完了して得られたレイアウトデータに対し
て、クロストークノイズの発生危険箇所が検索される。
すなわち、出力インピーダンスデータ32が記述する各
出力ピンのW/L値とクロストーク性検証ルール31が
記述する「強い基準値」および「弱い基準値」とを参照
することによって、レイアウトデータの中で強い配線と
弱い配線が隣接している箇所、または重なり合っている
箇所(すなわちクロストークノイズ発生危険箇所)を検
索する。
Next, the crosstalk property verifying section 33 executes the processes of steps S145 to S147. First, in step S145, step S144
With respect to the layout data obtained by completing the wiring by, crossing noise occurrence danger points are searched.
That is, by referring to the W / L value of each output pin described by the output impedance data 32 and the “strong reference value” and “weak reference value” described by the crosstalk property verification rule 31, Search for a location where strong wiring and weak wiring are adjacent to each other or where they overlap (that is, a location where crosstalk noise is likely to occur).

【0120】つぎに、ステップS146では、ステップ
S145の処理によって発見されたクロストークノイズ
発生危険箇所に相当する配線の組に対して、各配線の寄
生容量および各配線間の寄生容量を算出する。図25に
クロストークノイズ発生危険箇所に相当する配線の組の
例を示す。図25において、レイアウトセルLCxの出
力ピンは強いピンであり、レイアウトセルLCyは弱い
ピンである。そして、強いピンにつながる配線(強い配
線)Wxと弱いピンにつながる配線(弱い配線)Wyと
が隣接または重なり合っているものとする。
Next, in step S146, the parasitic capacitance of each wiring and the parasitic capacitance between each wiring are calculated with respect to the set of wirings corresponding to the crosstalk noise occurrence risk location discovered by the processing of step S145. FIG. 25 shows an example of a wiring set corresponding to a crosstalk noise occurrence danger point. In FIG. 25, the output pin of the layout cell LCx is a strong pin and the layout cell LCy is a weak pin. Then, it is assumed that the wiring (strong wiring) Wx connected to the strong pin and the wiring (weak wiring) Wy connected to the weak pin are adjacent to or overlap with each other.

【0121】クロストーク性検証部33は、ステップS
146において、強い配線Wxの寄生容量C1、弱い配
線Wyの寄生容量Cn、および強い配線Wxと弱い配線
Wyの間の寄生容量Cintを算出する。寄生容量Cint
算出のためには強い配線Wxと弱い配線Wyの間の配線
間隔の値が必要であるが、この値にはデザインルール6
が記述する最小配線間隔の値が使用される。
The crosstalk property verification section 33 determines in step S
At 146, the parasitic capacitance C 1 of the strong wiring Wx, the parasitic capacitance C n of the weak wiring Wy, and the parasitic capacitance C int between the strong wiring Wx and the weak wiring Wy are calculated. The value of the wiring interval between the strong wiring Wx and the weak wiring Wy is required to calculate the parasitic capacitance C int , and this value is the design rule 6
The minimum wire spacing value described by is used.

【0122】つづくステップS147では、配線部30
で不可避的に隣接または重なり合って配設された強い配
線Wxと弱い配線Wyの組に対して、クロストークノイ
ズによる誤動作の危険があるか否かを判定する。以下
に、図26に示す配線を例として、この判定の要領につ
いて説明する。
In the following step S147, the wiring section 30
Then, it is determined whether or not there is a risk of malfunction due to crosstalk noise with respect to the set of the strong wiring Wx and the weak wiring Wy which are inevitably arranged adjacent to each other or overlap each other. The procedure of this determination will be described below by taking the wiring shown in FIG. 26 as an example.

【0123】図26は、図25をより詳細に示したもの
であり、強い配線Wxがつながる強いピンは、NMOS
トランジスタTnxとPMOSトランジスタTpxとで駆動
され、弱い配線Wxがつながる弱いピンは、NMOSト
ランジスタTnyとPMOSトランジスタTpyとで駆動さ
れる。配線間の寄生容量Cintは寄生容量C2、C3の和
で与えられ、寄生容量C4は図25の寄生容量Cnに相当
する。
FIG. 26 shows FIG. 25 in more detail. The strong pin connected to the strong wiring Wx is an NMOS.
A weak pin driven by the transistor T nx and the PMOS transistor T px and connected to the weak wiring Wx is driven by the NMOS transistor T ny and the PMOS transistor T py . The parasitic capacitance C int between the wirings is given by the sum of the parasitic capacitances C 2 and C 3 , and the parasitic capacitance C 4 corresponds to the parasitic capacitance C n in FIG.

【0124】弱い配線Wyの信号がロウレベル(以下に
おいて「L」と記載する)であるときに、強い配線Wx
の信号が「L」からハイレベル(以下において「H」と
記載する)へと立ち上がったときの、各配線における信
号の波形を図27に模式的に示す。このとき、弱い配線
WyにつながるPMOSトランジスタTpyはオフ(遮断
状態)のままであり、NMOSトランジスタTnyはオン
(導通状態)のままである。また、強い配線Wxにつな
がるPMOSトランジスタTpxはオフからオンへと遷移
し、同時にNMOSトランジスタTnxはオンからオフへ
と遷移する。
When the signal of the weak wiring Wy is at low level (hereinafter referred to as "L"), the strong wiring Wx.
FIG. 27 schematically shows the waveform of the signal in each wiring when the signal in FIG. 6 rises from “L” to a high level (hereinafter referred to as “H”). At this time, the PMOS transistor T py connected to the weak wiring Wy remains off (blocking state), and the NMOS transistor T ny remains on (conducting state). Further, the PMOS transistor T px connected to the strong wiring Wx makes a transition from off to on, and at the same time, the NMOS transistor T nx makes a transition from on to off.

【0125】強い配線Wxの信号電圧Vxが「L」から
「H」へと立ち上がるときに、弱い配線Wyの信号電圧
Vyにクロストークノイズに起因する変動電圧Vrが現
れる。この変動電圧Vrの大きさは、電源電圧に相当す
る「L」と「H」の間の電圧Vに対して、数1で与えら
れる。
When the signal voltage Vx of the strong wiring Wx rises from "L" to "H", the variable voltage Vr resulting from the crosstalk noise appears in the signal voltage Vy of the weak wiring Wy. The magnitude of the fluctuating voltage Vr is given by Equation 1 with respect to the voltage V between “L” and “H” corresponding to the power supply voltage.

【0126】[0126]

【数1】 [Equation 1]

【0127】逆に、弱い配線Wyの信号が「H」である
ときに、強い配線Wxの信号が「H」から「L」へと立
ち下がったときの、各配線における信号の波形を図28
に模式的に示す。このとき、弱い配線WyにつながるP
MOSトランジスタTpyはオンのままであり、NMOS
トランジスタTnyはオフのままである。また、強い配線
WxにつながるPMOSトランジスタTpxはオンからオ
フへと遷移し、同時にNMOSトランジスタTnxはオフ
からオンへと遷移する。
On the contrary, when the signal of the weak wiring Wy is "H" and the signal of the strong wiring Wx falls from "H" to "L", the waveform of the signal in each wiring is shown in FIG.
Is schematically shown in. At this time, P connected to the weak wiring Wy
The MOS transistor T py remains on and the NMOS
The transistor Tny remains off. Further, the PMOS transistor T px connected to the strong wiring Wx makes a transition from on to off, and at the same time, the NMOS transistor T nx makes a transition from off to on.

【0128】強い配線Wxの信号電圧Vxが「H」から
「L」へと立ち下がるときに、弱い配線Wyの信号電圧
Vyにクロストークノイズに起因する変動電圧が現れ
る。「L」の電位を基準とした変動電圧Vfの大きさ
は、数2で与えられる。
When the signal voltage Vx of the strong wiring Wx falls from "H" to "L", a variable voltage due to crosstalk noise appears in the signal voltage Vy of the weak wiring Wy. The magnitude of the fluctuating voltage Vf based on the potential of “L” is given by Equation 2.

【0129】[0129]

【数2】 [Equation 2]

【0130】クロストーク性検証部33は、ステップS
147において、まずこれらの変動電圧Vr、Vfを算
出する。つぎに、クロストーク性検証ルール31に記述
されるクロストーク基準値とこれらの変動電圧Vr、V
fとを比較する。クロストーク性検証ルール31には、
変動電圧Vrと比較すべき基準電圧VH、および、変動
電圧Vfと比較すべき基準電圧VLが、クロストーク基
準値としてあらかじめ用意されている。
The crosstalk property verification section 33 determines in step S
At 147, these fluctuating voltages Vr and Vf are first calculated. Next, the crosstalk reference value described in the crosstalk property verification rule 31 and these fluctuation voltages Vr, V
Compare with f. The crosstalk property verification rule 31 includes
A reference voltage V H to be compared with the fluctuating voltage Vr and a reference voltage V L to be compared with the fluctuating voltage Vf are prepared in advance as crosstalk reference values.

【0131】数1に示すように、変動電圧Vrが基準電
圧VHよりも高ければ、強い配線Wxと弱い配線Wyの
組は、クロストークによる誤動作を引き起こす危険があ
る「エラー箇所」であると判定される。また、数2に示
すように、変動電圧Vfが基準電圧VLよりも低けれ
ば、強い配線Wxと弱い配線Wyの組が同じく「エラー
箇所」であると判定される。
As shown in Expression 1, if the fluctuation voltage Vr is higher than the reference voltage V H , the set of the strong wiring Wx and the weak wiring Wy is an “error point” that may cause a malfunction due to crosstalk. To be judged. Further, as shown in Equation 2, if the fluctuating voltage Vf is lower than the reference voltage V L, it is determined that the set of the strong wiring Wx and the weak wiring Wy is also the “error location”.

【0132】数1および数2は、強い配線と弱い配線の
間のクロストークノイズの大きさを、強い配線のレベル
遷移幅をこれらの配線間の容量によるリアクタンスと前
記他方配線の容量によるリアクタンスとで分圧した値と
して評価することを意味する。この評価は一種の近似で
あるが、3種類の容量のみを用いるために容易かつ迅速
な評価が可能である。しかも、レベル遷移の期間すなわ
ち配線上の信号の立ち上がり、または立ち下がりに要す
る期間は、例えば10nsec程度であり、殆ど瞬時に
立ち上がり、または立ち下がるので、この近似の精度は
実用上十分な程度に高い。
Equations 1 and 2 show the magnitude of crosstalk noise between the strong wiring and the weak wiring, the level transition width of the strong wiring as the reactance due to the capacitance between these wirings and the reactance due to the capacitance of the other wiring. It means to evaluate as a value divided by. This evaluation is a kind of approximation, but an easy and quick evaluation is possible because only three types of capacitance are used. In addition, the level transition period, that is, the period required for the signal to rise or fall on the wiring is, for example, about 10 nsec, and rises or falls almost instantaneously. Therefore, the accuracy of this approximation is sufficiently high for practical use. .

【0133】ステップS147の処理が終了すると、処
理はステップS148へと移行する。このステップS1
48では、コンパクション部35によるコンパクション
処理が行われる。コンパクション部35は、従来のコン
パクション部5と同様のコンパクション処理を実行する
とともに、クロストーク性検証部33で「エラー箇所」
と判定された配線の組について、それらの間の間隔をあ
らかじめ設定された所定の距離ないしそれ以上に引き離
す処理を実行する。「エラー箇所」と判定された配線の
組については、必要に応じて配線経路の変更も行われ
る。
When the process of step S147 ends, the process proceeds to step S148. This step S1
At 48, the compaction process is performed by the compaction unit 35. The compaction unit 35 performs the same compaction processing as the conventional compaction unit 5, and also causes the crosstalk property verification unit 33 to perform an “error part”.
With respect to the wiring set determined to be, a process of separating the interval between them to a predetermined distance set in advance or more is executed. For the set of wirings determined to be the “error location”, the wiring route is also changed as necessary.

【0134】以上のように、この実施例の自動配置配線
装置500では、配線部30によってクロストークノイ
ズの影響を低減するように配慮した配線処理がなされる
のに加えて、クロストーク性検証部33およびコンパク
ション部35の働きによって、クロストークノイズによ
る誤動作の危険のある配線の組に対してクロストークノ
イズを十分に低減すべく互いの距離を引き離す処理が加
えられる。
As described above, in the automatic placement and routing apparatus 500 of this embodiment, the wiring section 30 performs the wiring processing so as to reduce the influence of the crosstalk noise, and the crosstalk property verification section. By the functions of 33 and the compaction section 35, a process of separating the mutual wirings in which there is a risk of malfunction due to crosstalk noise in order to sufficiently reduce the crosstalk noise is added.

【0135】このため、配線間のクロストークノイズに
よる誤動作の危険のないレイアウトデータ7が得られ
る。特に、クロストーク性検証部33で検出の対象とさ
れるのは、配線部30で不可避的に隣接ないし重複する
強い配線と弱い配線の組に限られるので、レイアウトが
短時間で能率よく行われる。
Therefore, the layout data 7 can be obtained without the risk of malfunction due to the crosstalk noise between the wirings. In particular, the target of detection by the crosstalk property verification unit 33 is limited to a set of a strong wiring and a weak wiring which are inevitably adjacent or overlapped in the wiring unit 30, so that the layout is efficiently performed in a short time. .

【0136】この自動配置配線装置500を用いること
によって、クロストークノイズによる誤動作を回避する
ためにレイアウトデータ7を手作業で修正するという手
間が省かれる。すなわち、非能率な手作業による修正を
加えることなく、好ましいレイアウトデータ7を得るこ
とができる。
By using this automatic placement and routing apparatus 500, the labor of manually correcting the layout data 7 in order to avoid malfunction due to crosstalk noise is saved. That is, it is possible to obtain the preferable layout data 7 without making an inefficient manual correction.

【0137】<第6実施例>第3実施例の自動配置配線
装置300において、以下のような処理を付加的に行う
ように配線部17を構成してもよい。
<Sixth Embodiment> In the automatic placement and routing apparatus 300 of the third embodiment, the wiring section 17 may be configured to additionally perform the following processing.

【0138】ステップS63(図11)における配線処
理の結果、図29に示すように、配線AWと配線BWと
がコンタクトルール21に準備されたコンタクトセルC
C(図12)に適しない方向で接続される場合がある。
このとき、配線部17は、例えば図30に示すように接
続部における配線AWまたは配線BWの方向を変更する
処理を付加的に行う。
As a result of the wiring process in step S63 (FIG. 11), as shown in FIG. 29, the contact cell C in which the wiring AW and the wiring BW are prepared in the contact rule 21.
It may be connected in a direction not suitable for C (FIG. 12).
At this time, the wiring section 17 additionally performs a process of changing the direction of the wiring AW or the wiring BW in the connection section as shown in FIG. 30, for example.

【0139】この付加的な処理は、例えばステップS6
6におけるコンタクトセルの90゜ずつの回転処理を3
回まで全て行っても、コンタクトセルの方向と配線の方
向とが一致しない場合に、接続部において配線AWまた
は配線BWの少なくとも一方を90゜回転し、再びステ
ップS66の処理を行なうことによって実現し得る。そ
して、方向の一致が得られるまで、接続部における配線
AWまたは配線BWの少なくとも一方の回転が続けられ
る。
This additional processing is performed, for example, in step S6.
Rotate the contact cell by 90 ° in 6 in 3
If the contact cell direction and the wiring direction do not match even after performing all the times, it is realized by rotating at least one of the wiring AW and the wiring BW by 90 ° at the connection portion and performing the process of step S66 again. obtain. Then, the rotation of at least one of the wiring AW and the wiring BW in the connection portion is continued until the directions are matched.

【0140】あるいは、コンタクトルール21に記述さ
れるコンタクトセルの形状にもとづいて、ステップS6
3の配線処理の中で、コンタクトセルの形状に見合うよ
うに配線AW、BWの配線を実行してもよい。
Alternatively, based on the shape of the contact cell described in the contact rule 21, step S6
In the wiring process No. 3, the wirings AW and BW may be performed so as to match the shape of the contact cell.

【0141】以上のように自動配置配線装置300を構
成することによって、コンタクトセルで接続すべき一組
の配線の方向を手作業で修正することなく、コンタクト
セルが常に正しい方向に配置される。
By configuring the automatic placement and routing apparatus 300 as described above, the contact cells are always placed in the correct direction without manually correcting the direction of the set of wirings to be connected by the contact cells.

【0142】[0142]

【発明の効果】第1の発明の装置では、一旦配置された
コンタクトセルに対して、所定の条件に沿ってその配置
が修正されるので、正しい条件あるいは好ましい条件を
付与することによって、コンタクトセルが正しくあるい
は好ましく配置されたレイアウト結果が手作業なしで得
られる。
In the device of the first aspect of the present invention, since the placement of the contact cell once placed is modified according to a predetermined condition, the contact cell is provided by giving a correct condition or a preferable condition. A layout result in which is correctly or preferably arranged can be obtained without manual work.

【0143】第2の発明の装置では、一旦配置されたコ
ンタクトセルに対して、その原点がグリッドに一致する
ようにコンタクトセルの配置が修正される。このため、
原点がグリッドに一致するようにコンタクトセルが配置
されたレイアウト結果が、手作業を経ることなく得られ
る。
In the device of the second aspect of the invention, the arrangement of contact cells is corrected so that the origin of the contact cells once arranged coincides with the grid. For this reason,
A layout result in which the contact cells are arranged so that the origin coincides with the grid can be obtained without manual work.

【0144】第3の発明の装置では、いずれかの辺の長
さがグリッドの間隔の奇数倍であるコンタクトセルを探
索し、見いだされたコンタクトセルが移動の対象とされ
る。原点がグリッドからずれているコンタクトセルは、
いずれかの辺の長さがグリッドの間隔の奇数倍であるコ
ンタクトセルに限られるので、コンタクトセルの配置修
正が効率よく行われる。
In the device of the third aspect of the present invention, a contact cell in which the length of any one of the sides is an odd multiple of the grid interval is searched for, and the found contact cell is set as the movement target. Contact cells whose origin is off the grid
Since the length of one of the sides is limited to the contact cell having an odd multiple of the grid interval, the layout of the contact cell can be efficiently corrected.

【0145】第4の発明では、コンタクトセルは位置修
正手段の働きによって、接続すべき配線に対して指定さ
れた方向に配置される。このため、正しい方向にコンタ
クトセルが配置されたレイアウトが実現するので、手作
業による修正を省くことができる。
In the fourth invention, the contact cells are arranged in the designated direction with respect to the wiring to be connected by the function of the position correcting means. Therefore, a layout in which the contact cells are arranged in the correct direction is realized, and manual correction can be omitted.

【0146】第5の発明の装置では、グリッドがマトリ
クス状に配列するとともに、中心線がグリッドに沿うよ
うに配線が配設されるので、接続すべき配線が正しい角
度で交差している限り、コンタクトセルを90゜ずつ回
転する中で、接続すべき配線に対して指定された方向へ
のコンタクトセルの配置が必ず実現する。正しい方向に
コンタクトセルが配置されたレイアウト結果が得られる
ので、手作業による修正を省くことができる。
In the device of the fifth invention, since the grids are arranged in a matrix and the wirings are arranged so that the center lines are along the grids, as long as the wirings to be connected intersect at a correct angle, While the contact cells are rotated by 90 °, the contact cells are always arranged in the specified direction with respect to the wiring to be connected. Since a layout result in which the contact cells are arranged in the correct direction is obtained, it is possible to omit manual correction.

【0147】第6の発明の装置では、接続すべき配線が
正しい角度で交差していなくても、正しい角度で交差す
るようにコンタクトセルの近傍での配線の方向が修正さ
れる。このため、指定された方向へのコンタクトセルの
正しい配置が必ず実現する。
In the device of the sixth invention, even if the wirings to be connected do not intersect at the correct angle, the wiring directions near the contact cells are corrected so that they intersect at the correct angle. Therefore, the correct arrangement of the contact cells in the designated direction is always realized.

【0148】第7の発明の装置では、接続すべき配線が
正しい角度で交差していなくても、正しい角度で交差す
るようにコンタクトセルの近傍での配線の方向が修正さ
れる。このため、指定された方向へのコンタクトセルの
正しい配置が必ず実現する。
In the device of the seventh invention, even if the wirings to be connected do not intersect at the correct angle, the wiring directions near the contact cells are corrected so that they intersect at the correct angle. Therefore, the correct arrangement of the contact cells in the designated direction is always realized.

【0149】第8の発明の装置では、コンタクトセル
が、指定された方向とは反対側に張出し部を有するの
で、レイアウト対象回路が微細化プロセスの対象となっ
たときに、「丸め」によるコンタクトセルに減肉が生じ
ても接続不良などの故障を防止できる。
In the device of the eighth invention, since the contact cell has the projecting portion on the side opposite to the designated direction, when the layout target circuit is the target of the miniaturization process, the contact by "rounding" is performed. Even if the cells are thinned, it is possible to prevent failures such as poor connection.

【0150】第9の発明の装置では、標準配線と幅広配
線とに対してそれぞれ個別に付与された配線間隔をもっ
てレイアウトが行われる。このため、幅広配線では標準
配線よりも広い配線間隔でレイアウトされた望ましいレ
イアウト結果を得ることが可能である。したがって、手
作業によって配線間隔を修正する必要がない。
In the device of the ninth aspect of the invention, the standard wiring and the wide wiring are laid out at the wiring intervals individually provided. Therefore, it is possible to obtain a desired layout result in which the wide wiring is laid out at a wider wiring interval than the standard wiring. Therefore, it is not necessary to manually correct the wiring interval.

【0151】第10の発明の装置では、複数の配線層毎
に標準配線と幅広配線の区別が行われ、複数の配線層毎
に個別の配線間隔をもって標準配線と幅広配線のレイア
ウトが行われる。すなわち、各配線層に適した配線間隔
で配線が配設されたレイアウト結果を得ることができ
る。
In the device of the tenth aspect of the invention, the standard wiring and the wide wiring are distinguished for each of the plurality of wiring layers, and the standard wiring and the wide wiring are laid out at individual wiring intervals for each of the plurality of wiring layers. That is, it is possible to obtain a layout result in which wirings are arranged at wiring intervals suitable for each wiring layer.

【0152】第11の発明の装置では、クロストーク性
検証手段によって、クロストークノイズが所定の限度を
超えて大きい配線の組が検出され、コンパクション手段
によって、その配線の組に対しては配線間隔が大きく設
定される。その結果、その配線間のクロストークノイズ
は減少する。すなわちこの装置では、すべての配線につ
いてクロストークノイズが低く抑えられたレイアウト結
果が得られる。このため、クロストークノイズを抑える
ためにクロストークノイズが発生しそうな配線を手作業
で探し出す手間、さらにその配線間の間隔を手作業で修
正する手間が省かれる。
In the device of the eleventh invention, the crosstalk property verifying means detects a set of wirings having a large crosstalk noise exceeding a predetermined limit, and the compacting means detects a wiring interval for the wiring set. Is set to a large value. As a result, crosstalk noise between the wirings is reduced. That is, with this device, a layout result in which the crosstalk noise is suppressed low for all wirings can be obtained. Therefore, in order to suppress the crosstalk noise, it is possible to save the trouble of manually searching for the wiring in which the crosstalk noise is likely to occur, and the time of manually correcting the interval between the wirings.

【0153】第12の発明の装置では、可能な範囲で強
い配線と弱い配線とが隣接および重複しないように配線
の経路が決定された上で、不可避的に隣接または重複す
る配線の組の中からクロストークノイズが所定の限度を
超えて大きい配線の組が検出される。すなわち、検出の
対象があらかじめ絞り込まれるので、すべての配線につ
いてクロストークノイズを低く抑えたレイアウトが短時
間で能率よく行われる。
In the device of the twelfth invention, the wiring route is determined so that the strong wiring and the weak wiring do not adjoin or overlap each other within a possible range, and then, in the set of wirings inevitably adjacent or overlapping. From this, a set of wirings whose crosstalk noise exceeds a predetermined limit and is large is detected. That is, since the objects to be detected are narrowed down in advance, the layout in which the crosstalk noise is suppressed low for all the wirings can be efficiently performed in a short time.

【0154】第13の発明の装置では、配線間のクロス
トークノイズの大きさが、一方配線のレベル遷移幅をこ
れらの配線間の容量によるリアクタンスと他方配線の容
量によるリアクタンスとで分圧した値として評価され
る。このため、クロストークノイズの評価が容易であ
り、しかもレベル遷移期間の短いレイアウト対象回路で
は評価の精度が高い。
In the device of the thirteenth invention, the magnitude of crosstalk noise between wirings is a value obtained by dividing the level transition width of one wiring by the reactance due to the capacitance between these wirings and the reactance due to the capacitance of the other wiring. Be evaluated as. Therefore, the crosstalk noise can be easily evaluated, and the accuracy of the evaluation is high in the layout target circuit having a short level transition period.

【0155】第14の発明の装置では、可能な範囲で強
い配線と弱い配線とが隣接および重複しないように配線
の経路が決定される。このため、クロストークノイズの
影響の少ないレイアウト結果が得られる。
In the device of the fourteenth invention, the wiring route is determined so that the strong wiring and the weak wiring do not adjoin or overlap each other within a possible range. Therefore, a layout result that is less affected by crosstalk noise can be obtained.

【0156】第15の発明の装置では、出力ピンを駆動
する駆動素子の駆動能力を所定の基準値と比較すること
によって、出力ピンが強いピンと弱いピンとに識別され
る。このため、強いピンと弱いピンへの識別が容易に行
われる。
In the device of the fifteenth invention, by comparing the driving capability of the driving element for driving the output pin with a predetermined reference value, the output pin is discriminated as a strong pin or a weak pin. Therefore, the strong pin and the weak pin can be easily distinguished.

【0157】第16の発明の装置では、駆動素子を構成
するMOSトランジスタのチャネル幅とチャネル長との
比で駆動能力が評価される。このため、駆動能力の評価
が容易に行われる。
In the device of the sixteenth invention, the driving ability is evaluated by the ratio of the channel width and the channel length of the MOS transistor which constitutes the driving element. Therefore, the driving ability can be easily evaluated.

【図面の簡単な説明】[Brief description of drawings]

【図1】 第1実施例の装置のブロック図である。FIG. 1 is a block diagram of an apparatus according to a first embodiment.

【図2】 第1実施例の処理手順を示すフローチャート
である。
FIG. 2 is a flowchart showing a processing procedure of the first embodiment.

【図3】 第1実施例の装置の動作を示す動作説明図で
ある。
FIG. 3 is an operation explanatory view showing the operation of the apparatus of the first embodiment.

【図4】 第1実施例の装置の動作を示す動作説明図で
ある。
FIG. 4 is an operation explanatory view showing the operation of the apparatus of the first embodiment.

【図5】 第1実施例の装置の動作を示す動作説明図で
ある。
FIG. 5 is an operation explanatory view showing the operation of the apparatus of the first embodiment.

【図6】 第1実施例の装置の動作を示す動作説明図で
ある。
FIG. 6 is an operation explanatory view showing the operation of the apparatus of the first embodiment.

【図7】 第1実施例の装置の動作を示す動作説明図で
ある。
FIG. 7 is an operation explanatory view showing the operation of the apparatus of the first embodiment.

【図8】 第2実施例の装置のブロック図である。FIG. 8 is a block diagram of an apparatus according to a second embodiment.

【図9】 第2実施例の処理手順を示すフローチャート
である。
FIG. 9 is a flowchart showing a processing procedure of the second embodiment.

【図10】 第3実施例の装置のブロック図である。FIG. 10 is a block diagram of an apparatus according to a third embodiment.

【図11】 第3実施例の処理手順を示すフローチャー
トである。
FIG. 11 is a flowchart showing a processing procedure of a third embodiment.

【図12】 第3実施例の装置の動作を示す動作説明図
である。
FIG. 12 is an operation explanatory view showing the operation of the apparatus of the third embodiment.

【図13】 第3実施例の装置の動作を示す動作説明図
である。
FIG. 13 is an operation explanatory view showing the operation of the device of the third embodiment.

【図14】 第3実施例の装置の動作を示す動作説明図
である。
FIG. 14 is an operation explanatory view showing the operation of the device of the third embodiment.

【図15】 第3実施例の装置の動作を示す動作説明図
である。
FIG. 15 is an operation explanatory view showing the operation of the device of the third embodiment.

【図16】 第3実施例の装置の動作を示す動作説明図
である。
FIG. 16 is an operation explanatory view showing the operation of the device of the third embodiment.

【図17】 第3実施例の装置の動作を示す動作説明図
である。
FIG. 17 is an operation explanatory view showing the operation of the device of the third embodiment.

【図18】 第3実施例の装置の動作を示す動作説明図
である。
FIG. 18 is an operation explanatory view showing the operation of the apparatus of the third embodiment.

【図19】 第4実施例の装置のブロック図である。FIG. 19 is a block diagram of an apparatus according to a fourth embodiment.

【図20】 第4実施例の処理手順を示すフローチャー
トである。
FIG. 20 is a flowchart showing the processing procedure of the fourth embodiment.

【図21】 第4実施例の装置の動作を示す動作説明図
である。
FIG. 21 is an operation explanatory view showing the operation of the apparatus of the fourth embodiment.

【図22】 第4実施例の装置の動作を示す動作説明図
である。
FIG. 22 is an operation explanatory view showing the operation of the apparatus of the fourth embodiment.

【図23】 第5実施例の装置のブロック図である。FIG. 23 is a block diagram of a device according to a fifth embodiment.

【図24】 第5実施例の処理手順を示すフローチャー
トである。
FIG. 24 is a flowchart showing the processing procedure of the fifth embodiment.

【図25】 第5実施例の装置の動作を示す動作説明図
である。
FIG. 25 is an operation explanatory view showing the operation of the apparatus of the fifth embodiment.

【図26】 第5実施例の装置の動作を示す動作説明図
である。
FIG. 26 is an operation explanatory view showing the operation of the device of the fifth embodiment.

【図27】 第5実施例の装置の動作を示す動作説明図
である。
FIG. 27 is an operation explanatory view showing the operation of the device of the fifth embodiment.

【図28】 第5実施例の装置の動作を示す動作説明図
である。
FIG. 28 is an operation explanatory view showing the operation of the apparatus of the fifth embodiment.

【図29】 第6実施例の装置の動作を示す動作説明図
である。
FIG. 29 is an operation explanatory view showing the operation of the apparatus of the sixth embodiment.

【図30】 第6実施例の装置の動作を示す動作説明図
である。
FIG. 30 is an operation explanatory view showing the operation of the apparatus of the sixth embodiment.

【図31】 従来の装置のブロック図である。FIG. 31 is a block diagram of a conventional device.

【図32】 従来の装置の動作を示す動作説明図であ
る。
FIG. 32 is an operation explanatory view showing the operation of the conventional apparatus.

【図33】 従来の装置の動作を示す動作説明図であ
る。
FIG. 33 is an operation explanatory view showing the operation of the conventional device.

【図34】 従来の装置の動作を示す動作説明図であ
る。
FIG. 34 is an operation explanatory view showing the operation of the conventional device.

【図35】 従来の装置の動作を示す動作説明図であ
る。
FIG. 35 is an operation explanatory diagram showing the operation of the conventional apparatus.

【図36】 従来の装置の動作を示す動作説明図であ
る。
FIG. 36 is an operation explanatory view showing the operation of the conventional device.

【図37】 従来の装置の動作を示す動作説明図であ
る。
FIG. 37 is an operation explanatory view showing the operation of the conventional device.

【図38】 従来の装置の動作を示す動作説明図であ
る。
FIG. 38 is an operation explanatory view showing the operation of the conventional device.

【図39】 従来の装置の動作を示す動作説明図であ
る。
FIG. 39 is an operation explanatory view showing the operation of the conventional device.

【図40】 従来の装置の動作を示す動作説明図であ
る。
FIG. 40 is an operation explanatory view showing the operation of the conventional apparatus.

【符号の説明】[Explanation of symbols]

1 配置部、4,12,17,30 配線部、5,2
8,35 コンパクション部、23 コンタクトセル検
索部、24 コンタクトセル移動処理部、27幅広配線
検索部、33 クロストーク性検証部。
1 Arrangement part, 4, 12, 17, 30 Wiring part, 5, 2
8,35 compaction section, 23 contact cell search section, 24 contact cell movement processing section, 27 wide wiring search section, 33 crosstalk property verification section.

Claims (16)

【特許請求の範囲】[Claims] 【請求項1】 レイアウト対象回路のレイアウトを行う
自動配置配線装置において、 複数配線層にわたって前記レイアウト対象回路の配線を
配設する配線手段と、 相異なる配線層にまたがって配設された配線同士が重な
る部位にこれらの配線同士を接続するコンタクトセルを
配置するコンタクトセル配置手段と、 当該コンタクトセル配置手段によって配置されたコンタ
クトセルに対して、付与された所定の条件を満たすよう
にその配置を修正するコンタクトセル配置修正手段と、
を備えることを特徴とする自動配置配線装置。
1. An automatic placement and routing apparatus for laying out a circuit to be laid out, wherein wiring means for arranging the wiring of the circuit to be laid out over a plurality of wiring layers and wirings arranged over different wiring layers. The contact cell arranging means for arranging the contact cells connecting these wirings in the overlapping part and the contact cells arranged by the contact cell arranging means are corrected so that the given conditions are satisfied. Contact cell arrangement correcting means for
An automatic placement and routing device comprising:
【請求項2】 請求項1に記載の自動配置配線装置にお
いて、 前記配線手段は、規則的に配列したグリッド上に前記配
線の中心線が沿うように当該配線を配設し、 前記コンタクトセル配置手段は、接続すべき配線の中心
線が交差する部位に前記コンタクトセルの中心が重なる
ように当該コンタクトセルを配置し、 前記コンタクトセル配置修正手段は、前記コンタクトセ
ル配置手段によって配置された前記コンタクトセルに対
して、当該コンタクトセルに付随するとともにその位置
指定の基準点として機能する原点が最寄りのグリッドに
一致するように、当該コンタクトセルを移動させるこ
と、を特徴とする自動配置配線装置。
2. The automatic placement and routing apparatus according to claim 1, wherein the wiring unit arranges the wiring so that a center line of the wiring is arranged on a regularly arranged grid, and the contact cell arrangement is provided. The means arranges the contact cell such that the centers of the contact cells overlap each other at a position where the center lines of wirings to be connected intersect, and the contact cell arrangement correcting means arranges the contact arranged by the contact cell arrangement means. An automatic placement and routing apparatus, wherein a contact cell is moved so that an origin attached to the contact cell and functioning as a reference point for specifying the position of the cell coincides with a nearest grid.
【請求項3】 請求項2に記載の自動配置配線装置にお
いて、 前記グリッドがマトリクス状に配列しており、 前記コンタクトセルの形状が長方形であってしかも各辺
の長さが前記グリッドの間隔の整数倍であって、その原
点が長方形の四隅の一つで定義されており、 前記コンタクトセル配置修正手段は、いずれかの辺の長
さが前記グリッドの間隔の奇数倍であるコンタクトセル
を検索し、検索によって見いだされたコンタクトセルに
対して、その原点が最寄りのグリッドに一致するよう
に、当該コンタクトセルを移動させること、を特徴とす
る自動配置配線装置。
3. The automatic placement and routing apparatus according to claim 2, wherein the grids are arranged in a matrix, the contact cells are rectangular in shape, and the length of each side is equal to the grid interval. It is an integer multiple and its origin is defined by one of the four corners of the rectangle, and the contact cell arrangement correcting means searches for a contact cell whose length of any side is an odd multiple of the grid interval. Then, with respect to the contact cell found by the search, the contact cell is moved so that its origin coincides with the nearest grid.
【請求項4】 請求項1に記載の自動配置配線装置にお
いて、 前記コンタクトセルには、接続すべき各配線に面する方
向が指定されており、 前記コンタクトセル配置修正手段は、前記コンタクトセ
ルに指定された通りの方向に各配線が面するように、当
該コンタクトセルの向きを変更すること、を特徴とする
自動配置配線装置。
4. The automatic placement and routing apparatus according to claim 1, wherein a direction facing each wiring to be connected is specified in the contact cell, and the contact cell placement correction means sets the contact cell in the contact cell. An automatic placement and routing apparatus, characterized in that the direction of the contact cell is changed so that each wiring faces a specified direction.
【請求項5】 請求項4に記載の自動配置配線装置にお
いて、 前記配線手段は、マトリクス状に配列したグリッド上に
前記配線の中心線が沿うように当該配線を配設し、 前記コンタクトセル配置修正手段は、前記コンタクトセ
ルが接続すべき各配線が前記コンタクトセルに指定され
た通りの方向に面するまで、当該コンタクトセルを90
゜ずつ回転すること、を特徴とする自動配置配線装置。
5. The automatic placement and routing apparatus according to claim 4, wherein the wiring unit arranges the wiring so that a center line of the wiring is arranged on a grid arranged in a matrix, and the contact cell arrangement is provided. The correcting means keeps the contact cells 90 until each wiring to be connected to the contact cells faces in the direction as specified by the contact cell.
An automatic placement and routing device characterized by rotating in degrees.
【請求項6】 請求項5に記載の自動配置配線装置にお
いて、 前記コンタクトセルの回転を3回行っても、当該コンタ
クトセルによって接続されるべき各配線が当該コンタク
トセルに指定された通りの方向に面することがない場合
に、これらの配線の少なくとも一つの方向を当該コンタ
クトセルの回りに90゜ずつ変更する配線方向変更手段
を、さらに備えることを特徴とする自動配置配線装置。
6. The automatic placement and routing apparatus according to claim 5, wherein even if the contact cell is rotated three times, each wiring to be connected by the contact cell is in a direction as specified in the contact cell. The automatic placement and routing apparatus further comprising wiring direction changing means for changing at least one direction of these wirings by 90 ° around the contact cell when the wiring does not face the wiring.
【請求項7】 請求項4に記載の自動配置配線装置にお
いて、 前記コンタクトセルに指定された方向とは合致し得ない
角度で、当該コンタクトセルが接続すべき配線同士が交
差している場合に、これらの配線の少なくとも一つの方
向を当該コンタクトセルの近傍において変更する配線方
向変更手段を、さらに備えることを特徴とする自動配置
配線装置。
7. The automatic placement and routing apparatus according to claim 4, wherein wirings to be connected to the contact cells intersect at an angle that cannot match the direction designated for the contact cells. An automatic placement and routing apparatus further comprising wiring direction changing means for changing at least one direction of these wirings in the vicinity of the contact cell.
【請求項8】 請求項4に記載の自動配置配線装置にお
いて、 前記コンタクトセルは、指定された方向とは反対側に張
出し部を有すること、を特徴とする自動配置配線装置。
8. The automatic placement and routing apparatus according to claim 4, wherein the contact cell has a projecting portion on a side opposite to a designated direction.
【請求項9】 レイアウト対象回路のレイアウトを行う
自動配置配線装置において、 レイアウト対象回路の配線の経路と幅とを決定する配線
手段と、 前記配線手段で決定された配線の中で、所定の基準値よ
りも幅の大きい配線である幅広配線を検索する幅広配線
検索手段と、 幅広配線とそうでない標準配線の配線間隔、及び幅広配
線どうしの配線間隔が、それぞれの配線に対して個別に
付与された所定の値となるように、前記幅広配線および
前記標準配線のレイアウトを実行するコンパクション手
段と、を備えることを特徴とする自動配置配線装置。
9. An automatic placement and routing apparatus for laying out a layout target circuit, wherein wiring means for determining a wiring route and width of the layout target circuit, and a predetermined reference among the wirings determined by the wiring means. Wide wiring search means for searching wide wiring that is wider than the value, wiring spacing between wide wiring and standard wiring that is not, and wiring spacing between wide wiring are individually assigned to each wiring. And a compaction unit that executes the layout of the wide wiring and the standard wiring so that the predetermined value is obtained.
【請求項10】 請求項9に記載の自動配置配線装置に
おいて、 前記配線手段が、レイアウト対象回路の配線の経路と幅
とを複数の配線層にわたって決定し、 前記所定の基準値が前記複数の配線層毎に個別に付与さ
れており、 前記所定の値が前記複数の配線層毎に個別に付与されて
おり、 前記幅広配線検索手段は、前記複数の配線層毎に個別に
付与された前記所定の基準値にもとづいて、前記幅広配
線を前記複数の配線層毎に検索すること、を特徴とする
自動配置配線装置。
10. The automatic placement and routing apparatus according to claim 9, wherein the wiring unit determines a wiring path and a width of a layout target circuit over a plurality of wiring layers, and the predetermined reference value is the plurality of wiring layers. It is individually assigned to each wiring layer, the predetermined value is individually assigned to each of the plurality of wiring layers, the wide wiring search means, the predetermined value is individually assigned to each of the plurality of wiring layers An automatic placement and routing apparatus, wherein the wide wiring is searched for each of the plurality of wiring layers based on a predetermined reference value.
【請求項11】 レイアウト対象回路のレイアウトを行
う自動配置配線装置において、 レイアウト対象回路を構成する機能素子を配置する配置
手段と、 前記機能素子の出力ピンおよび入力ピンにつながる配線
の経路と幅とを決定する配線手段と、 前記配線手段で決定された配線の中から、一方配線の信
号のレベル遷移にともなって他方配線に所定の限度を超
える信号変動が誘起される配線の組を検出するクロスト
ーク性検証手段と、 前記クロストーク性検証手段で検出された配線の組に対
しては、標準の配線間隔よりも広い配線間隔をもってレ
イアウトを実行するコンパクション手段と、を備える自
動配置配線装置。
11. An automatic placement and routing apparatus for laying out a circuit to be laid out, arranging means for arranging functional elements constituting the circuit to be laid out, and paths and widths of wirings connected to output pins and input pins of the functional element. And a cross for detecting, from among the wirings determined by the wiring means, a pair of wirings in which a signal fluctuation exceeding a predetermined limit is induced in the other wiring due to the level transition of the signal of one wiring. An automatic placement and routing apparatus comprising: a talkability verifying means; and a compaction means for performing a layout with respect to a set of wirings detected by the crosstalk verifying means with a wiring interval wider than a standard wiring interval.
【請求項12】 請求項11に記載の自動配置配線装置
において、 前記機能素子の出力ピンに対して、クロストークノイズ
を与え易いピンである強いピンとクロストークノイズを
受け易いピンである弱いピンとを識別する識別手段、を
さらに備え、 前記配線手段は、配線の経路が存在する範囲で、前記強
いピンにつながる配線である強い配線と前記弱いピンに
つながる配線である弱い配線とが同一配線層上で隣接し
ないように、しかも異なる配線層上で重複しないよう
に、配線の経路を決定し、 前記クロストーク性検証手段は、前記配線手段で決定さ
れた配線の中で不可避的に隣接または重複する強い配線
と弱い配線の組を選択し、その中から、強い配線の信号
のレベル遷移にともなって弱い配線に所定の限度を超え
る信号変動が誘起される配線の組を検出すること、を特
徴とする自動配置配線装置。
12. The automatic placement and routing apparatus according to claim 11, wherein a strong pin that is a pin that easily gives crosstalk noise and a weak pin that is a pin that easily receives crosstalk noise are output pins of the functional element. Identification means for identifying is further provided, wherein the wiring means includes a strong wiring that is a wiring connected to the strong pin and a weak wiring that is a wiring connected to the weak pin on the same wiring layer in a range where a wiring path exists. So as not to be adjacent to each other and not to overlap on different wiring layers, and the crosstalk property verification means inevitably adjoins or overlaps among the wires determined by the wiring means. A pair of strong wiring and weak wiring is selected, and a signal fluctuation exceeding a predetermined limit is induced in the weak wiring due to the level transition of the signal of the strong wiring. An automatic placement and routing device characterized by detecting a set of wiring.
【請求項13】 請求項11に記載の自動配置配線装置
において、 前記クロストーク性検証手段は、前記一方配線の信号の
レベル遷移にともなう前記他方配線の信号変動の大きさ
を、前記一方配線のレベル遷移幅をこれらの配線間の容
量によるリアクタンスと前記他方配線の容量によるリア
クタンスとで分圧した値として評価すること、を特徴と
する自動配置配線装置。
13. The automatic placement and routing apparatus according to claim 11, wherein the crosstalk property verifying unit determines the magnitude of the signal fluctuation of the other wiring due to the level transition of the signal of the one wiring of the one wiring. An automatic placement and routing apparatus, wherein the level transition width is evaluated as a value obtained by dividing the reactance due to the capacitance between these wirings and the reactance due to the capacitance between the other wirings.
【請求項14】 レイアウト対象回路のレイアウトを行
う自動配置配線装置において、 レイアウト対象回路を構成する機能素子を配置する配置
手段と、 前記機能素子の出力ピンおよび入力ピンにつながる配線
を配設する配線手段と、 前記機能素子の出力ピンに対して、クロストークノイズ
を与え易いピンである強いピンとクロストークノイズを
受け易いピンである弱いピンとを識別する識別手段と、
を備え、 前記配線手段は、配線の経路が存在する範囲で、前記強
いピンにつながる配線と前記弱いピンにつながる配線と
が同一配線層上で隣接しないように、しかも異なる配線
層上で重複しないように配線を配設すること、を特徴と
する自動配置配線装置。
14. An automatic placement and routing apparatus for laying out a circuit to be laid out, laying out means for arranging functional elements constituting the circuit to be laid out, and wiring for arranging wiring connected to output pins and input pins of the functional element. Means for identifying, with respect to the output pin of the functional element, a strong pin that is a pin that easily gives crosstalk noise and a weak pin that is a pin that easily receives crosstalk noise;
The wiring means is configured such that the wiring connected to the strong pin and the wiring connected to the weak pin are not adjacent to each other on the same wiring layer and do not overlap on different wiring layers within a range where a wiring route exists. An automatic placement and routing device characterized by arranging wiring as described above.
【請求項15】 請求項12または請求項14に記載の
自動配置配線装置において、 前記識別手段が、前記出力ピンを駆動する前記機能素子
内の駆動素子の駆動能力が第1基準値よりも大きければ
当該出力ピンを強い出力ピンとして識別し、前記出力ピ
ンを駆動する前記機能素子内の駆動素子の駆動能力が第
2基準値よりも小さければ当該出力ピンを弱い出力ピン
として識別し、しかも、前記第1基準値は前記第2基準
値よりも大きいこと、を特徴とする自動配置配線装置。
15. The automatic placement and routing apparatus according to claim 12 or 14, wherein the identifying unit has a driving capability of a driving element in the functional element that drives the output pin to be larger than a first reference value. For example, the output pin is identified as a strong output pin, and if the driving capability of the driving element in the functional element that drives the output pin is smaller than the second reference value, the output pin is identified as a weak output pin, and The automatic placement and routing apparatus, wherein the first reference value is larger than the second reference value.
【請求項16】 請求項15に記載の自動配置配線装置
において、 前記識別手段が、前記駆動素子を構成するMOSトラン
ジスタのチャネル幅とチャネル長との比で前記駆動能力
を評価すること、を特徴とする自動配置配線装置。
16. The automatic placement and routing apparatus according to claim 15, wherein the identifying means evaluates the driving capability by a ratio of a channel width and a channel length of a MOS transistor which constitutes the driving element. Automatic placement and routing equipment.
JP6267001A 1994-10-31 1994-10-31 Automatic arranging and wiring device Pending JPH08129570A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP6267001A JPH08129570A (en) 1994-10-31 1994-10-31 Automatic arranging and wiring device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP6267001A JPH08129570A (en) 1994-10-31 1994-10-31 Automatic arranging and wiring device

Publications (1)

Publication Number Publication Date
JPH08129570A true JPH08129570A (en) 1996-05-21

Family

ID=17438686

Family Applications (1)

Application Number Title Priority Date Filing Date
JP6267001A Pending JPH08129570A (en) 1994-10-31 1994-10-31 Automatic arranging and wiring device

Country Status (1)

Country Link
JP (1) JPH08129570A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007072562A1 (en) * 2005-12-22 2007-06-28 Fujitsu Limited Noise checking method and apparatus, and computer readable recording medium with noise checking program recorded therein
US7587696B2 (en) 2003-05-22 2009-09-08 Nec Electronics Corporation Semiconductor device, layout method and apparatus and program

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7587696B2 (en) 2003-05-22 2009-09-08 Nec Electronics Corporation Semiconductor device, layout method and apparatus and program
WO2007072562A1 (en) * 2005-12-22 2007-06-28 Fujitsu Limited Noise checking method and apparatus, and computer readable recording medium with noise checking program recorded therein
US7882466B2 (en) 2005-12-22 2011-02-01 Fujitsu Limited Noise checking method and apparatus, and computer-readable recording medium in which noise checking program is stored
JP5092752B2 (en) * 2005-12-22 2012-12-05 富士通株式会社 Noise check method and apparatus, and computer-readable recording medium recording a noise check program

Similar Documents

Publication Publication Date Title
US10083269B2 (en) Computer implemented system and method for generating a layout of a cell defining a circuit component
US8516428B2 (en) Methods, systems, and media to improve manufacturability of semiconductor devices
US6862723B1 (en) Methodology of generating antenna effect models for library/IP in VLSI physical design
US7509616B2 (en) Integrated circuit layout design system, and method thereof, and program
JPH07153845A (en) Preparation of integrated circuit structure
JP2003516588A (en) Integrated circuit improvements
US6502229B2 (en) Method for inserting antenna diodes into an integrated circuit design
JPH07321211A (en) Method for forming interconnection in semiconductor integrated circuit
TW202123056A (en) Electrical circuit design using cells with metal lines
US20090288054A1 (en) Method and apparatus for hierarchical design of semiconductor integrated circuit
US6097884A (en) Probe points and markers for critical paths and integrated circuits
US10002224B2 (en) Interactive routing of connections in circuit using auto welding and auto cloning
US7073142B2 (en) Wiring diagram verifying method, program, and apparatus
JPH08129570A (en) Automatic arranging and wiring device
WO2000023920A1 (en) Approach for routing an integrated circuit
JP2006155524A (en) Verification method, verification device and verification program for semiconductor integrated circuit
JPH10173058A (en) Method for arrangement and interconnection
JP3157732B2 (en) Interactive wiring pattern creation system
US20020026625A1 (en) Method for dividing a terminal in automatic interconnect routing processing, a computer program for implementing same, and an automatic interconnect routing processor using the method
JPH06125007A (en) Verifying method for layout data of semiconductor device
US20060030965A1 (en) Method and apparatus for automating VLSI modifications made after routing has been performed
JPH06349947A (en) Mask pattern designing method and device of semiconductor integrated circuit device
CN116911247A (en) Wiring method capable of automatically avoiding obstacle
JP2000124320A (en) Method and device for verifying layout
US20200020464A1 (en) Power Rail Stitching Technique