JPH07130721A - Treatment equipment - Google Patents

Treatment equipment

Info

Publication number
JPH07130721A
JPH07130721A JP5294479A JP29447993A JPH07130721A JP H07130721 A JPH07130721 A JP H07130721A JP 5294479 A JP5294479 A JP 5294479A JP 29447993 A JP29447993 A JP 29447993A JP H07130721 A JPH07130721 A JP H07130721A
Authority
JP
Japan
Prior art keywords
wafer
boat
heat treatment
coating
holding means
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP5294479A
Other languages
Japanese (ja)
Other versions
JP3118681B2 (en
Inventor
Michihiro Nanbu
光寛 南部
Nariaki Iida
成昭 飯田
Hideaki Goto
英昭 後藤
Masanori Tateyama
正規 建山
Yuji Yoshimoto
裕二 吉本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Tokyo Electron Kyushu Ltd
Original Assignee
Tokyo Electron Ltd
Tokyo Electron Kyushu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd, Tokyo Electron Kyushu Ltd filed Critical Tokyo Electron Ltd
Priority to JP05294479A priority Critical patent/JP3118681B2/en
Priority to US08/331,083 priority patent/US5565034A/en
Priority to KR1019940028108A priority patent/KR100272188B1/en
Priority to TW083110112A priority patent/TW320741B/zh
Publication of JPH07130721A publication Critical patent/JPH07130721A/en
Priority to US08/692,436 priority patent/US5725664A/en
Priority to US08/692,286 priority patent/US6054181A/en
Application granted granted Critical
Publication of JP3118681B2 publication Critical patent/JP3118681B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Abstract

PURPOSE:To raise the yield rate and the throughput of products by performing continuously application and heat treatment of substances to be treated. CONSTITUTION:An interface part 40 is arranged between an application treatment part 20 for applying an SOG solution sheet by sheet on wafers W supplied from a load/unload part 10, and a heat treatment part 30 for conveying a plurality of wafers W after the application holding them with a wafer boat 41 and heat-treating them. And in the interface part 40, a boat liner 46 is provided for putting a plurality of wafer boats 41 on in a removable state and moving them simultaneously. And a boat transferring mechanism 35 is provided in a heat treatment part 30 for transferring the wafer boats 41 between the boat liner 46 and a heating furnace side. Consequently, it becomes possible to simultaneously convey a plurality of substances to be treated, having been treated sheet by sheet in an application treatment part, to the heat treatment part 30, and perform heat treatment.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】この発明は、被処理体の表面に塗
布膜を形成する処理装置に関するものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a processing apparatus for forming a coating film on the surface of an object to be processed.

【0002】[0002]

【従来の技術】一般に、半導体デバイスの製造工程にお
いて、例えば半導体ウエハ(以下にウエハという)等の
被処理体の表面上にフォトリソグラフィー技術を用いて
所定の回路パターンの転写を行っている。
2. Description of the Related Art Generally, in a semiconductor device manufacturing process, a predetermined circuit pattern is transferred onto the surface of an object to be processed such as a semiconductor wafer (hereinafter referred to as a wafer) by using a photolithography technique.

【0003】また、近年の回路パターンの集積度の向上
に伴い、回路配線の多層化が進んでおり、このような多
層配線構造においては、下層配線の凹凸を可及的に少な
くすることが肝要であり、そのため、下層配線と上層配
線との間を相互に絶縁するための層間絶縁膜を平坦化す
るための技術が必要である。
Also, with the recent increase in the degree of integration of circuit patterns, the number of circuit wirings is increasing. In such a multilayer wiring structure, it is important to reduce the unevenness of the lower layer wiring as much as possible. Therefore, there is a need for a technique for flattening the interlayer insulating film for mutually insulating the lower layer wiring and the upper layer wiring.

【0004】そこで、従来では、層間絶縁膜を平坦化す
る方法として、塗布ガラス[SOG;Spin On Glass]
を用いる方法が知られている。このSOG膜塗布方法
は、膜となる成分(例えばシラノール化合物(Si(O
H)4))と溶媒(例えばエチルアルコール)とを混合
した処理液(溶液)を被処理体であるウエハ上に塗布
し、熱処理で溶媒を蒸発させ重合反応を進めて絶縁膜を
形成する技術である。具体的には、まず、ウエハをスピ
ンチャック上に載置させて、ウエハを回転(2000〜
6000rpm)させながら、ウエハ上にSOGの溶液
を滴下して塗布してSOG膜を形成する。次に、プレヒ
ート工程で100〜140℃の温度下で熱処理すること
によって溶媒を蒸発した後、加熱装置内にウエハを搬入
して約400℃の温度下で熱処理することにより、SO
G膜をシロキサン結合している。また、SOG膜を多層
に形成する場合には、ウエハ上にSOG溶液を塗布して
溶媒を蒸発する工程を繰り返して行った後に、塗布後の
ウエハを加熱装置内に搬入して熱処理するか、あるい
は、ウエハ上にSOG溶液を塗布して溶媒を蒸発した
後、加熱装置内に搬入して熱処理を行う工程を繰り返し
て多層のSOG膜を形成している。
Therefore, conventionally, as a method for flattening the interlayer insulating film, coated glass [SOG; Spin On Glass] is used.
A method using is known. In this SOG film coating method, a film component (for example, a silanol compound (Si (O
H) 4)) and a treatment liquid (solution) mixed with a solvent (for example, ethyl alcohol) are applied on the wafer to be treated, and the solvent is evaporated by heat treatment to promote the polymerization reaction and form an insulating film. Is. Specifically, first, the wafer is placed on a spin chuck, and the wafer is rotated (2000 to
An SOG film is formed by dropping and applying a solution of SOG on the wafer while rotating the wafer at 6000 rpm. Next, in a preheating process, the solvent is evaporated by heat treatment at a temperature of 100 to 140 ° C., and then the wafer is loaded into a heating device and heat treated at a temperature of about 400 ° C.
The G film is siloxane-bonded. In the case of forming the SOG film in multiple layers, after repeating the steps of applying the SOG solution on the wafer and evaporating the solvent, the applied wafer is carried into a heating device for heat treatment, or Alternatively, a step of applying a SOG solution onto a wafer to evaporate the solvent, and then carrying it into a heating device and performing heat treatment is repeated to form a multilayer SOG film.

【0005】[0005]

【発明が解決しようとする課題】ところで、ウエハ表面
にSOG溶液を塗布する塗布処理工程においては、上述
したように、ウエハを回転させながらウエハ表面にSO
G溶液を滴下して拡散させるスピンコート法によって1
枚のウエハごとにSOG溶液を塗布する枚葉処理が行わ
れている。また、塗布後のウエハを加熱処理する熱処理
工程においては、作業能率の面で複数枚のウエハをウエ
ハボートのような保持手段にて保持すると共に加熱装置
内に搬入して行うバッチ処理が適している。そのため、
従来では枚葉処理の塗布処理工程とバッチ処理の熱処理
工程とをそれぞれ別の装置で行っている。
By the way, in the coating process of coating the SOG solution on the surface of the wafer, as described above, the SO is deposited on the surface of the wafer while rotating the wafer.
1 by spin coating method in which G solution is dropped and diffused
Single-wafer processing is performed in which the SOG solution is applied to each wafer. Further, in the heat treatment step of heat-treating the coated wafer, batch processing in which a plurality of wafers are held by a holding means such as a wafer boat and carried into a heating device is suitable in terms of work efficiency. There is. for that reason,
Conventionally, the coating treatment process of the single-wafer treatment and the heat treatment process of the batch treatment are performed by different devices.

【0006】しかしながら、塗布処理工程と熱処理工程
とを別の装置で行うことは、設置スペースを広くする必
要があるばかりか、塗布処理後に一旦塗布装置の外に被
処理体を搬送した後に熱処理装置に搬入するため、処理
効率の低下を招くという問題あった。更には、塗布処理
後に被処理体を大気に晒すと、塗布面に有機物や微細な
ごみ等が付着して歩留まりの低下をきたす虞れがあり、
これを解決するためには塗布処理後の被処理体の管理に
細心の注意を施す必要がある。
[0006] However, performing the coating treatment step and the heat treatment step by different apparatuses not only requires a large installation space, but also heat treatment apparatus after the object to be treated is once transported out of the coating apparatus after the coating treatment. However, there is a problem in that the processing efficiency is lowered because it is carried in. Furthermore, if the object to be treated is exposed to the air after the coating treatment, there is a risk that organic matter, fine dust, or the like will adhere to the coating surface, resulting in a decrease in yield.
In order to solve this, it is necessary to pay close attention to the management of the object to be processed after the coating process.

【0007】この発明は上記事情に鑑みなされたもの
で、被処理体の塗布処理と加熱処理を連続して行うこと
を可能とし、製品歩留まりの向上とスループットの向上
を図れるようにした処理装置を提供しようとするもので
ある。
The present invention has been made in view of the above circumstances, and provides a processing apparatus capable of continuously performing a coating process and a heating process on an object to be processed, thereby improving product yield and throughput. It is the one we are trying to provide.

【0008】[0008]

【課題を解決するための手段】上記目的を達成するため
に、この発明の第1の処理装置は、枚葉処理により被処
理体に処理液を塗布する塗布処理部と、上記処理液を塗
布後の複数枚の被処理体を保持手段にて保持して加熱処
理する熱処理部と、上記塗布処理部と熱処理部間、上記
被処理体を搬送するインターフェイス部とを具備し、上
記インターフェイス部に、上記保持手段を着脱可能に載
置すると共に複数の保持手段を同時に移動する移動手段
を設け、上記熱処理部に、上記移動手段と加熱装置との
間で上記保持手段の受け渡しを司る移送手段を設けてな
ることを特徴とするものである。
In order to achieve the above object, a first processing apparatus of the present invention comprises a coating processing section for coating a processing liquid on an object to be processed by single-wafer processing, and a coating processing solution for coating the above processing liquid. A heat treatment unit for holding and heat-treating a plurality of subsequent objects to be processed by a holding unit, an interface unit for conveying the objects to be processed between the coating processing unit and the heat treatment unit, and the interface unit. And a transfer means for detachably mounting the holding means and simultaneously moving a plurality of holding means, and a transfer means for transferring the holding means between the moving means and the heating device in the heat treatment section. It is characterized by being provided.

【0009】この発明において、上記移動手段上には少
なくとも複数の保持手段が着脱可能に載置されていれば
よいが、好ましくは移動手段上に、保持手段が保有でき
る被処理体の枚数に満たない不足分のを補充するダミー
用被処理体を収容するダミー用被処理体の保持手段を載
置する方がよい。
In the present invention, at least a plurality of holding means may be removably mounted on the moving means, but it is preferable that the number of objects to be processed that the holding means can hold on the moving means. It is better to mount a holding means for the dummy processing target object that accommodates the dummy processing target object that replenishes the lacking amount.

【0010】また、上記移動手段上に保持手段を載置す
る形態として、移動手段上の同心円上に適宜間隔をおい
て立設する耐薬品及び耐食性の固定ピン上に保持手段を
載置する方が好ましい。この場合、移動手段側に保持手
段の有無検出手段及び/又は位置ずれ検出手段を設ける
方が好ましい。また、移動手段側に保持手段の転倒を防
止する転倒防止部材を設けておく方が好ましい。
Further, as a mode of placing the holding means on the moving means, a method of placing the holding means on a chemical-resistant and corrosion-resistant fixed pin standing upright at appropriate intervals on concentric circles on the moving means. Is preferred. In this case, it is preferable to provide the holding means presence / absence detection means and / or the positional deviation detection means on the moving means side. Further, it is preferable to provide a fall prevention member for preventing the holding means from falling over on the moving means side.

【0011】また、上記移動手段又は保持手段に、保持
手段に保持される被処理体の適正位置を検出する検出手
段を設ける法が好ましい。この場合、被処理体の位置ず
れを修正する手段として、保持手段に対して被処理体を
搬入・搬出する搬入・搬出機構を用いること方が好まし
い。
Further, it is preferable that the moving means or the holding means is provided with a detecting means for detecting the proper position of the object to be processed held by the holding means. In this case, it is preferable to use a carry-in / carry-out mechanism for carrying in / out the object to be processed with respect to the holding means, as a means for correcting the positional deviation of the object to be processed.

【0012】また、この発明の第2の処理装置は、枚葉
処理により被処理体に処理液を塗布する塗布処理部と、
上記処理液を塗布後の複数枚の被処理体を保持手段にて
保持して加熱処理する熱処理部と、上記塗布処理部と熱
処理部間、上記被処理体を搬送するインターフェイス部
とを具備し、上記インターフェイス部に、上記保持手段
を複数(n)配設し、上記被処理体供給部に、n−1個
の保持手段が保有する枚数の被処理体を配備してなるこ
とを特徴とするものである。
The second processing apparatus of the present invention includes a coating processing section for coating the processing liquid on the object to be processed by single-wafer processing,
The heat treatment unit holds a plurality of objects to be treated after applying the treatment liquid by a holding means and heat-treats, and an interface unit that conveys the objects to be treated between the application treatment unit and the heat treatment unit. A plurality of (n) holding means are arranged in the interface section, and the number of objects to be processed held by n-1 holding means is arranged in the object supply section. To do.

【0013】[0013]

【作用】上記のように構成されるこの発明の処理装置に
よれば、塗布処理部で枚葉処理により塗布処理された被
処理体をインターフェイス部の保持手段に所定枚数搬入
し、そして、移動手段を移動して被処理体を保持した保
持手段を所定距離移動した後、熱処理部の移送手段によ
って保持手段を加熱装置内に搬入して適宜温度下で被処
理体をバッチ処理により加熱処理することができる。加
熱処理された被処理体は再びインターフェイス部内に搬
送され、保持手段から一枚ずつ搬出されて外部に取り出
されるか、あるいは、再度、塗布処理工程に搬送されて
塗布処理が施される。したがって、被処理体の塗布処理
と加熱処理をインターフェイス部を介して連続して行う
ことができるので、スループットの向上が図れ、また、
塗布処理された被処理体は外部の大気に晒されることが
ないので、被処理体に有機物や微細ごみ等が付着するこ
とがなく、製品歩留まりの向上を図ることができる。
According to the processing apparatus of the present invention configured as described above, a predetermined number of the objects to be processed, which have been subjected to the single-wafer processing by the coating processing section, are carried into the holding means of the interface section, and then the moving means After moving the holding means holding the object to be processed by a predetermined distance, the holding means is carried into the heating device by the transfer means of the heat treatment section and the object to be processed is batch-heated at an appropriate temperature. You can The heat-treated objects are again conveyed into the interface section, and are carried out one by one from the holding means and taken out to the outside, or they are again conveyed to the coating step and subjected to the coating treatment. Therefore, it is possible to continuously perform the coating process and the heating process of the object to be processed through the interface section, so that the throughput can be improved, and
Since the coated object is not exposed to the outside air, organic matter, fine dust, etc. do not adhere to the object, and the product yield can be improved.

【0014】また、移動手段上に、ダミー用被処理体を
収容するダミー用被処理体の保持手段を載置することに
より、保持手段に被処理体を搬入する際、保持手段が保
有できる枚数に満たない時、不足枚数分だけ不足部分に
ダミー用被処理体を搬入して、常時保持手段が保有可能
な枚数分の被処理体及びダミー用被処理体を保持手段に
て保持して熱処理することができるので、被処理体の熱
処理を均一に行うことができ、塗布膜の均一化を図るこ
とができる。
Further, by placing the holding means for holding the dummy processing object that accommodates the dummy processing object on the moving means, the number of sheets that the holding means can hold when carrying the processing object into the holding means. If the number of objects to be processed is not enough, the dummy processing objects are carried into the insufficient parts by the insufficient number of objects, and the number of objects to be processed and dummy object objects to be held by the holding means are held by the holding means at all times for heat treatment. Therefore, the heat treatment of the object to be processed can be performed uniformly, and the coating film can be made uniform.

【0015】また、インターフェイス部に、上記保持手
段を複数(n)配設し、被処理体供給部に、n−1個の
保持手段が保有する枚数の被処理体を配備することによ
り、予備の保持手段に塗布処理済みの被処理体を収容し
て待機させることができるので、複数回塗布処理を行う
ことが可能となる。また、保持手段を洗浄する場合や交
換する場合においても装置全体の稼働を停止することな
く塗布処理及び加熱処理を連続して行うことができる。
In addition, a plurality (n) of the above-mentioned holding means are arranged in the interface section, and the number of objects to be processed held by n-1 holding means is arranged in the object supply section, so that preliminary Since the object to be treated which has been subjected to the coating treatment can be accommodated in the holding means and made to stand by, it becomes possible to perform the coating treatment a plurality of times. Further, even when the holding means is washed or replaced, the coating process and the heating process can be continuously performed without stopping the operation of the entire apparatus.

【0016】[0016]

【実施例】以下にこの発明の実施例を添付図面に基いて
詳細に説明する。ここでは、この発明の処理装置をSO
G塗布・加熱装置に適用した場合について説明する。図
1はSOG塗布・加熱装置の概略平面図、図2はその概
略斜視図が示されている。
Embodiments of the present invention will be described in detail below with reference to the accompanying drawings. Here, the processing apparatus of the present invention is SO
The case of application to a G coating / heating device will be described. FIG. 1 is a schematic plan view of the SOG coating / heating apparatus, and FIG. 2 is a schematic perspective view thereof.

【0017】上記SOG塗布・加熱装置は、被処理体例
えば半導体ウエハW(以下にウエハという)を搬入(供
給)又は搬出するロード・アンロード部10(ウエハ供
給部)と、搬送手段であるウエハ搬送アーム21により
搬送されるウエハWを枚葉処理により処理液としてのS
OG溶液をウエハWに塗布する塗布処理部20と、SO
G溶液を塗布後の複数枚のウエハWをウエハボート41
(保持手段)にて収納保持して加熱装置である加熱炉3
1内に搬送し加熱処理する熱処理部30と、塗布処理部
20と熱処理部30間、ウエハWを搬送するインターフ
ェイス部40とで主要部が構成されている。
The SOG coating / heating apparatus described above includes a load / unload unit 10 (wafer supply unit) for carrying in (supplying) or unloading an object to be processed, for example, a semiconductor wafer W (hereinafter referred to as a wafer), and a wafer serving as a carrier. The wafer W transferred by the transfer arm 21 is treated as a processing liquid by the single-wafer processing.
A coating processing unit 20 for coating the wafer W with the OG solution;
A plurality of wafers W coated with the G solution are attached to the wafer boat 41.
A heating furnace 3 which is a heating device housed and held by (holding means)
The heat treatment section 30 that carries the wafer W into one and heat-treats it, the coating section 20 and the heat treatment section 30, and the interface section 40 that carries the wafer W constitute the main part.

【0018】上記ロード・アンロード部10は、未処理
のウエハWを収容するウエハカセット11と、処理後の
ウエハWを収容するウエハカセット12を載置台13上
に直線状に載置し、これらウエハカセット11,12の
開口側にX,Y(水平),Z(上下)方向に移動自在な
ウエハ搬送用ピンセット14を配設してなる。このよう
に構成されるロード・アンロード部10において、ピン
セット14によってウエハカセット11から取り出され
た未処理のウエハWは、中央部付近の受渡し位置に搬送
されて塗布処理部20の搬送手段であるウエハ搬送アー
ム21に受け渡されて塗布処理が施される。また、塗布
及び加熱処理されたウエハWがウエハ搬送アーム21に
保持されて上記受渡し位置まで搬送されると、その処理
済のウエハWをピンセット14にて受け取って処理済用
ウエハカセット12内にウエハWを搬送するようになっ
ている。
The loading / unloading unit 10 linearly mounts a wafer cassette 11 containing an unprocessed wafer W and a wafer cassette 12 containing a processed wafer W on a mounting table 13. Wafer transfer tweezers 14 that are movable in the X, Y (horizontal) and Z (vertical) directions are provided on the opening side of the wafer cassettes 11 and 12. In the load / unload unit 10 thus configured, the unprocessed wafer W taken out from the wafer cassette 11 by the tweezers 14 is transferred to the delivery position near the central portion and is a transfer unit of the coating processing unit 20. The wafer is transferred to the wafer transfer arm 21 and applied. Further, when the coated and heat-treated wafer W is held by the wafer transfer arm 21 and transferred to the delivery position, the processed wafer W is received by the tweezers 14 and is placed in the processed wafer cassette 12. It is designed to carry W.

【0019】上記塗布処理部20は、X方向に伸びる搬
送路22に沿って移動自在でかつY方向,θ方向及びZ
方向に昇降可能なウエハ搬送アーム21を具備してな
り、搬送路22に関して対向する一方の側には塗布前の
ウエハWを所定の温度まで冷却するクーリング機構23
と、塗布後のウエハWを所定温度(例えば100〜14
0℃)まで加熱してSOG溶液中の溶媒を蒸発する多段
に複数個積層されたベーク機構24とを配設し、また、
対向する他方の側にはクーリング機構23で冷却された
ウエハWの表面に処理液としてのSOG溶液を供給例え
ば滴下して塗布する塗布機構25と、SOG溶液等の薬
品タンク(図示せず)を収容する収容室26とを配設し
てなる。
The coating processing section 20 is movable along a conveying path 22 extending in the X direction and also in the Y direction, the θ direction and the Z direction.
A wafer transfer arm 21 that can move up and down in a direction is provided, and a cooling mechanism 23 that cools the uncoated wafer W to a predetermined temperature is provided on one side facing the transfer path 22.
The coated wafer W at a predetermined temperature (for example, 100 to 14
And a bake mechanism 24 that is stacked in multiple stages to evaporate the solvent in the SOG solution by heating to 0 ° C.).
On the other side, a coating mechanism 25 for supplying, for example, dropping and coating a SOG solution as a processing liquid on the surface of the wafer W cooled by the cooling mechanism 23, and a chemical tank (not shown) for the SOG solution or the like are provided. An accommodation chamber 26 for accommodating is disposed.

【0020】この場合、塗布機構25は、ウエハWを保
持回転するスピンチャック25aの外周側にカップ25
bを周設してなり、カップ25bの外側にSOG溶液供
給ノズル25cとウエハWの周辺部分のSOGを溶解除
去するためのサイドリンス用ノズル25dを配設し、こ
れらノズル25c,25dをスキャンアーム25eにて
ウエハWの上面側に搬送し得るように構成されている。
なお、クーリング機構23の上部に、例えば塗布前のウ
エハ表面に付着する有機物をオゾン(O3)によって分
解し灰化除去するためのUV(Ultraviolet)照射装置
27を設けることも可能である。
In this case, the coating mechanism 25 includes the cup 25 on the outer peripheral side of the spin chuck 25a for holding and rotating the wafer W.
b is provided around the cup 25b, and an SOG solution supply nozzle 25c and a side rinse nozzle 25d for dissolving and removing the SOG in the peripheral portion of the wafer W are provided outside the cup 25b, and these nozzles 25c and 25d are used as a scan arm. At 25e, the wafer W can be transferred to the upper surface side.
A UV (Ultraviolet) irradiation device 27 may be provided above the cooling mechanism 23 for decomposing organic matter adhering to the surface of the wafer before coating with ozone (O3) and removing it by ashing.

【0021】上記インターフェイス部40は、図3及び
図4に示すように、ほぼ密閉された箱状の室42内に、
塗布処理部20から搬送されたウエハWを受け取る位置
決め機構43と、この位置決め機構43によって位置決
めされたウエハWを受け取ってウエハボート41に搬入
又はウエハボート41からウエハWを搬出する搬入・搬
出機構44と、複数n(図面では3個の場合を示す)の
ウエハボート41を着脱可能に載置すると共に1つのダ
ミー用被処理体例えばダミーウエハ用ボート45を立設
固定しY方向に往復移動可能なボートライナー46(移
動手段)とを配設してなる。
The interface section 40, as shown in FIGS. 3 and 4, is provided in a substantially sealed box-shaped chamber 42.
A positioning mechanism 43 for receiving the wafer W transferred from the coating processing section 20, and a loading / unloading mechanism 44 for receiving the wafer W positioned by the positioning mechanism 43 and loading it into the wafer boat 41 or unloading the wafer W from the wafer boat 41. A plurality of n (three in the drawing are shown) wafer boats 41 are detachably mounted, and one dummy object to be processed, for example, a dummy wafer boat 45 is erected and fixed, and can be reciprocated in the Y direction. A boat liner 46 (moving means) is arranged.

【0022】この場合、位置決め機構43は、図5ない
し図7に示すように、同一円周上に3個のウエハ保持ピ
ン43aを有すると共に、中心点に関して対向する位置
に内周部分が円弧状のセンタリングガイド43bを有す
るアライメントステージ43cと、アライメントステー
ジ43cの中心部に配設されウエハWの下面を真空吸着
して保持するチャック43dと、ウエハWのオリフラ
(オリエンテーションフラット:Orientation Flat)位
置検出用センサ43eとで構成されており、チャック4
3dはステッピングモータ43fによって水平方向に回
転(自転)可能に形成されると共に、ステッピングモー
タ43fをモーター取付板43gに装着するエアシリン
ダ43hによってアライメントステージ43cの上方に
出没可能に形成されている。
In this case, the positioning mechanism 43 has, as shown in FIGS. 5 to 7, three wafer holding pins 43a on the same circumference, and the inner peripheral portion is arcuate at a position opposed to the center point. Alignment stage 43c having a centering guide 43b, a chuck 43d disposed in the center of the alignment stage 43c for holding the lower surface of the wafer W by vacuum suction, and an orientation flat position detection of the wafer W. The chuck 4 is composed of a sensor 43e.
3d is formed so as to be rotatable (rotatable) in the horizontal direction by a stepping motor 43f, and is formed so as to be able to project and retract above the alignment stage 43c by an air cylinder 43h that mounts the stepping motor 43f on a motor mounting plate 43g.

【0023】このように構成される位置決め機構43に
おいて、アライメントステージ43c上にウエハWが搬
送されると、ウエハWはウエハ保持ピン43aによって
保持されると共に、センタリングガイド43bによって
センタリング(中心合せ)が行われる。そして、エアシ
リンダ43hの作動によってチャック43dが上昇して
ウエハWをウエハ支持ピン43aの上方へ持ち上げた状
態でステッピングモータ43fが駆動してウエハWを水
平方向へ回転させ、このときオリフラ位置検出用センサ
43eによってウエハWのオリフラWaの位置を検出
し、その検出信号に基いてステッピングモータ43fが
オリフラWaの端部から所定の回転角正回転又は逆回転
した後停止することにより、ウエハWのオリフラWaの
向きを一定方向に位置決めすることができるようになっ
ている。あるいは、アライメントステージ43c上にウ
エハWが搬送されると、ウエハWはエアシリンダ43h
の作動により上昇しているチャック43dに保持され、
ウエハWを上方へ持ち上げた状態でステッピングモータ
43fが駆動してウエハWを水平方向へ回転させ、この
ときオリフラ位置検出用センサ43eによってウエハW
のオリフラWaの位置を検出し、その検出信号に基いて
ステッピンクモータ43fがオリフラWaの端部から所
定の回転角回転した後停止することにより、ウエハWの
オリフラWaの向きを一定方向に位置決めする。そし
て、エアシリンダ43hの作動によりチャック43dが
下降してウエハWはウエハ保持ピン43aによって保持
されると共に、センタリングガイド43bによってセン
タリングが行われる。
When the wafer W is transferred onto the alignment stage 43c in the positioning mechanism 43 thus constructed, the wafer W is held by the wafer holding pins 43a and centered by the centering guide 43b. Done. The operation of the air cylinder 43h raises the chuck 43d and lifts the wafer W above the wafer support pins 43a to drive the stepping motor 43f to rotate the wafer W in the horizontal direction. The position of the orientation flat Wa of the wafer W is detected by the sensor 43e, and based on the detection signal, the stepping motor 43f stops after rotating forward or backward by a predetermined rotation angle from the end of the orientation flat Wa to stop the orientation flat Wa of the wafer W. It is possible to position Wa in a fixed direction. Alternatively, when the wafer W is transferred onto the alignment stage 43c, the wafer W is transferred to the air cylinder 43h.
Is held by the chuck 43d which has been raised by the operation of
With the wafer W being lifted upward, the stepping motor 43f is driven to rotate the wafer W in the horizontal direction. At this time, the orientation flat position detection sensor 43e is used to rotate the wafer W.
The orientation pin Wa of the wafer W is positioned in a certain direction by detecting the position of the orientation flat Wa of the wafer W and stopping the stepping motor 43f from the end of the orientation flat Wa by a predetermined rotation angle based on the detection signal. To do. Then, the chuck 43d is lowered by the operation of the air cylinder 43h, the wafer W is held by the wafer holding pins 43a, and the centering is performed by the centering guide 43b.

【0024】また、上記搬入・搬出機構44は、図1、
図3及び図4に示すように、インターフェイス部40の
Y方向に敷設されたガイドレール47に沿って移動自在
な移動体44aと、この移動体44aに対して図示しな
い昇降装置によって昇降可能に装着される昇降台44b
と、この昇降台44b上に回転軸44cをもつて回転さ
れる搬送基台44dと、搬送基台44d上に装着されて
θ方向に回転すると共に水平面上で伸縮移動可能なアー
ム44eとで構成されている。この場合、アーム44e
は、ウエハWを保持する段付舌片44fと、この段付舌
片44fの基部側の両端に設けられる一対の内周側が円
弧状の位置決め片44gとで構成されている。また、搬
送基台44dの先端側の両側面には取付部材に取付けら
れウエハWの周縁の一部の左右両側に位置するように互
いに離間して設けられた発光部48aと受光部48bと
からなるマッピングセンサ48が上記アーム44eとは
独立して進退自在に設けられており、このマッピングセ
ンサ48によってウエハボート41に収容されたウエハ
Wの有無を検出し得るようになっている。このマッピン
グセンサ48によってウエハWの有無を検出するには、
発光部48aと受光部48bをウエハボート41内のウ
エハWの周縁部の一部がその間に入る位置まで前進させ
た後、搬送基台44dを連続的にウエハボート41の最
上段から最下段、あるいは最下段から最上段のレベルま
で降下あるいは上昇させることによって光ビームの遮断
・透過の状態により各段のウエハWの有無とウエハWの
高さ位置を高速に検出することができる。
The loading / unloading mechanism 44 is shown in FIG.
As shown in FIGS. 3 and 4, a movable body 44a that is movable along a guide rail 47 laid in the Y direction of the interface unit 40, and a movable body 44a that can be moved up and down by an elevator device (not shown). Lifting platform 44b
And a carrier base 44d that is rotated on the lift base 44b with a rotation shaft 44c, and an arm 44e that is mounted on the carrier base 44d and that rotates in the θ direction and that can extend and contract on a horizontal plane. Has been done. In this case, the arm 44e
Comprises a stepped tongue piece 44f for holding the wafer W, and a pair of arcuate positioning pieces 44g on the inner peripheral side provided at both ends on the base side of the stepped tongue piece 44f. In addition, a light emitting portion 48a and a light receiving portion 48b, which are mounted on a mounting member on both sides of the leading end side of the carrier base 44d and are spaced apart from each other so as to be positioned on both left and right sides of a part of the peripheral edge of the wafer W, are formed. The mapping sensor 48 is provided so as to be movable back and forth independently of the arm 44e, and the mapping sensor 48 can detect the presence or absence of the wafer W stored in the wafer boat 41. To detect the presence / absence of the wafer W by this mapping sensor 48,
After advancing the light emitting portion 48a and the light receiving portion 48b to a position where a part of the peripheral edge portion of the wafer W in the wafer boat 41 falls between them, the transfer base 44d is continuously moved from the uppermost stage to the lowermost stage of the wafer boat 41, Alternatively, the presence or absence of the wafer W at each stage and the height position of the wafer W can be detected at high speed by lowering or raising from the lowermost level to the uppermost level based on the blocking / transmitting state of the light beam.

【0025】上記ボートライナー46は、図8及び図9
に示すように、Y方向に沿って配設された一対のリニア
ガイド49に摺動可能に装着されるボートステージ46
aをステッピングモータ46bとボールネジ46cとか
らなるボールネジ機構46dによってY方向に一定量移
動可能に形成されている。このボートステージ46aの
上面には、1つのダミーウエハ用ボート45と複数n例
えば3つのウエハボート41を例えば等間隔で一直線状
に載置するための石英製の固定ピン46eがそれぞれ同
心円状に4つ突設されており、これら固定ピン46e上
にウエハボート41及びダミーウエハ用ボート45が載
置されるようになっている。そして、上記ボート45,
41は、4個同時に移動される。
The boat liner 46 is shown in FIGS.
As shown in, the boat stage 46 slidably mounted on the pair of linear guides 49 arranged along the Y direction.
A is formed so as to be movable by a certain amount in the Y direction by a ball screw mechanism 46d including a stepping motor 46b and a ball screw 46c. On the upper surface of the boat stage 46a, four dummy fixing pins 46e made of quartz for mounting one dummy wafer boat 45 and a plurality n of, for example, three wafer boats 41 in a straight line at equal intervals, are concentrically arranged. The wafer boat 41 and the dummy wafer boat 45 are mounted on the fixing pins 46e so as to project. And the boat 45,
Four 41 are simultaneously moved.

【0026】上記のように、ウエハボート41とダミー
ウエハ用ボート45とを隣接して配設することによっ
て、上記搬入・搬出機構44によってウエハWをウエハ
ボート41内に搬入する際、ウエハボート41の上部及
び下部にダミーウエハWdを迅速に搬入することができ
る。具体的には、ウエハボート41には例えば60枚の
ウエハWが収容されるようになっており、例えば最大5
0枚のウエハWをウエハボート41内に収容し、この5
0枚のウエハWの上部及び下部にそれぞれ5枚ずつのダ
ミーウエハWdを収容して、合計60枚収容した状態で
ウエハボート41を熱処理部30にて熱処理し得るよう
になっている。このようにウエハボート41内の上部及
び下部にダミーウエハWdを配設する一つの理由は、ウ
エハWを加熱処理する際の上部及び下部と中間部との温
度状態が相違し、例えば上部と下部に位置するウエハの
温度が中間部に位置するウエハの温度より低くなり加熱
処理にばらつきが生じてしまい、均一な加熱処理が施せ
なくなるのを防止して均一な加熱処理を行えるようにす
るためである。したがって、ウエハボート41が保有で
きる最大枚数のウエハWを加熱処理する場合には、上部
及び下部にそれぞれ5枚ずつ計10枚のダミーウエハW
dを配置して、中間部に50枚のウエハWを配置する。
また、中間部に配置されるウエハWの枚数が何らかの理
由で不足しウエハボート41が保有するはずのウエハW
の枚数(50枚)に満たない場合は、搬入・搬出機構4
4によってその不足分をダミーウエハ用ボート45から
取出し補充して、常時、ウエハボート41内をウエハW
とダミーウエハWdとで60枚に満しておくことによ
り、均一な加熱処理を行うことができる。このように6
0枚に満たしておく理由は、例えば、不足箇所の雰囲気
が他の正常に収容されている雰囲気とは異なり、乱気流
が発生するなど、処理の均一性に悪影響を与えるためで
ある。なお、ウエハボート41の上部及び下部に搬入さ
れるダミーウエハWdは例えば、予め、不足枚数が判明
している場合にウエハWの搬入前に自動的に搬入し常備
しておけばウエハWを搬入する際のダミーウエハWdの
搬入の手間を省くことができる。
As described above, by disposing the wafer boat 41 and the dummy wafer boat 45 adjacent to each other, the wafer W is loaded into the wafer boat 41 by the loading / unloading mechanism 44. The dummy wafer Wd can be quickly loaded into the upper part and the lower part. Specifically, for example, 60 wafers W are accommodated in the wafer boat 41, and for example, a maximum of 5 wafers W are accommodated.
0 wafers W are accommodated in the wafer boat 41, and
Five dummy wafers Wd are accommodated in each of the upper and lower portions of the zero wafers W, and the wafer boat 41 can be heat-treated in the heat treatment unit 30 in a state of accommodating a total of 60 wafers. One reason for arranging the dummy wafers Wd in the upper and lower parts of the wafer boat 41 in this way is that the temperature states of the upper and lower parts and the intermediate part during the heat treatment of the wafer W are different, for example, in the upper and lower parts. This is to prevent the temperature of the positioned wafer from becoming lower than the temperature of the wafer positioned in the middle portion and to cause unevenness in the heat treatment, which prevents the uniform heat treatment from being performed, thereby enabling uniform heat treatment. . Therefore, when heating the maximum number of wafers W that the wafer boat 41 can hold, a total of 10 dummy wafers W are provided, 5 for each of the upper and lower wafers.
Arrange d and arrange 50 wafers W in the middle.
In addition, the number of wafers W arranged in the middle portion is insufficient for some reason, and the wafer W that should be held by the wafer boat 41.
If the number is less than 50 (50 sheets), the loading / unloading mechanism 4
4, the shortage is taken out from the dummy wafer boat 45 and replenished, and the inside of the wafer boat 41 is constantly filled with the wafer W.
By filling 60 dummy wafers Wd with each other, uniform heat treatment can be performed. 6 like this
The reason why the number of sheets is set to 0 is that, for example, the atmosphere at the insufficient portion is different from the other normally contained atmospheres, and turbulence occurs, which adversely affects the uniformity of processing. The dummy wafers Wd loaded into the upper and lower portions of the wafer boat 41 are automatically loaded before the loading of the wafers W, for example, when the number of deficient wafers is known in advance. In this case, it is possible to save the labor of loading the dummy wafer Wd.

【0027】また、ウエハボート41が3個ボトライナ
ー46に載置される場合には、2個が保有する枚数のウ
エハWを上記ロード・アンロード部10に配備しておく
ことにより、1個のウエハボート41が常時予備として
確保されているので、ウエハボート41を洗浄する場合
や交換する場合においても装置全体の稼働を停止するこ
となくウエハWの塗布処理及び加熱処理を行うことがで
きる。また、ウエハボート41の2個分の枚数のウエハ
Wを越えて塗布処理部20にウエハWが搬入されないよ
うにロード・アンロード部10にフィードバックをか
け、投入ロットを制限できるようにシステムを構成して
おく。
When the three wafer boats 41 are mounted on the boat liner 46, the number of wafers W held by two wafer boats 41 is arranged in the loading / unloading section 10 so that one wafer boat 41 can be loaded. Since the wafer boat 41 is always reserved as a spare, even when the wafer boat 41 is cleaned or replaced, the coating process and the heating process of the wafer W can be performed without stopping the operation of the entire apparatus. Further, the system is configured so that the loading lot can be limited by feeding back to the loading / unloading unit 10 so that the wafer W is not loaded into the coating processing unit 20 beyond the number of wafers W of two wafer boats 41. I'll do it.

【0028】上記ボートライナー46のボートステージ
46a上に載置されるウエハボート41は、図10及び
図11に示すように、上下に対峙する上部基板41aと
下部基板41bとの間に、長手方向に適宜間隔をおいて
60個のウエハ保持溝41cを刻設した4本のウエハ保
持棒41dを介在してなり、下部基板41bの下方側に
固着して設けられた筒部41eに上記固定ピン46eの
上端に載置されるフランジ部41fを周設してなる。こ
のように構成されるウエハボート41は全て石英製の部
材にて形成されており、ウエハWとの接触部例えばウエ
ハ保持溝41c及び固定ピン46eとの接触部において
ウエハWの材料や石英以外の金属等のパーティクルが発
生しないように考慮されている。
As shown in FIGS. 10 and 11, the wafer boat 41 mounted on the boat stage 46a of the boat liner 46 has a longitudinal direction between an upper substrate 41a and a lower substrate 41b facing each other vertically. 4 wafer-holding rods 41d having 60 wafer-holding grooves 41c engraved at appropriate intervals are interposed, and the fixing pin is attached to a tubular portion 41e fixedly provided on the lower side of the lower substrate 41b. A flange portion 41f placed on the upper end of 46e is provided around. The wafer boat 41 configured in this manner is made of a quartz member, and the material of the wafer W and materials other than quartz are used in the contact portion with the wafer W, for example, the contact portions with the wafer holding groove 41c and the fixing pin 46e. It is considered that particles such as metal are not generated.

【0029】また、上記ボートステージ46aにおける
ウエハボート載置用の固定ピン46eの外側近傍位置に
は、ウエハボート41の有無を検出するボート有無検出
センサ50とウエハボート41の正規位置からのずれを
検出するボートずれ検出センサ51がボートステージ4
6上面に配置されて、ウエハボート41の有無とずれが
検出され得るようになっている。これらセンサ50,5
1は例えば光透過型のフォトインターラプタ(フォトセ
ンサ)にて形成されており、ボート有無検出センサ50
は上方に位置するウエハボート41のフランジ部41f
の存在を、このフランジ部41fによって移動する光遮
蔽板(図示せず)がフォトインターラプタの光ビームを
さえぎることを確認することによってウエハボート41
が載置されていることを認識することができ、また、ボ
ートずれ検出センサ51は、ウエハボート41のフラン
ジ部41fの外周縁に設けられた切欠41gを認識する
(反射光が無いことを確認し認識する)ことによってウ
エハボート41の正常位置を知らせ、切欠41gがずれ
ることによってウエハボート41が正常位置からずれた
ことを知らせる機能を有する。なお、上記ウエハボート
41の有無の検出には、光反射型のフォトセンサを使用
し、フランジ部41fからの反射光を検出することによ
り、載置を認識するようにしてもよい。
Further, at a position near the outside of the wafer boat mounting fixing pin 46e on the boat stage 46a, a boat presence / absence detection sensor 50 for detecting the presence / absence of the wafer boat 41 and a deviation from the normal position of the wafer boat 41 are provided. The boat displacement detection sensor 51 for detecting is the boat stage 4
6 is arranged on the upper surface so that the presence or absence of the wafer boat 41 and the deviation can be detected. These sensors 50, 5
Reference numeral 1 denotes, for example, a light transmissive photo interrupter (photo sensor), and the boat presence / absence detection sensor 50.
Is a flange portion 41f of the wafer boat 41 located above
The existence of the wafer boat 41 is confirmed by confirming that the light shielding plate (not shown) moved by the flange portion 41f blocks the light beam of the photo interrupter.
Is mounted, and the boat deviation detection sensor 51 recognizes the notch 41g provided on the outer peripheral edge of the flange portion 41f of the wafer boat 41 (confirms that there is no reflected light). By recognizing), the normal position of the wafer boat 41 is notified, and when the notch 41g is displaced, the wafer boat 41 is displaced from the normal position. The presence or absence of the wafer boat 41 may be detected by using a light reflection type photo sensor and detecting the reflected light from the flange portion 41f to recognize the placement.

【0030】また、ボートステージ46aにおけるウエ
ハボート載置用の固定ピン46eのウエハ搬入・搬出機
構44側近傍位置には、適宜間隔をおいて一対のウエハ
ボート41の転倒防止用ピン52が立設されている(図
10及び図13参照)。この転倒防止用ピン52はウエ
ハボート41等が傾斜し接触しても破損しないような部
材、例えばステンレス鋼製部材にて形成されており、ウ
エハボート41とは接触しない範囲で可及的に近接する
位置に立設されて、通常時にはウエハボート41と接触
しないようになっている。このように転倒防止用ピン5
2を通常時にはウエハボート41と接触させないように
したのは接触によるごみの発生を防止するようにしたた
めである。
Further, at a position near the wafer loading / unloading mechanism 44 side of the wafer boat mounting fixing pin 46e on the boat stage 46a, the pair of wafer boat 41 overturning prevention pins 52 are erected at appropriate intervals. (See FIGS. 10 and 13). The tipping prevention pin 52 is formed of a member, such as a stainless steel member, which is not damaged even if the wafer boat 41 or the like is inclined and comes into contact with the wafer boat 41. It is erected at a position to be in contact with the wafer boat 41 during normal operation. In this way, the fall prevention pin 5
The reason why 2 is not contacted with the wafer boat 41 during normal operation is to prevent generation of dust due to contact.

【0031】なお、ダミーウエハ用ボート45は、図1
2に示すように、上下に対峙する上部基板45aと下部
基板45bとの間に、長手方向に適宜間隔をおいて例え
ば60個のダミーウエハ保持溝45cを刻設した一対の
保持板45dを介在してなり、図13に示すように、固
定ピン46e上に下部基板45bを載置した状態で固定
ボルト(図示せず)をもって下部基板45bがボートス
テージ46aに固定される。
The dummy wafer boat 45 is shown in FIG.
As shown in FIG. 2, a pair of holding plates 45d having, for example, 60 dummy wafer holding grooves 45c engraved at appropriate intervals in the longitudinal direction are interposed between the upper substrate 45a and the lower substrate 45b facing each other vertically. As shown in FIG. 13, the lower substrate 45b is fixed to the boat stage 46a with a fixing bolt (not shown) with the lower substrate 45b placed on the fixing pin 46e.

【0032】また、上記ボートステージ46aの上部に
は、図13に示すように、ウエハボート41及びダミー
ウエハ用ボート45を包囲するようにフレーム53が架
設されており、このフレーム53の上部横桁53aにお
ける各ウエハボート41及びダミーウエハ用ボート45
のウエハ搬入・搬出機構44側中心部と、上部横桁53
aに対向するボートステージ46aに、それぞれ発光部
54aと受光部54bとからなる光透過型のウエハ飛出
し検出センサ54が取り付けられている。このように、
各ウエハボート41及びダミーウエハ用ボート45の載
置位置にウエハ飛出し検出センサ54を取り付けること
により、上記搬入・搬出機構44によってウエハボート
41、ダミウエハ用ボート45に搬入されるウエハWや
ダミーウエハWd が正確にボート41,45内に搬入さ
れずに外部に突出した状態を検出することができ、その
検出信号を受けて例えばアラーム(図示せず)を鳴らし
て、ウエハが正確にボート41,45内に搬入されてい
ないのを自動的に作業員に知らせることができる。
A frame 53 is installed above the boat stage 46a so as to surround the wafer boat 41 and the dummy wafer boat 45, as shown in FIG. Wafer boats 41 and dummy wafer boats 45 in
Wafer carry-in / carry-out mechanism 44 side center part and upper cross girder 53
A light transmission type wafer ejection detection sensor 54, which includes a light emitting portion 54a and a light receiving portion 54b, is attached to the boat stage 46a that faces a. in this way,
By attaching the wafer ejection detection sensor 54 to the mounting positions of the wafer boats 41 and the dummy wafer boats 45, the wafers W and the dummy wafers Wd loaded into the wafer boats 41 and the dummy wafer boats 45 by the loading / unloading mechanism 44 are removed. It is possible to detect a state in which the wafer is not exactly carried into the boat 41, 45 and is projected to the outside. For example, an alarm (not shown) is sounded in response to the detection signal, and the wafer is accurately stored in the boat 41, 45. Workers can be automatically informed that they have not been delivered to.

【0033】また、このウエハ飛出し検出センサ54の
他に、図14に示すように、ウエハ飛出し検出センサ5
4の発光部54a及び受光部54bの前方側に又は、こ
の代りにそれぞれ直線状の光を発する発光部55a及び
微細センサ素子を直線状に配列した受光部55bからな
るラインセンサ55を取り付けることによってウエハ
W,Wdをの飛出し距離を検出することができる。ま
た、図15に示すように、フレーム53の左右縦桁53
bの対向する位置にウエハボート41、ダミーウエハ用
ボート45に収容されるウエハW、ダミーウエハWdの
枚数(例えば60枚)分の発光部56aと受光部56b
とからなる光透過型の飛出し位置検出センサ56を取り
付けて、左右方向から検出することによって、飛び出し
たウエハW,Wdの位置を特定することができる。 な
お、各ボート毎に同様に飛出し位置検出センサ56を取
り付けることも可能である。このように、ラインセンサ
55と飛出し位置検出センサ56とを取り付けることに
よってどのボート41,45内のどのウエハW,Wdが
何mm飛び出しているかを検出することができる。
In addition to the wafer pop-out detection sensor 54, as shown in FIG.
4 is attached to the front side of the light emitting portion 54a and the light receiving portion 54b, or instead of this, by attaching a line sensor 55 including a light emitting portion 55a that emits linear light and a light receiving portion 55b in which fine sensor elements are linearly arranged. The ejection distance of the wafers W and Wd can be detected. Further, as shown in FIG.
The wafer boat 41, the wafers W accommodated in the dummy wafer boat 45, and the light-emitting portions 56a and light-receiving portions 56b for the number of the dummy wafers Wd (for example, 60 wafers) at positions facing each other b.
By attaching the light-transmitting type pop-out position detection sensor 56 composed of and detecting from the left and right directions, the positions of the popped-out wafers W and Wd can be specified. In addition, it is also possible to similarly attach the pop-out position detection sensor 56 to each boat. In this way, by mounting the line sensor 55 and the pop-out position detection sensor 56, it is possible to detect which wafer W, Wd in which boat 41, 45 is popping out by what mm.

【0034】飛び出しているウエハW,Wdをボート4
1,45内に押込む手段として、例えば上記搬入・搬出
機構44のアーム44eを使用することができる。すな
わち、アーム44eの段付舌片44fの基部側の段部4
4hを少し高く形成して、通常のウエハWの搬入時に
は、図16(a)に示すように、段付舌片44f上に保
持された状態のウエハWをウエハボート41内に搬入
し、また、ウエハWが飛び出している場合には、図16
(b)に示すように、ウエハWを段付舌片44f上には
保持せずにアーム44eをボート41,45内に前進さ
せることによってこの段部44hで当接させウエハW,
Wdを自動的に押込むことができる。なお、ラインセン
サ55によってウエハWの飛出し距離が検出されている
ので、アーム44eが移動する際、飛出したウエハWと
アーム44eとの衝突や接触を防止することができる。
上記押込みはウエハWを段付舌片44f上に保持した状
態で押込んでもよい。
The protruding wafers W and Wd are attached to the boat 4
For example, the arm 44e of the carrying-in / carrying-out mechanism 44 can be used as a means for pushing into the inside of 1, 45. That is, the step 4 on the base side of the stepped tongue 44f of the arm 44e.
4h is formed slightly higher, and when carrying in a normal wafer W, as shown in FIG. 16A, the wafer W held on the stepped tongue piece 44f is carried into the wafer boat 41, and , When the wafer W is protruding, FIG.
As shown in (b), the wafer W is not held on the stepped tongue piece 44f, but the arm 44e is moved forward into the boats 41 and 45 so that the wafer W is brought into contact with the stepped portion 44h.
Wd can be pushed in automatically. Since the line sensor 55 detects the jumping distance of the wafer W, it is possible to prevent collision and contact between the jumped wafer W and the arm 44e when the arm 44e moves.
The pushing may be performed while holding the wafer W on the stepped tongue piece 44f.

【0035】上記実施例ではフレーム53の左右縦桁5
3bに飛出し位置検出センサ56を取り付けてウエハW
の飛出し位置を検出しているが、必ずしもこのようにす
る必要はなく、搬入・搬出機構44のアーム44eの下
部の搬送基台44dに設けられたマッピングセンサ48
を利用してウエハWの飛出し位置を検出することも可能
である。すなわち、マッピングセンサ48の発光部48
aと受光部48bをウエハボート41内の正規位置に収
容されているウエハWの周縁接線部(具体的にはオリフ
ラ部)がその間に入る位置まで前進させた後、搬送基台
44dをウエハボート41の最上段から最下段のレベル
まで降下又は最下段から最上段のレベルまで上昇させる
ことによって飛出したウエハWの位置を検出することが
できる。飛出している場合には、発光部48aから発せ
られた光ビームがウエハWによって遮断される。
In the above embodiment, the left and right vertical girders 5 of the frame 53 are
Wafer W by attaching the pop-out position detection sensor 56 to 3b
However, it is not always necessary to do so, and the mapping sensor 48 provided on the transport base 44d below the arm 44e of the loading / unloading mechanism 44 is detected.
It is also possible to detect the pop-out position of the wafer W by using. That is, the light emitting unit 48 of the mapping sensor 48
a and the light receiving portion 48b are advanced to a position where the peripheral tangent portion (specifically, the orientation flat portion) of the wafer W housed at the regular position in the wafer boat 41 enters between them, and then the transfer base 44d is placed on the wafer boat 44d. The position of the jumped-out wafer W can be detected by lowering the level of the uppermost 41 to the level of the lowermost 41 or by raising the level of the lowermost 41 to the level of the uppermost. When it is flying out, the light beam emitted from the light emitting portion 48a is blocked by the wafer W.

【0036】上記インターフェイス部40の室42に
は、図4に示すように、天井部における位置決め機構4
3及び搬入・搬出機構44の上方部位に給気口57が設
けられており、この給気口57に連結する給気ダクト5
8にフィルタ59を介して給気ファン60が配設されて
いる。また、床部における位置決め機構43の下方部位
には排気口61が設けられており、この排気口61に接
続する排気ダクト62中には、図4の紙面に対して直交
する方向(Y方向)に1個又は適宜間隔をおいて複数個
の排気ファン63が配設されている。この排気ファン6
3はインターフェイス室42の側壁に設けられた出入口
64に取り付けられたドア65の開閉に伴ってON,O
FF動作するマグネット式スイッチ66によって駆動、
停止されるようになっており、ドア65の開放時にスイ
ッチ66が動作して排気ファン63が自動的に停止し得
るようになっている。このように、室42の天井部に給
気ファン60を配設し床部に排気ファン63を配設し、
給気能力を排気能力よりも大に設定しておくことによっ
て、通常時には室42内に清浄化された空気をダウンフ
ローして室内を微弱な陽圧状態にすることができ、ドア
65を開放するときにはスイッチ66が作動して排気フ
ァン63が停止し、室内の圧力を高めて外部から室内に
流れ込む空気をなくして室内へのごみの侵入を防止する
ことができる。
In the chamber 42 of the interface section 40, as shown in FIG.
3, an air supply port 57 is provided above the carry-in / carry-out mechanism 44, and the air supply duct 5 connected to the air supply port 57.
An air supply fan 60 is disposed in the unit 8 via a filter 59. Further, an exhaust port 61 is provided below the positioning mechanism 43 on the floor, and an exhaust duct 62 connected to the exhaust port 61 has a direction (Y direction) orthogonal to the paper surface of FIG. 4 in an exhaust duct 62. One or a plurality of exhaust fans 63 are arranged at appropriate intervals. This exhaust fan 6
3 is turned on and off when a door 65 attached to a doorway 64 provided on the side wall of the interface chamber 42 is opened and closed.
Driven by FF operated magnet type switch 66,
The exhaust fan 63 can be automatically stopped by operating the switch 66 when the door 65 is opened. In this way, the air supply fan 60 is arranged on the ceiling of the chamber 42, and the exhaust fan 63 is arranged on the floor.
By setting the air supply capacity to be larger than the exhaust capacity, it is possible to downflow the purified air into the chamber 42 in a normal state to make the inside of the chamber a weak positive pressure state and open the door 65. At this time, the switch 66 is activated and the exhaust fan 63 is stopped to increase the pressure in the room to eliminate the air flowing into the room from the outside and prevent dust from entering the room.

【0037】また、インターフェイス室42の天井部に
おけるボートライナー46の上方部位には、除湿空気導
入口67が設けられており、この除湿空気導入口67に
連結する除湿空気供給ダクト68にフィルタ69(UL
PAフィルタ)を介して外部より除湿空気供給可能に配
設されている。フィルタ69の上流側に除湿供給ファン
70を設けてもよい。なお、除湿空気導入口67と給気
口57との間にはカーテン71が垂下されて両口間を仕
切り、天井部側においてダウンフローの空気と除湿空気
とが混合するのを防止している。なお、給気口側と除湿
空気導入口側とをカーテン71にて区画する他の理由
は、作業員が室内に入って、機器や部品の保守・点検や
交換作業を行う際の頭上の危険を防止するようにしたた
めである。このように構成することにより、除湿空気導
入口67から室内に供給された除湿空気は下方に向って
集中して流れ排気ファン63によって排気口61から排
出されるので、図4に破線の矢印で示すように、ウエハ
ボート41に搬入されたウエハWの前方(周囲)に集中
してなめるように(沿うようにあるいは覆うように)流
れてウエハWの表面と接触し、ウエハ表面に塗布された
吸湿性のSOG膜が吸湿により劣化するのを防止すべく
一定の湿度に維持することができる。したがって、除湿
能力は比較的小さいものでよく大型の除湿器を配置する
ことなくウエハボート41に搬入されたウエハWの湿度
を一定の状態に維持することができる。
A dehumidified air inlet 67 is provided in the ceiling of the interface chamber 42 above the boat liner 46. A dehumidified air supply duct 68 connected to the dehumidified air inlet 67 has a filter 69 ( UL
Dehumidified air can be supplied from the outside via a PA filter). The dehumidification supply fan 70 may be provided on the upstream side of the filter 69. A curtain 71 hangs between the dehumidified air inlet 67 and the air supply port 57 to partition the two openings to prevent the downflow air and the dehumidified air from mixing on the ceiling side. . Another reason for partitioning the air supply port side and the dehumidified air introduction port side with the curtain 71 is an overhead risk when a worker enters the room and performs maintenance / inspection and replacement work of equipment and parts. This is because it is designed to prevent With this configuration, the dehumidified air supplied from the dehumidified air inlet 67 into the room is concentrated downward and discharged from the exhaust port 61 by the exhaust fan 63. As shown in the drawing, the wafer W, which has been loaded into the wafer boat 41, flows in a concentrated manner in front of (around) the wafer W so as to lick (to be along or along the surface of the wafer W), contact with the surface of the wafer W, and be applied to the wafer surface. It is possible to maintain a constant humidity to prevent the hygroscopic SOG film from being deteriorated by moisture absorption. Therefore, the dehumidifying capacity can be relatively small, and the humidity of the wafer W loaded into the wafer boat 41 can be maintained at a constant state without disposing a large dehumidifier.

【0038】なお、図4に想像線で示すように、上記除
湿空気導入口67と排気口61側とを循環ダクト72に
て接続し、この循環ダクト72に空気清浄器73及び除
湿器74を介設することによってインターフェイス室4
2内に除湿空気を循環して供給することができる。この
場合、更に除湿器を小型のものにすることが可能とな
る。
As shown by an imaginary line in FIG. 4, the dehumidified air introduction port 67 and the exhaust port 61 side are connected by a circulation duct 72, and an air purifier 73 and a dehumidifier 74 are connected to the circulation duct 72. Interface room 4 by interposing
The dehumidified air can be circulated and supplied into the inside of 2. In this case, the dehumidifier can be further downsized.

【0039】一方、上記熱処理部30は、図1及び図1
7に示すように、開口窓75を介してインターフェイス
部40に連通しており、この熱処理部30内には、断面
逆U字状の石英製プロセスチューブ32の外周にヒータ
33を囲繞した縦型加熱炉31(加熱装置)と、この加
熱炉31の下方に配置されて、上記ウエハボート41を
プロセスチューブ32内に搬入するボートエレベータ3
4と、インターフェイス部40のボートライナー46と
ボートエレベータ34との間でウエハボート41の受け
渡しを司るボート移送機構35(移送手段)とを具備し
てなる。
On the other hand, the heat treatment section 30 is shown in FIGS.
As shown in FIG. 7, the interface section 40 communicates with an opening window 75, and in the heat treatment section 30, a vertical type in which a heater 33 is surrounded by an outer circumference of a quartz process tube 32 having an inverted U-shaped cross section. A heating furnace 31 (heating device) and a boat elevator 3 which is arranged below the heating furnace 31 and carries the wafer boat 41 into the process tube 32.
4 and a boat transfer mechanism 35 (transfer means) that controls the transfer of the wafer boat 41 between the boat liner 46 of the interface section 40 and the boat elevator 34.

【0040】この場合、上記プロセスチューブ32の開
口下端にはマニホールド36が接続して設けられおり、
このマニホールド36には、プロセスチューブ32内に
所定の処理用ガスを導入するガス導入管(図示せず)
と、処理後のガスを排気する排気管(図示せず)がそれ
ぞれ接続されている。また、ボートエレベータ34には
マニホールド36と当接してプロセスチューブ32内を
密閉状態に維持する蓋体37が設けられており、この蓋
体37の上部に保温筒38が搭載されている。
In this case, a manifold 36 is connected to the lower end of the opening of the process tube 32,
A gas introduction pipe (not shown) for introducing a predetermined processing gas into the process tube 32 is provided in the manifold 36.
And an exhaust pipe (not shown) for exhausting the treated gas are connected. Further, the boat elevator 34 is provided with a lid 37 that is in contact with the manifold 36 and maintains the inside of the process tube 32 in a sealed state, and a heat insulating cylinder 38 is mounted on the lid 37.

【0041】上記ボート移送機構35は、図18に示す
ように、ボールネジ等の昇降手段35aによって昇降す
る昇降基台35bと、この昇降基台35bの上部にθ方
向に回転自在に装着される回転駆動部35cと、回転駆
動部35cの上面に設けられたガイド溝35dに沿って
移動自在な先端部がU字状のボート載置アーム35eと
で構成されている。このように構成されるボート移送機
構35は、開口窓75に移動されたボートライナー46
のボートステージ46aのウエハボート41を受け取っ
てボートエレベータ34上に搬送してボートエレベータ
34上に受け渡すか、あるいは、ボートエレベータ34
上の加熱処理後のウエハWを収容したウエハボート41
を受け取ってボートライナー46のボートステージ46
a上に移送してボートステージ46a上に受け渡すこと
ができる。
As shown in FIG. 18, the boat transfer mechanism 35 has an elevating base 35b which is moved up and down by an elevating means 35a such as a ball screw, and a rotation which is rotatably mounted on the elevating base 35b in the θ direction. The drive unit 35c and a boat mounting arm 35e having a U-shaped tip that is movable along a guide groove 35d provided on the upper surface of the rotation drive unit 35c. The boat transfer mechanism 35 configured as described above is configured so that the boat liner 46 moved to the opening window 75.
The wafer boat 41 of the boat stage 46a is transferred to the boat elevator 34 and delivered to the boat elevator 34, or the boat elevator 34
Wafer boat 41 containing wafer W after the above heat treatment
Receive the boat liner 46 boat stage 46
It can be transferred onto the boat stage 46a and transferred onto the boat stage 46a.

【0042】次に、この発明の処理装置の動作態様につ
いて説明する。ウエハWにSOG膜を一度塗りする場合
は以下のようにして処理する。まず、ロード・アンロー
ド部10のピンセット14を未処理のウエハWを収容す
るウエハカセット11の前まで移動してウエハカセット
11からウエハWを受け取って受渡し位置まで搬送す
る。受渡し位置に搬送されたウエハWは塗布処理部20
のウエハ搬送アーム21によって受け取られた後、クー
リング機構23に搬送されて所定の温度に冷却される。
そして、再びウエハ搬送アーム21によって受け取られ
て塗布機構25まで搬送され、塗布機構25のスピンチ
ャック25a上に載置される。
Next, the operation mode of the processing apparatus of the present invention will be described. When the SOG film is applied to the wafer W once, the processing is performed as follows. First, the tweezers 14 of the loading / unloading unit 10 is moved to the front of the wafer cassette 11 that accommodates the unprocessed wafer W, receives the wafer W from the wafer cassette 11, and conveys it to the delivery position. The wafer W transferred to the delivery position is applied to the coating processing unit 20.
After being received by the wafer transfer arm 21, the wafer is transferred to the cooling mechanism 23 and cooled to a predetermined temperature.
Then, the wafer is again received by the wafer transfer arm 21, transferred to the coating mechanism 25, and placed on the spin chuck 25 a of the coating mechanism 25.

【0043】スピンチャック25a上に載置されたウエ
ハWがスピンチャック25aと共に回転すると、SOG
溶液供給ノズル25cがスキャンアーム25eによって
保持されてウエハW上に移動してSOG溶液を滴下す
る。このとき、ウエハWは高速回転(2000〜600
0rpm)しているので、遠心力によってSOG溶液は
ウエハWの中心部から周縁部に向って拡散してウエハW
上にSOG膜が形成される。SOG膜が形成された後、
ウエハW上にサイドリンス供給ノズル25dが移動して
ウエハWはリンス液によって周縁部のSOG膜が溶解除
去される。このようにして塗布処理が行われたウエハW
は再びウエハ搬送アーム21によって受け取られてベー
ク機構24に搬送され、ここで約100〜140℃の温
度で加熱されてSOG溶液中の溶媒(例えばエチルアル
コール)が蒸発される。このベーク機構24によるプレ
ベーク工程が終了したウエハWは、再びウエハ搬送アー
ム21によって受け取られてインターフェイス部側に搬
送されて位置決め機構43に移され、ウエハWのオリフ
ラWaの向きが一定方向に位置決めされる。
When the wafer W placed on the spin chuck 25a rotates together with the spin chuck 25a, the SOG
The solution supply nozzle 25c is held by the scan arm 25e and moved onto the wafer W to drop the SOG solution. At this time, the wafer W is rotated at a high speed (2000 to 600).
(0 rpm), the SOG solution is diffused from the central portion of the wafer W toward the peripheral portion due to the centrifugal force.
An SOG film is formed on top. After the SOG film is formed,
The side rinse supply nozzle 25d moves onto the wafer W, and the SOG film on the peripheral portion of the wafer W is dissolved and removed by the rinse liquid. Wafer W thus coated
Is again received by the wafer transfer arm 21 and transferred to the bake mechanism 24, where it is heated at a temperature of about 100 to 140 ° C. to evaporate the solvent (eg, ethyl alcohol) in the SOG solution. The wafer W that has undergone the pre-baking process by the bake mechanism 24 is again received by the wafer transfer arm 21, transferred to the interface section side, and transferred to the positioning mechanism 43, and the orientation flat Wa of the wafer W is positioned in a fixed direction. It

【0044】所定の方向に位置決めされたウエハWは、
搬入・搬出機構44のアーム44eによって受け取られ
た後、ボートライナー46上に載置された空のウエハボ
ート41内に例えば上から下に向って順に整列された状
態で搬入される。このようにしてウエハボート41には
塗布処理部20で枚葉処理されたウエハWが順次一枚ず
つ搬入されて、ウエハボート41に所定枚数のウエハW
が搬入される。ウエハボート41の所有する枚数(例え
ば60枚)に満たない不足部分にはダミーウエハ用ボー
ト45に収容されているダミーウエハWdが搬入・搬出
機構44のアーム44eによってウエハボート41内に
搬入される。この場合、ウエハボート41側は移動させ
ず、迅速に移動可能なアーム44eを使用して搬送する
ことにより、所要時間を短縮することができる。また、
ダミーウエハ用ボート45をウエハボート41と共にボ
ートステージ46a上に並置しているので、アーム44
eがY方向に移動する距離はウエハボート41が3個の
場合、最大でボート間隔ピッチの3倍の距離であり、し
かも、移動距離も間隔ピッチの整数倍となるために、迅
速な搬送が可能となり、搬送制御も容易となる。
The wafer W positioned in the predetermined direction is
After being received by the arm 44e of the loading / unloading mechanism 44, the wafers are loaded into the empty wafer boat 41 placed on the boat liner 46, for example, in a state of being aligned in order from top to bottom. In this way, the wafers W, which have been subjected to the single-wafer processing in the coating processing section 20, are sequentially loaded into the wafer boat 41 one by one, and the wafer boat 41 receives a predetermined number of wafers W.
Is delivered. The dummy wafers Wd accommodated in the dummy wafer boat 45 are carried into the wafer boat 41 by the arm 44e of the carry-in / carry-out mechanism 44 in a shortage portion which is less than the number of wafer boats 41 (for example, 60). In this case, the time required can be shortened by not moving the wafer boat 41 side but using the arm 44e which can be moved quickly to carry the wafer. Also,
Since the dummy wafer boat 45 and the wafer boat 41 are juxtaposed on the boat stage 46a, the arm 44
In the case where the number of wafer boats 41 is 3, the maximum distance e moves in the Y direction is three times the boat pitch, and since the moving distance is also an integral multiple of the pitch, rapid transfer is possible. It becomes possible and the transfer control becomes easy.

【0045】ウエハボート41に所定枚数のウエハWと
ダミーウエハWdが搬入されると、ボートライナー46
が所定距離、例えばボート間隔ピッチの整数倍分だけ、
ウエハボート41が揺れない程度の低速度で移動してウ
エハボート41は開口窓75の正面位置に移動される。
すると、熱処理部30のボート移送機構35のボート載
置アーム35eがウエハボート41の下に侵入してウエ
ハボート41を載置支持して受け取った後、ウエハボー
ト41をボートエレベータ34の上に移送してボートエ
レベータ34上にウエハボート41を載置する。次に、
ボートエレベータ34が上昇して、ウエハボート41を
加熱炉31のプロセスチューブ32内に搬入する。そし
て、加熱炉31によってウエハWを約400℃の温度で
加熱することによりウエハW表面に塗布されたSOG膜
が熱処理例えば焼き締めされる。なお、このウエハWが
熱処理されている間、別のウエハボート41には上述と
同様な手順で別のウエハWが搬入される。
When a predetermined number of wafers W and dummy wafers Wd are loaded into the wafer boat 41, the boat liner 46
Is a predetermined distance, for example, an integral multiple of the boat interval pitch,
The wafer boat 41 moves to a front position of the opening window 75 while moving at a low speed so that the wafer boat 41 does not shake.
Then, after the boat mounting arm 35e of the boat transfer mechanism 35 of the heat treatment unit 30 enters under the wafer boat 41 to mount and support the wafer boat 41, the wafer boat 41 is transferred onto the boat elevator 34. Then, the wafer boat 41 is placed on the boat elevator 34. next,
The boat elevator 34 moves up to carry the wafer boat 41 into the process tube 32 of the heating furnace 31. Then, by heating the wafer W at a temperature of about 400 ° C. by the heating furnace 31, the SOG film coated on the surface of the wafer W is heat-treated, for example, baked. While this wafer W is being heat-treated, another wafer W is loaded into another wafer boat 41 in the same procedure as described above.

【0046】加熱炉31での加熱処理が行われた後、ボ
ートエレベータ34が下降してウエハボート41が加熱
炉31の下方に取り出されると、前述と逆の動作によっ
てボート移送機構35のボート載置アーム35eがウエ
ハボート41の下部に侵入してウエハボート41を受け
取った後、ボートライナー46のボート載置位置に移動
してボートライナー46上にウエハボート41を受け渡
す。ウエハボート41を受け取った後、ボートライナー
46は所定距離移動して別の熱処理前のウエハWが収容
されたウエハボート41を開口窓75の正面位置に移動
して上述と同様にボート移送機構35によってウエハボ
ート41をボートエレベータ34に搬送して加熱炉31
内に搬入し熱処理を開始する一方、加熱処理されたウエ
ハWは搬入・搬出機構44のアーム44eによってウエ
ハボート41から一枚ずつ搬出されて位置決め機構43
を経由して塗布処理部20のウエハ搬送アーム21に受
け取られた後、ロード・アンロード部10のピンセット
14によって処理済み用ウエハカセット12内に収容さ
れて、処理工程が終了する。
After the heating treatment in the heating furnace 31 is performed, the boat elevator 34 descends and the wafer boat 41 is taken out below the heating furnace 31. By the operation reverse to the above, the boat transfer mechanism 35 is mounted on the boat. The mounting arm 35e enters the lower portion of the wafer boat 41 to receive the wafer boat 41, and then moves to the boat mounting position of the boat liner 46 to transfer the wafer boat 41 onto the boat liner 46. After receiving the wafer boat 41, the boat liner 46 moves for a predetermined distance to move the wafer boat 41 containing another wafer W before the heat treatment to the front position of the opening window 75, and the boat transfer mechanism 35 as described above. The wafer boat 41 is transferred to the boat elevator 34 by the heating furnace 31.
While the wafers W are carried into the inside to start the heat treatment, the heat-treated wafers W are carried out one by one from the wafer boat 41 by the arm 44e of the carrying-in / carrying-out mechanism 44, and the positioning mechanism 43.
After being received by the wafer transfer arm 21 of the coating processing section 20 via the, the tweezers 14 of the loading / unloading section 10 stores the wafer in the processed wafer cassette 12 and the processing step is completed.

【0047】したがって、3個のウエハボート41を用
いることによって、ウエハボート41へのウエハWの搬
入、ウエハボート41に搬入されたウエハWの加熱処理
及びウエハボート41からの加熱処理後のウエハWの搬
出を各50枚ずつ同時的に行うことができ、150枚の
ウエハWのSOG塗布処理と加熱処理を連続的に行うこ
とができる。
Therefore, by using the three wafer boats 41, the wafer W is loaded into the wafer boat 41, the wafer W loaded into the wafer boat 41 is heated, and the wafer W after the wafer W is heated. It is possible to simultaneously carry out 50 wafers each, and it is possible to continuously perform SOG coating processing and heating processing on 150 wafers W.

【0048】なお、上記実施例では、ウエハWの表面に
SOG膜を一度塗りする場合について説明したが、SO
G膜を二度塗りする場合には以下のようにして処理す
る。この場合、インターフェイス部40に配設されたウ
エハボート41の数例えば3個より1個少ない2個のウ
エハボート41が保有できるウエハWの枚数(例えば5
0(枚)×2(個)=100枚)のウエハWをロード・
アンロード部10に配備しておく。
In the above embodiment, the case where the SOG film is once coated on the surface of the wafer W has been described.
When the G film is applied twice, it is processed as follows. In this case, the number of wafers W that can be held by two wafer boats 41, which is one less than three wafer boats 41, for example, three wafer boats 41 (for example, 5).
Load 0 (sheets) x 2 (pieces) = 100 wafers W
It is placed in the unload unit 10.

【0049】ウエハWにSOG膜を二度塗りする場合
は、上述と同様に、ウエハカセット11からウエハWを
ピンセット14で取り出して、受渡し位置でウエハ搬送
アーム21に受け渡す。そして、ウエハ搬送アーム21
にてクーリング機構23に搬送して所定の温度に冷却し
た後、塗布機構25に搬送してSOG膜を塗布し、次い
で、ベーク機構24に搬送してSOG溶液中の溶媒を蒸
発させる。
When the SOG film is to be applied twice to the wafer W, the wafer W is taken out from the wafer cassette 11 with the tweezers 14 and transferred to the wafer transfer arm 21 at the transfer position, as described above. Then, the wafer transfer arm 21
After being conveyed to the cooling mechanism 23 and cooled to a predetermined temperature, it is conveyed to the coating mechanism 25 to apply the SOG film, and then conveyed to the bake mechanism 24 to evaporate the solvent in the SOG solution.

【0050】溶媒が蒸発された後のウエハWはインター
フェイス部40に搬送されてウエハボート41内に搬入
される。このようにして一度目のSOG膜が塗布された
ウエハWは位置決め機構43にて位置決めされた後、搬
入・搬出機構44によって順次ウエハボート41に搬入
されてウエハボート41内に所定枚数のウエハWが収容
される。
The wafer W after the solvent is evaporated is carried to the interface section 40 and carried into the wafer boat 41. The wafer W coated with the SOG film for the first time in this way is positioned by the positioning mechanism 43, and then sequentially carried into the wafer boat 41 by the carry-in / carry-out mechanism 44 and a predetermined number of wafers W in the wafer boat 41. Is housed.

【0051】SOG膜が一度塗りされたウエハWは再び
搬入・搬出機構44によってウエハボート41から搬出
されて塗布処理部20のウエハ搬送アーム21に受け渡
されて、上述と同様に、クーリング機構23にて冷却さ
れた後、塗布機構25にて二度目のSOG膜が形成さ
れ、そして、ベーク機構24に搬送されてSOG溶液中
の溶媒が蒸発される。このようにして、二度目のSOG
膜が形成されたウエハWは、位置決め機構43にて位置
決めされた後、搬入・搬出機構44によって順次ウエハ
ボート41に搬入されてウエハボート41内に所定枚数
のウエハWが収容される。ウエハボート41の所有する
枚数(例えば60枚)に満たない不足部分には、上述と
同様に、ダミーウエハ用ボート45に収容されているダ
ミーウエハWdが搬入・搬出機構44のアーム44eに
よってウエハボート41内に搬入される。
The wafer W once coated with the SOG film is again carried out of the wafer boat 41 by the carrying-in / carrying-out mechanism 44 and transferred to the wafer carrying arm 21 of the coating processing section 20, and in the same manner as described above, the cooling mechanism 23. After being cooled by, the coating mechanism 25 forms a second SOG film, and is transported to the baking mechanism 24 to evaporate the solvent in the SOG solution. In this way, the second SOG
The wafer W on which the film is formed is positioned by the positioning mechanism 43, and then sequentially carried into the wafer boat 41 by the carry-in / carry-out mechanism 44, and a predetermined number of wafers W are accommodated in the wafer boat 41. As described above, the dummy wafers Wd accommodated in the dummy wafer boat 45 are transferred to the inside of the wafer boat 41 by the arm 44e of the loading / unloading mechanism 44 in the shortage portion less than the number (for example, 60) of the wafer boat 41. Be delivered to.

【0052】ウエハボート41に所定枚数のウエハWと
ダミーウエハWdが搬入されると、ボートライナー46
が所定距離移動してウエハボート41は開口窓75の正
面位置に移動される。そして、開口窓75に移動された
ウエハボート41は熱処理部30のボート移送機構35
によってボートエレベータ34の上に移送されて、加熱
炉31のプロセスチューブ32内に搬入される。そし
て、加熱炉31によってウエハWを約400℃の温度で
加熱することによりウエハW表面に塗布されたSOG膜
が焼き締めされる。なお、ウエハW加熱処理がされてい
る間、別のウエハボート41には上述と同様な手順で別
のウエハWが搬入される。
When a predetermined number of wafers W and dummy wafers Wd are loaded into the wafer boat 41, the boat liner 46
After a predetermined distance, the wafer boat 41 is moved to the front position of the opening window 75. The wafer boat 41 moved to the opening window 75 is moved to the boat transfer mechanism 35 of the heat treatment unit 30.
It is transferred onto the boat elevator 34 by and is carried into the process tube 32 of the heating furnace 31. Then, by heating the wafer W at a temperature of about 400 ° C. by the heating furnace 31, the SOG film coated on the surface of the wafer W is baked. While the wafer W is being heated, another wafer W is loaded into another wafer boat 41 by the same procedure as described above.

【0053】加熱炉31での加熱処理が行われた後、ボ
ートエレベータ34が下降してウエハボート41が加熱
炉31の下方に取り出されると、ボート移送機構35の
上述と逆の動作によってボートライナー46のボート載
置位置に移動してボートライナー46上にウエハボート
41を受け渡す。ウエハボート41を受け取った後、ボ
ートライナー46は所定距離移動して別のウエハボート
41を開口窓75の正面位置に移動して上述と同様にボ
ート移送機構35によってウエハボート41をボートエ
レベータ34に搬送して加熱炉31内に搬入する一方、
加熱処理されたウエハWは搬入・搬出機構44のアーム
44eによってウエハボート41から搬出されて塗布処
理部20のウエハ搬送アーム21に受け取られた後、ロ
ード・アンロード部10のピンセット14によって処理
済み用ウエハカセット12内に収容されて、処理工程が
終了する。
After the heat treatment in the heating furnace 31, the boat elevator 34 descends and the wafer boat 41 is taken out below the heating furnace 31, the boat transfer mechanism 35 operates in the reverse order to the above, and the boat liner is operated. The wafer boat 41 is transferred to the boat mounting position 46 and the wafer boat 41 is transferred onto the boat liner 46. After receiving the wafer boat 41, the boat liner 46 moves a predetermined distance to move another wafer boat 41 to the front position of the opening window 75, and moves the wafer boat 41 to the boat elevator 34 by the boat transfer mechanism 35 as described above. While being transported and loaded into the heating furnace 31,
The heated wafer W is unloaded from the wafer boat 41 by the arm 44e of the loading / unloading mechanism 44 and received by the wafer transfer arm 21 of the coating processing unit 20, and then processed by the tweezers 14 of the loading / unloading unit 10. The wafer is accommodated in the wafer cassette for use 12 and the processing process is completed.

【0054】また、ウエハWにSOG膜を二度塗りする
別の方法として、ウエハW上にSOG溶液を塗布して溶
媒を蒸発した後、再びSOG溶液を塗布して溶媒を蒸発
させ、次に加熱炉31内に搬入して熱処理を行う工程を
繰り返してウエハW上に多層のSOG膜を形成すること
ができる。
As another method of applying the SOG film twice to the wafer W, the SOG solution is applied on the wafer W to evaporate the solvent, and then the SOG solution is applied again to evaporate the solvent. It is possible to form a multi-layer SOG film on the wafer W by repeating the step of carrying in the heating furnace 31 and performing the heat treatment.

【0055】また、上記実施例では、ダミーウエハ用ボ
ート45をインターフェイス部40のボートライナー4
6上に1個載置した場合について説明したが、このダミ
ーウエハ用ボート45を更にインターフェイス部40に
(図示せず)、あるいは、図1に想像線で示すように、
ロード・アンロード部10にダミーウエハを収容するウ
エハカセット45aを設けておけば、不足したダミーウ
エハWdを補充することができると共に、ウエハボート
41からダミーウエハWdを回収してダミーウエハ用ウ
エハカセット45aに収容することができる。
In the above embodiment, the dummy wafer boat 45 is connected to the boat liner 4 of the interface section 40.
The case where one dummy wafer boat 45 is mounted on the dummy wafer 6 has been described, but the dummy wafer boat 45 is further provided on the interface unit 40 (not shown), or as shown by an imaginary line in FIG.
If the loading / unloading unit 10 is provided with the wafer cassette 45a for accommodating the dummy wafers, the insufficient dummy wafers Wd can be replenished, and the dummy wafers Wd are recovered from the wafer boat 41 and accommodated in the dummy wafer wafer cassette 45a. be able to.

【0056】なお、上記実施例ではこの発明の処理装置
を半導体ウエハのSOG塗布・加熱装置に適用した場合
について説明したが、被処理体はウエハ以外の例えばL
CD基板等にも適用でき、SOG溶液以外の処理液を被
処理体に塗布した後、加熱処理するものにも適用できる
ことは勿論である。
In the above embodiment, the case where the processing apparatus of the present invention is applied to the SOG coating / heating apparatus for semiconductor wafers has been described, but the object to be processed is, for example, L other than the wafer.
It is needless to say that the present invention can be applied to a CD substrate and the like, and can also be applied to a material in which a treatment liquid other than the SOG solution is applied to the object to be treated and then heat-treated.

【0057】[0057]

【発明の効果】以上に説明したように、この発明の処理
装置は上記のように構成されているので、以下のような
効果が得られる。
As described above, since the processing apparatus of the present invention is constructed as described above, the following effects can be obtained.

【0058】1)請求項1記載の処理装置によれば、塗
布処理された複数枚の被処理体を同時に加熱処理するこ
とができるので、スループットの向上を図ることができ
ると共に、製品歩留まりの向上を図ることができる。
1) According to the processing apparatus of the first aspect, since a plurality of coated objects can be heated at the same time, the throughput can be improved and the product yield can be improved. Can be achieved.

【0059】2)請求項2記載の処理装置によれば、移
動手段上にダミー用被処理体を収容するダミー用被処理
体の保持手段を載置するので、保持手段に被処理体を搬
入する際、保持手段が保有できる枚数に満たない不足部
分にダミー用被処理体を搬入して、常時保持手段が保有
する枚数の被処理体及びダミー用被処理体を保持手段に
て保持して熱処理することができ、被処理体の熱処理を
均一に行うことができ、塗布膜の均一化を図ることがで
きる。
2) According to the processing apparatus of the second aspect, since the holding means for holding the dummy object to be processed is placed on the moving means, the object to be processed is carried into the holding means. In doing so, the dummy processing target objects are carried into the insufficient portion that is less than the number that the holding means can hold, and the holding means holds the number of processing target objects and dummy processing target objects that the holding means always holds. The heat treatment can be performed, the heat treatment of the object to be processed can be uniformly performed, and the coating film can be made uniform.

【0060】3)請求項3記載の処理装置によれば、イ
ンターフェイス部に複数の保持手段を配設し、被処理体
供給部に、インターフェイスブに配設された保持手段の
数より1個少ない数の保持手段が保有する枚数の被処理
体を配備することにより、予備の保持手段に塗布処理済
みの被処理体を待機させることができるので、複数回塗
布処理を行うことが可能となる。また、保持手段を洗浄
する場合や交換する場合においても装置全体の稼働を停
止することなく塗布処理及び加熱処理を連続して行うこ
とができる。
3) According to the processing apparatus of the third aspect, a plurality of holding means are arranged in the interface section, and the number of holding means arranged in the interface is one less than the number of holding means in the workpiece supply section. By arranging the number of objects to be processed held by the number of holding means, it is possible to make the preliminary holding means stand by for the objects to be processed which have been subjected to the coating processing, so that it is possible to perform the coating processing a plurality of times. Further, even when the holding means is washed or replaced, the coating process and the heating process can be continuously performed without stopping the operation of the entire apparatus.

【図面の簡単な説明】[Brief description of drawings]

【図1】この発明の処理装置の一例の概略平面図であ
る。
FIG. 1 is a schematic plan view of an example of a processing apparatus of the present invention.

【図2】この発明の処理装置の一例の概略斜視図であ
る。
FIG. 2 is a schematic perspective view of an example of the processing apparatus of the present invention.

【図3】この発明におけるインターフェイス部の平面図
である。
FIG. 3 is a plan view of an interface section according to the present invention.

【図4】インターフェイス部の縦断面図である。FIG. 4 is a vertical sectional view of an interface section.

【図5】この発明における位置決め機構の平面図であ
る。
FIG. 5 is a plan view of a positioning mechanism according to the present invention.

【図6】位置決め機構の断面図である。FIG. 6 is a sectional view of a positioning mechanism.

【図7】位置決め機構の側面図である。FIG. 7 is a side view of the positioning mechanism.

【図8】この発明におけるボートライナーの一部を断面
で示す平面図である。
FIG. 8 is a plan view showing a cross section of a part of the boat liner according to the present invention.

【図9】図8のA−A断面図である。9 is a cross-sectional view taken along the line AA of FIG.

【図10】この発明におけるボートライナーと保持手段
の取付け状態を示す分解斜視図である。
FIG. 10 is an exploded perspective view showing a mounted state of the boat liner and the holding means in the present invention.

【図11】保持手段の一部を断面で示す側面図及びその
B−B断面図である。
FIG. 11 is a side view showing a part of the holding means in section and a BB sectional view thereof.

【図12】この発明におけるダミーウエハ用ボートの一
部を断面で示す側面図及びそのC−C断面図である。
FIG. 12 is a side view showing a part of the dummy wafer boat according to the present invention in a cross section and its CC cross sectional view.

【図13】この発明における保持手段に搬入されたウエ
ハの飛出し検出センサの取付け状態を示す正面図であ
る。
FIG. 13 is a front view showing a mounting state of a wafer pop-out detection sensor carried in the holding means in the present invention.

【図14】ウエハ飛出し検出センサの他に飛出し距離検
出センサを取付けた状態の側面図である。
FIG. 14 is a side view showing a state in which a protrusion distance detection sensor is attached in addition to the wafer protrusion detection sensor.

【図15】ウエハの飛出し位置検出センサとウエハ押込
み手段を示す斜視図である。
FIG. 15 is a perspective view showing a wafer ejection position detection sensor and a wafer pushing unit.

【図16】ウエハの保持手段への搬入状態と押込み状態
を示す説明図である。
FIG. 16 is an explanatory diagram showing a loading state and a pushing state of a wafer into a holding unit.

【図17】この発明における熱処理部の概略断面図であ
る。
FIG. 17 is a schematic sectional view of a heat treatment section in the present invention.

【図18】この発明における保持手段の移送機構を示す
斜視図である。
FIG. 18 is a perspective view showing a transfer mechanism of the holding means in the present invention.

【符号の説明】[Explanation of symbols]

10 ロード・アンロード部(被処理体供給部) 20 塗布処理部 30 熱処理部 31 加熱炉(加熱装置) 35 ボート移送機構(移送手段) 40 インターフェイス部 41 ウエハボート(保持手段) 45 ダミーウエハ用ボート(保持手段) 46 ボートライナー(移動手段) W 半導体ウエハ(被処理体) Wd ダミーウエハ 10 Loading / Unloading Part (Subject Supplying Part) 20 Coating Part 30 Heat Treatment Part 31 Heating Furnace (Heating Device) 35 Boat Transfer Mechanism (Transfer Means) 40 Interface Part 41 Wafer Boat (Holding Means) 45 Dummy Wafer Boat ( Holding means) 46 Boat liner (moving means) W Semiconductor wafer (object to be processed) Wd Dummy wafer

───────────────────────────────────────────────────── フロントページの続き (72)発明者 後藤 英昭 熊本県菊池郡菊陽町津久礼2655番地 東京 エレクトロン九州株式会社熊本事業所内 (72)発明者 建山 正規 熊本県菊池郡菊陽町津久礼2655番地 東京 エレクトロン九州株式会社熊本事業所内 (72)発明者 吉本 裕二 熊本県菊池郡菊陽町津久礼2655番地 東京 エレクトロン九州株式会社熊本事業所内 ─────────────────────────────────────────────────── ─── Continuation of the front page (72) Inventor Hideaki Goto 2655 Tsukure, Kikuyo-cho, Kikuchi-gun, Kumamoto Prefecture Tokyo Electron Kyushu Co., Ltd. Kumamoto Plant (72) Inventor Takuma Tateyama 2655 Tsukure, Kikuyo-cho, Kikuchi-gun, Kumamoto Prefecture Tokyo Electron Kyushu Co., Ltd., Kumamoto Plant (72) Inventor Yuji Yoshimoto 2655 Tsukyu, Kikuyo-cho, Kikuchi-gun, Kumamoto Prefecture Tokyo Electron Kyushu Corp., Kumamoto Plant

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 枚葉処理により被処理体に処理液を塗布
する塗布処理部と、 上記処理液を塗布後の複数枚の被処理体を保持手段にて
保持して加熱処理する熱処理部と、 上記塗布処理部と熱処理部間、上記被処理体を搬送する
インターフェイス部とを具備し、 上記インターフェイス部に、上記保持手段を着脱可能に
載置すると共に複数の保持手段を同時に移動する移動手
段を設け、 上記熱処理部に、上記移動手段と加熱装置との間で上記
保持手段の受け渡しを司る移送手段を設けてなることを
特徴とする処理装置。
1. A coating processing unit for applying a treatment liquid to a treatment target by a single-wafer treatment, and a heat treatment unit for holding a plurality of treatment subjects after coating the treatment liquid by a holding means and performing heat treatment. A moving unit that has an interface unit that conveys the object to be processed between the coating processing unit and the heat treatment unit, and that detachably mounts the holding unit on the interface unit and simultaneously moves a plurality of holding units. And a transfer means for transferring the holding means between the moving means and the heating device in the heat treatment section.
【請求項2】 移動手段上に、ダミー用被処理体を収容
するダミー用被処理体の保持手段を載置してなることを
特徴とする請求項1記載の処理装置。
2. The processing apparatus according to claim 1, wherein holding means for holding the dummy processing target object that accommodates the dummy processing target object is mounted on the moving means.
【請求項3】 枚葉処理により被処理体に処理液を塗布
する塗布処理部と、上記処理液を塗布後の複数枚の被処
理体を保持手段にて保持して加熱処理する熱処理部と、 上記塗布処理部と熱処理部間、上記被処理体を搬送する
インターフェイス部とを具備し、 上記インターフェイス部に、上記保持手段を複数(n)
配設し、 上記被処理体供給部に、n−1個の保持手段が保有する
枚数の被処理体を配備してなることを特徴とする処理装
置。
3. A coating treatment section for applying a treatment liquid to a treatment object by a single-wafer treatment, and a heat treatment section for holding a plurality of treatment objects after the treatment liquid is applied by a holding means for heat treatment. An interface unit for conveying the object to be processed between the coating processing unit and the heat treatment unit, and a plurality of (n) holding means are provided in the interface unit.
The processing apparatus is characterized in that the processing target supply unit is provided with the number of processing targets held by n-1 holding means.
JP05294479A 1993-10-29 1993-10-29 Processing device and processing method Expired - Fee Related JP3118681B2 (en)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP05294479A JP3118681B2 (en) 1993-10-29 1993-10-29 Processing device and processing method
US08/331,083 US5565034A (en) 1993-10-29 1994-10-28 Apparatus for processing substrates having a film formed on a surface of the substrate
KR1019940028108A KR100272188B1 (en) 1993-10-29 1994-10-29 Wafer treating apparatus and method thereof
TW083110112A TW320741B (en) 1993-10-29 1994-11-02
US08/692,436 US5725664A (en) 1993-10-29 1996-08-05 Semiconductor wafer processing apparatus including localized humidification between coating and heat treatment sections
US08/692,286 US6054181A (en) 1993-10-29 1996-08-05 Method of substrate processing to form a film on multiple target objects

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP05294479A JP3118681B2 (en) 1993-10-29 1993-10-29 Processing device and processing method

Publications (2)

Publication Number Publication Date
JPH07130721A true JPH07130721A (en) 1995-05-19
JP3118681B2 JP3118681B2 (en) 2000-12-18

Family

ID=17808305

Family Applications (1)

Application Number Title Priority Date Filing Date
JP05294479A Expired - Fee Related JP3118681B2 (en) 1993-10-29 1993-10-29 Processing device and processing method

Country Status (1)

Country Link
JP (1) JP3118681B2 (en)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5876280A (en) * 1996-05-30 1999-03-02 Tokyo Electron Limited Substrate treating system and substrate treating method
US6861614B1 (en) 1999-07-08 2005-03-01 Nec Corporation S system for the formation of a silicon thin film and a semiconductor-insulating film interface
WO2005031822A1 (en) * 2003-09-29 2005-04-07 Nikon Corporation Working chamber, maintenance method, exposure apparatus, and environment chamber
WO2006006377A1 (en) * 2004-07-13 2006-01-19 Hitachi Kokusai Electric Inc. Substrate processing equipment and method for manufacturing semiconductor device
JP2007173765A (en) * 2005-11-24 2007-07-05 Tokyo Electron Ltd Substrate processing method, and apparatus therefor
KR100761576B1 (en) * 2004-12-24 2007-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate processing apparatus
WO2007126016A1 (en) * 2006-04-26 2007-11-08 Tokyo Electron Limited Film position adjusting method, memory medium and substrate processing system
CN100452340C (en) * 2004-12-24 2009-01-14 日本网目版制造株式会社 Substrate treating apparatus
JP2012074647A (en) * 2010-09-30 2012-04-12 Koyo Thermo System Kk Substrate thermal treatment system
KR20180063310A (en) * 2016-09-21 2018-06-11 가부시키가이샤 히다치 고쿠사이 덴키 A substrate processing apparatus, a method of manufacturing a semiconductor device, and a program recorded on a computer-readable recording medium
CN113299589A (en) * 2021-05-14 2021-08-24 北京北方华创微电子装备有限公司 Semiconductor heat treatment equipment and adjusting device and adjusting method thereof

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5876280A (en) * 1996-05-30 1999-03-02 Tokyo Electron Limited Substrate treating system and substrate treating method
US6861614B1 (en) 1999-07-08 2005-03-01 Nec Corporation S system for the formation of a silicon thin film and a semiconductor-insulating film interface
US7312418B2 (en) 1999-07-08 2007-12-25 Nec Corporation Semiconductor thin film forming system
WO2005031822A1 (en) * 2003-09-29 2005-04-07 Nikon Corporation Working chamber, maintenance method, exposure apparatus, and environment chamber
KR100831933B1 (en) * 2004-07-13 2008-05-23 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing equipment and method for manufacturing semiconductor device
WO2006006377A1 (en) * 2004-07-13 2006-01-19 Hitachi Kokusai Electric Inc. Substrate processing equipment and method for manufacturing semiconductor device
US9111972B2 (en) 2004-07-13 2015-08-18 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method for a semiconductor device
KR100761576B1 (en) * 2004-12-24 2007-09-27 다이닛뽕스크린 세이조오 가부시키가이샤 Substrate processing apparatus
CN100452340C (en) * 2004-12-24 2009-01-14 日本网目版制造株式会社 Substrate treating apparatus
JP2007173765A (en) * 2005-11-24 2007-07-05 Tokyo Electron Ltd Substrate processing method, and apparatus therefor
JP2007294752A (en) * 2006-04-26 2007-11-08 Tokyo Electron Ltd Film position adjusting method, memorizing medium, and substrate treating system
KR101026006B1 (en) * 2006-04-26 2011-03-30 도쿄엘렉트론가부시키가이샤 Film position adjusting method, memory medium and substrate processing system
US8318238B2 (en) 2006-04-26 2012-11-27 Tokyo Electron Limited Film position adjusting method, memory medium and substrate processing system
WO2007126016A1 (en) * 2006-04-26 2007-11-08 Tokyo Electron Limited Film position adjusting method, memory medium and substrate processing system
JP2012074647A (en) * 2010-09-30 2012-04-12 Koyo Thermo System Kk Substrate thermal treatment system
KR20180063310A (en) * 2016-09-21 2018-06-11 가부시키가이샤 히다치 고쿠사이 덴키 A substrate processing apparatus, a method of manufacturing a semiconductor device, and a program recorded on a computer-readable recording medium
CN113299589A (en) * 2021-05-14 2021-08-24 北京北方华创微电子装备有限公司 Semiconductor heat treatment equipment and adjusting device and adjusting method thereof

Also Published As

Publication number Publication date
JP3118681B2 (en) 2000-12-18

Similar Documents

Publication Publication Date Title
JP3240449B2 (en) Processing equipment
KR100272188B1 (en) Wafer treating apparatus and method thereof
KR100354547B1 (en) Resist treating method
JP5128918B2 (en) Substrate processing equipment
TWI598703B (en) Film deposition method, computer storage medium, and film deposition system
JP5344734B2 (en) Substrate processing equipment
JP5179170B2 (en) Substrate processing equipment
KR100618108B1 (en) Substrate processing apparatus
KR101010086B1 (en) Substrate treating apparatus
KR101047799B1 (en) Substrate processing apparatus
JP3118681B2 (en) Processing device and processing method
JP2009135292A (en) Substrate treating apparatus
JP4664264B2 (en) Detection apparatus and detection method
JP2984969B2 (en) Processing system
JP3066519B2 (en) Processing system and processing method
JPH08274143A (en) Device and method for carrying substrate
US6821073B1 (en) Container handling system for substrate processing apparatus and method of handling containers
JP5442890B2 (en) Substrate processing equipment
JP5572666B2 (en) Substrate processing equipment
JP6656305B2 (en) Substrate processing equipment
JP3120315B2 (en) Processing equipment
JP2009231624A (en) Substrate processing apparatus
JP5964654B2 (en) Substrate processing method
JP5608148B2 (en) Substrate processing equipment
KR102233465B1 (en) Unit for transferring substrate, Apparatus and Method for treating substrate with the unit

Legal Events

Date Code Title Description
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20000914

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20121013

Year of fee payment: 12

LAPS Cancellation because of no payment of annual fees