JPH07104795B2 - Error detection method - Google Patents

Error detection method

Info

Publication number
JPH07104795B2
JPH07104795B2 JP62145194A JP14519487A JPH07104795B2 JP H07104795 B2 JPH07104795 B2 JP H07104795B2 JP 62145194 A JP62145194 A JP 62145194A JP 14519487 A JP14519487 A JP 14519487A JP H07104795 B2 JPH07104795 B2 JP H07104795B2
Authority
JP
Japan
Prior art keywords
module
bus
register
output
information
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP62145194A
Other languages
Japanese (ja)
Other versions
JPS63310031A (en
Inventor
正則 平野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nippon Telegraph and Telephone Corp
Original Assignee
Nippon Telegraph and Telephone Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Nippon Telegraph and Telephone Corp filed Critical Nippon Telegraph and Telephone Corp
Priority to JP62145194A priority Critical patent/JPH07104795B2/en
Publication of JPS63310031A publication Critical patent/JPS63310031A/en
Publication of JPH07104795B2 publication Critical patent/JPH07104795B2/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Landscapes

  • Hardware Redundancy (AREA)

Description

【発明の詳細な説明】 〔産業上の利用分野〕 本発明は、情報処理装置を構成するモジュール、すなわ
ちCPU(中央処理部)、IOP(入出力制御部)、MEM(主
記憶部)等の各モジュールのエラー検出方式に関するも
のである。
DETAILED DESCRIPTION OF THE INVENTION [Industrial field of application] The present invention relates to a module constituting an information processing device, that is, a CPU (central processing unit), an IOP (input / output control unit), a MEM (main storage unit), and the like. The present invention relates to an error detection method of each module.

〔従来の技術〕[Conventional technology]

従来、情報処理装置を構成するCPU,IOP,MEM等の各モジ
ュールのエラー検出方式として、同一モジュールを2重
化し、1台のモジュールをマスタ、他の1台のモジュー
ルをスレーブとして指定し、マスタ指定のモジュールの
出力情報とスレーブ指定のモジュールの出力情報を比較
し、それによりエラーを検出する方式が一般にとられて
いる。
Conventionally, as an error detection method for each module such as CPU, IOP, and MEM that configures an information processing device, the same module is duplicated and one module is designated as a master and the other module is designated as a slave, Generally, a method is adopted in which output information of a designated module and output information of a slave designated module are compared to detect an error.

〔発明が解決しようとする問題点〕[Problems to be solved by the invention]

従来のモジュールを2重化したエラー検出方式において
は、マスタとスレーブのモジュールは必ず、くくりつけ
となり、モジュールの実装に柔軟性が無いと言う欠点が
あった。
In the error detection method in which the conventional module is duplicated, there is a drawback that the master and slave modules are always tied together and the module mounting is not flexible.

本発明の目的は、かかる欠点を克服し、モジュールの実
装位置に関係なく、2重化したモジュールのエラー検出
を可能とするエラー検出方式を提供することにある。
An object of the present invention is to provide an error detection method that overcomes such drawbacks and enables error detection of a duplicated module regardless of the mounting position of the module.

〔問題点を解決するための手段〕[Means for solving problems]

上記目的達成のため、本発明では、CPU、IOP、MEM等を
それぞれ構成するモジュールをそれぞれのバス接続部を
介してバスに接続することにより、前記モジュール間を
相互接続して成る情報処理装置において、前記バス接続
部は、モジュールIDを保持するモジュールIDレジスタ
と、モード表示レジスタと、前記モード表示レジスタに
おいてマスタが指定された場合、自モジュールからのバ
ス出力要求に対し、該自モジュールからの出力情報に前
記モジュールIDレジスタの内容を付加してバスに出力す
る回路と、前記モード表示レジスタにおいてスレーブが
指定された場合、バスを監視し、バス上のモジュールID
が自モジュールIDレジスタの内容と一致し、かつ自モジ
ュールからの出力情報とバス上の情報が不一致の場合、
同一のモジュールIDを有するモジュールの中にエラーが
存在するものとしてそのことを示す信号を出力する回路
と、を具備する。
To achieve the above object, in the present invention, in an information processing device formed by interconnecting the modules, each of which comprises a CPU, an IOP, a MEM, etc., by connecting the modules to each other via a bus connection unit. When the master is designated in the module ID register holding the module ID, the mode display register, and the mode display register, the bus connection unit outputs the output from the own module in response to the bus output request from the own module. A circuit that adds the contents of the module ID register to the information and outputs it to the bus. If a slave is specified in the mode display register, the bus is monitored and the module ID on the bus is monitored.
Is the same as the contents of the own module ID register, and the output information from the own module and the information on the bus do not match,
A circuit that outputs a signal indicating that an error exists in the modules having the same module ID.

〔作用〕[Action]

2重化したモジュールにそれぞれ同一モジュールIDを付
与し、マスタ指定のモジュールは出力情報をバスに出力
する場合、モジュールIDを付与して出力し、スレーブ指
定のモジュールは常にバスを監視し、バス上のモジュー
ルIDが自モジュールIDと一致した場合、バス上の情報と
自モジュールがバスに出力しようとしていた情報を比較
し、モジュールのエラー検出を行う。これによりモジュ
ールの実装位置に関係なく、2重化したモジュールのエ
ラー検出が可能となる。
When the same module ID is assigned to each duplicated module, and the module designated by the master outputs the output information to the bus, the module ID is assigned and output, and the module designated by the slave always monitors the bus and If the module ID of is matched with the self module ID, the information on the bus is compared with the information that the self module was trying to output to the bus, and the module error is detected. This makes it possible to detect an error in a duplicated module regardless of the module mounting position.

〔実施例〕〔Example〕

図は本発明の一実施例を示すブロック図である。 FIG. 1 is a block diagram showing an embodiment of the present invention.

同図において、1,2,3はそれぞれCPU,IOP,MEM等を構成す
るモジュール、4,5,6はそれぞれバス接続部、7は自モ
ジュールがマスタかスレーブかを指定するモード表示レ
ジスタ、8はモジュールIDレジスタ、9,10はそれぞれ比
較回路であり、2つの入力が一致した場合、出力をオン
とする。11はインバータ、12,13はアンドゲート、14,1
5,16はトライステートバッファである。17はモジュール
間の通信情報をのせる情報バス、18はモジュールIDをの
せるモジュールIDバス、19,20,21はそれぞれモジュール
1,2,3からバス接続部4,5,6にバス出力要求を指示する信
号線、22,23,24はそれぞれモジュール1,2,3とバス接続
部4,5,6との間でモジュール間の通信情報を授受する信
号線、25はモード表示レジスタ7の内容をアンドゲート
12,13に与える信号線、26はモジュールIDレジスタ8の
内容を比較回路9及びトライステートバッファ16に与え
る信号線、27はインバータ11の出力をトライステートバ
ッファ14に与える信号線、28はアンドゲート12の出力を
トライステートバッファ15,16に与える信号線、29は比
較回路9の出力をアンドゲート13に与える信号線、30は
比較回路10の出力をアンドゲート13に与える信号線、31
はエラーを検出した場合オンとなる信号線である。
In the figure, 1, 2 and 3 are modules constituting CPU, IOP, MEM, etc., 4,5 and 6 are bus connection parts respectively, 7 is a mode display register for designating whether the own module is a master or a slave, 8 Is a module ID register, and 9 and 10 are comparison circuits, respectively, and when two inputs match, the output is turned on. 11 is an inverter, 12 and 13 are AND gates, 14 and 1
Reference numerals 5 and 16 are tristate buffers. 17 is an information bus that carries communication information between modules, 18 is a module ID bus that carries a module ID, and 19, 20 and 21 are modules respectively
Signal lines for instructing bus output requests from 1,2,3 to bus connection units 4, 5, 6; 22, 23, 24 are between module 1, 2, 3 and bus connection units 4, 5, 6 respectively. Signal line for exchanging communication information between modules, 25 is an AND gate for contents of mode display register 7
Signal lines 12 and 13 are provided, 26 is a signal line that gives the contents of the module ID register 8 to the comparison circuit 9 and the tri-state buffer 16, 27 is a signal line that gives the output of the inverter 11 to the tri-state buffer 14, and 28 is an AND gate. A signal line for giving the output of 12 to the tri-state buffers 15 and 16, a signal line for giving the output of the comparator circuit 9 to the AND gate 13, a number 30 for a signal line for giving the output of the comparator circuit 10 to the AND gate 13, and 31
Is a signal line that is turned on when an error is detected.

以下、図により本発明の実施例としてのエラー検出方式
について説明する。
An error detection method as an embodiment of the present invention will be described below with reference to the drawings.

まず、バス接続部4のモード表示レジスタ7をオン、す
なわち、モジュール1をマスタとした場合について説明
する。モジュール1が信号線19をオンとし、バス出力要
求を行うと、アンドゲート12の出力がオンとなりトライ
ステートバッファ15,16がオン、また、インバータ11の
出力がオフとなり、トライステートバッファ14がオフと
なる。従って、モジュール1から信号線22を介してバス
接続部4に送られてきたモジュール間の通信情報はトラ
イステートバッファ15を経由して情報バス17に出力され
る。また、モジュールIDレジスタ8に設定されているモ
ジュールIDが信号線26、トライステートバッファ16を経
由してモジュールIDバス18に出力される。
First, the case where the mode display register 7 of the bus connection unit 4 is turned on, that is, the module 1 is used as a master will be described. When the module 1 turns on the signal line 19 and makes a bus output request, the output of the AND gate 12 turns on and the tristate buffers 15 and 16 turn on, and the output of the inverter 11 turns off and the tristate buffer 14 turns off. Becomes Therefore, the inter-module communication information sent from the module 1 to the bus connection unit 4 via the signal line 22 is output to the information bus 17 via the tri-state buffer 15. Further, the module ID set in the module ID register 8 is output to the module ID bus 18 via the signal line 26 and the tri-state buffer 16.

このように、モジュール対応に付加されたバス接続部の
モード表示レジスタをマスタに指定することにより、モ
ジュールからの出力情報及びモジュールIDがバスに出力
され、バスに接続されている全てのモジュールに伝達さ
れる。
In this way, by designating the mode display register of the bus connection unit added for the module as the master, the output information from the module and the module ID are output to the bus and transmitted to all the modules connected to the bus. To be done.

次に、バス接続部4のモード表示レジスタ7をオフ、す
なわち、モジュール1をスレーブ指定とした場合につい
て説明する。
Next, a case where the mode display register 7 of the bus connection unit 4 is turned off, that is, the module 1 is designated as a slave will be described.

モジュール1が信号線19をオンとし、バス出力要求を行
っても、モード表示レジスタ7がオフのため、アンドゲ
ート12はオフとなり、トライステートバッファ15,16は
オフとなる。従って、モジュール1から信号線22を介し
てバス接続部4に送られてきたモジュール間の通信情報
及びモジュールIDレジスタ8に設定されているモジュー
ルIDは、それぞれ情報バス17及びモジュールIDバス18に
出力されることはない。バス接続部4では常に、比較回
路9により、モジュールIDレジスタ8とモジュールIDバ
ス18上の内容が一致するか否か、また、比較回路10によ
り、モジュール1から信号線22を介してバス接続部4に
与えられるモジュール間の通信情報と情報バス17上の内
容が一致するか否かのチエックを行っており、比較回路
9の出力がオン(すなわち、モジュールIDレジスタ7の
内容とモジュールIDバス18上の内容が一致)かつ、比較
回路10の出力がオン(すなわち、モジュール1から信号
線22を介してバス接続部4に与えられるモジュール間の
通信情報と情報バス17上の内容が不一致)の場合、モジ
ュール表示レジスタ7がオフのため、アンドゲート13が
オンとなり、エラーを検出する。
Even if the module 1 turns on the signal line 19 and makes a bus output request, the mode display register 7 is turned off, so that the AND gate 12 is turned off and the tristate buffers 15 and 16 are turned off. Therefore, the communication information between the modules sent from the module 1 to the bus connection unit 4 via the signal line 22 and the module ID set in the module ID register 8 are output to the information bus 17 and the module ID bus 18, respectively. It will not be done. In the bus connection unit 4, the comparison circuit 9 always determines whether or not the contents on the module ID register 8 and the module ID bus 18 match each other, and the comparison circuit 10 causes the bus connection unit from the module 1 via the signal line 22. It is checked whether or not the communication information between the modules given to 4 and the contents on the information bus 17 match, and the output of the comparison circuit 9 is turned on (that is, the contents of the module ID register 7 and the module ID bus 18). And the output of the comparison circuit 10 is on (that is, the communication information between the modules provided from the module 1 to the bus connection unit 4 via the signal line 22 does not match the content on the information bus 17). In this case, since the module display register 7 is off, the AND gate 13 is on and an error is detected.

図において、モード表示レジスタ、モジュールIDレジス
タに設定する値は、情報バス、モジュールIDバスに接続
しているどのモジュール間で相互にエラーチエックを行
わせるかにより決定すればよい。たとえば、モジュール
1とモジュール2を2重化し、その出力をチエックして
エラー検出を行わせようとした場合、バス接続部4のモ
ード表示レジスタ7に“1"(マスタ)、バス接続部5の
モード表示レジスタ“0"(スレーブ)を設定する。ま
た、バス接続部4とバス接続部5のモジュールIDレジス
タに同一のID(しかし、モジュール1、モジュール2以
外のモジュールでは、このモジュールIDを使用不可)を
設定する。
In the figure, the values set in the mode display register and the module ID register may be determined depending on which modules connected to the information bus and the module ID bus mutually perform error checking. For example, when the module 1 and the module 2 are duplicated and the output thereof is checked to perform error detection, "1" (master) is set in the mode display register 7 of the bus connection unit 4 and Set the mode display register "0" (slave). Also, the same ID (however, this module ID cannot be used in modules other than the module 1 and the module 2) is set in the module ID registers of the bus connection unit 4 and the bus connection unit 5.

〔発明の効果〕〔The invention's effect〕

以上説明したように、本発明によれば、たとえば、2台
のCPUモジュールのうち、1台をマスタ指定にし、他方
の1台をスレーブ指定とし、両方のCPUのモジュールID
を同一とすることにより、2台のCPUがバス上のどの位
置に実装されようとも、エラーチエックを行うことが可
能となる。
As described above, according to the present invention, for example, of two CPU modules, one is designated as a master and the other one is designated as a slave, and the module IDs of both CPUs are designated.
By making them the same, it is possible to perform error checking regardless of the positions on the bus where the two CPUs are mounted.

【図面の簡単な説明】[Brief description of drawings]

図は本発明の一実施例を示すブロック図である。 符号の説明 1,2,3……モジュール、4,5,6……バス接続部、7……モ
ード表示レジスタ、8……モジュールIDレジスタ、9,10
……比較回路、11……インバータ、12,13……アンドゲ
ート、14,15,16……トライステートバッファ。
FIG. 1 is a block diagram showing an embodiment of the present invention. Explanation of symbols 1,2,3 …… Module, 4,5,6 …… Bus connection part, 7 …… Mode display register, 8 …… Module ID register, 9,10
…… Comparison circuit, 11 …… Inverter, 12,13 …… And gate, 14,15,16 …… Tri-state buffer.

Claims (1)

【特許請求の範囲】[Claims] 【請求項1】中央処理部(CPU)、入出力制御部(IO
P)、主記憶部(MEM)等をそれぞれ構成するモジュール
をそれぞれのバス接続部を介してバスに接続することに
より、前記モジュール間を相互接続して成る情報処理装
置において、 前記バス接続部は、モジュールを他のモジュールと識別
するためのモジュールIDを保持するモジュールIDレジス
タと、自モジュールがマスタかスレーブかを指定するモ
ード表示レジスタと、前記モード表示レジスタにおいて
マスタが指定された場合、自モジュールからのバス出力
要求に対し、該自モジュールからの出力情報に前記モジ
ュールIDレジスタの内容を付加してバスに出力する回路
と、前記モード表示レジスタにおいてスレーブが指定さ
れた場合、バスを監視し、バス上のモジュールIDが自モ
ジュールIDレジスタの内容と一致し、かつ自モジュール
からの出力情報とバス上の情報が不一致の場合、同一の
モジュールIDを有するモジュールの中にエラーが存在す
るものとしてそのことを示す信号を出力する回路と、を
具備することを特徴とするエラー検出方式。
1. A central processing unit (CPU), an input / output control unit (IO
P), a main memory unit (MEM) and the like, each module is connected to a bus via each bus connection unit, thereby connecting the modules to each other. , A module ID register that holds the module ID for distinguishing the module from other modules, a mode display register that specifies whether the module is a master or a slave, and if the master is specified in the mode display register, In response to a bus output request from the circuit, a circuit that adds the contents of the module ID register to the output information from the own module and outputs to the bus, and if a slave is specified in the mode display register, monitors the bus, The module ID on the bus matches the contents of the own module ID register, and the output from the own module is If information and information mismatch on the bus, the error detection method, characterized by comprising, a circuit for outputting a signal indicating that the assumption that there is an error in the module having the same module ID.
JP62145194A 1987-06-12 1987-06-12 Error detection method Expired - Fee Related JPH07104795B2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP62145194A JPH07104795B2 (en) 1987-06-12 1987-06-12 Error detection method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP62145194A JPH07104795B2 (en) 1987-06-12 1987-06-12 Error detection method

Publications (2)

Publication Number Publication Date
JPS63310031A JPS63310031A (en) 1988-12-19
JPH07104795B2 true JPH07104795B2 (en) 1995-11-13

Family

ID=15379599

Family Applications (1)

Application Number Title Priority Date Filing Date
JP62145194A Expired - Fee Related JPH07104795B2 (en) 1987-06-12 1987-06-12 Error detection method

Country Status (1)

Country Link
JP (1) JPH07104795B2 (en)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2754722B2 (en) * 1989-05-12 1998-05-20 日本電気株式会社 Duplicate data check device
JPH07129426A (en) * 1993-10-29 1995-05-19 Hitachi Ltd Fault processing system
JP3183227B2 (en) * 1997-08-26 2001-07-09 日本電気株式会社 Redundant startup method

Also Published As

Publication number Publication date
JPS63310031A (en) 1988-12-19

Similar Documents

Publication Publication Date Title
EP0780774B1 (en) Logical address bus architecture for multiple processor systems
US5019962A (en) Direct memory access controller for a multi-microcomputer system
JPH07104795B2 (en) Error detection method
US4066883A (en) Test vehicle for selectively inserting diagnostic signals into a bus-connected data-processing system
JP2531080B2 (en) Bus adapter switching method
JPS6347106Y2 (en)
JPH087442Y2 (en) Input / output device of programmable controller
JP3086245B2 (en) Other system access designation device
JPH07114521A (en) Multimicrocomputer system
JPS6095678A (en) Multi-processor system
JPH02173852A (en) Bus diagnostic device
JPH03132846A (en) Bus conflict detection circuit
JPH11232212A (en) Bus system
JPH0561812A (en) Information processing system
JPH06223046A (en) Bus tracing execution method
JPH038001A (en) Programmable controller
JPH04117585A (en) Microcomuter
JPH02139653A (en) Bus occupation control system
JPH04329461A (en) Fault processing system
JPS63211053A (en) Connecting circuit
JPS6359636A (en) Trouble cause transmitting circuit
JPH05347610A (en) Bus interface circuit
JPS59221131A (en) Data transmission station
JPH0290352A (en) Testing device for abnormality processing function of bus master
JPH04155535A (en) Fault detecting system for information processor

Legal Events

Date Code Title Description
LAPS Cancellation because of no payment of annual fees