JPH0661443A - Semiconductor device and its manufacture - Google Patents

Semiconductor device and its manufacture

Info

Publication number
JPH0661443A
JPH0661443A JP4214265A JP21426592A JPH0661443A JP H0661443 A JPH0661443 A JP H0661443A JP 4214265 A JP4214265 A JP 4214265A JP 21426592 A JP21426592 A JP 21426592A JP H0661443 A JPH0661443 A JP H0661443A
Authority
JP
Japan
Prior art keywords
layer
capacitor
electrode
film
memory cell
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP4214265A
Other languages
Japanese (ja)
Inventor
Hidekazu Arima
英一 有馬
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Electric Corp
Original Assignee
Mitsubishi Electric Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Electric Corp filed Critical Mitsubishi Electric Corp
Priority to JP4214265A priority Critical patent/JPH0661443A/en
Publication of JPH0661443A publication Critical patent/JPH0661443A/en
Withdrawn legal-status Critical Current

Links

Abstract

PURPOSE:To provide a semiconductor device wherein, while a stable operation is maintained, a high integration can be achieved and a capacitor having a prescribed capacitor capacitance is provided and to provide its manufacturing method. CONSTITUTION:A lower-part electrode 1 is constituted of a first part 2 and a second part 3. The first part 2 is formed along the surface of a nitride film 14. The second part 3 is provided with a part which comes into contact with the first part 2 and which is extended to the upper part from the surface of the first part 2 and with a part which is extended along the surface of the nitride film. A dielectric layer 5 is formed so as to cover the whole surface of the lower-part electrode 1. An upper-part electrode 4 is formed so as to cover the whole surface of the dielectric layer 5.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、半導体装置およびその
製造方法に関し、記憶情報のランダムな入出力が可能な
高集積化に適した半導体装置およびその製造方法に関す
るものである。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a semiconductor device and a manufacturing method thereof, and more particularly to a semiconductor device capable of random input / output of stored information and suitable for high integration, and a manufacturing method thereof.

【0002】[0002]

【従来の技術】近年、コンピュータなどの情報機器の目
覚ましい普及によって半導体装置の需要が急速に拡大し
ている。また機能的には、大規模な記憶容量を有し、か
つ高速動作の可能なものが要求されている。これに伴っ
て、半導体装置の高集積化および高速応答性あるいは高
信頼性に関する技術開発が進められている。
2. Description of the Related Art In recent years, the demand for semiconductor devices has been rapidly expanding due to the remarkable spread of information devices such as computers. Further, functionally, it is required to have a large-scale storage capacity and be capable of high-speed operation. Along with this, technological developments relating to high integration of semiconductor devices and high-speed response or high reliability are being advanced.

【0003】半導体装置の中で、記憶情報のランダムな
入出力が可能なものとしてDRAM(Dynamic Random A
ccess Memory)が一般的に知られている。このDRAM
は、多数の記憶情報を蓄積する記憶領域であるメモリセ
ルアレイと、外部との入出力に必要な周辺回路とから構
成されている。
Among semiconductor devices, a DRAM (Dynamic Random A
ccess Memory) is generally known. This DRAM
Is composed of a memory cell array, which is a storage area for accumulating a large amount of storage information, and peripheral circuits necessary for external input / output.

【0004】以下、このDRAMの構成について説明す
る。図29は、一般的なDRAMの構成を示すブロック
図である。図29を参照して、DRAM550は、メモ
リセルアレイ551、ロウアンドカラムアドレスバッフ
ァ552、ロウデコーダ553、カラムデコーダ55
4、センスリフレッシュアンプ555、データインバッ
ファ556、データアウトバッファ557およびクロッ
クジェネレータ558とを含んでいる。メモリセルアレ
イ551は記憶情報のデータ信号を蓄積する役割をな
す。ローアンドカラムアドレスバッファ552は単位記
憶回路を構成するメモリセルを選択するためのアドレス
信号を外部から受ける役割をなす。ロウデコーダ553
およびカラムデコーダ554はアドレス信号を解読する
ことによってメモリセルを指定する役割をなす。センス
リフレッシュアンプ555は指定されたメモリセルに蓄
積された信号を増幅して読出す役割をなす。データイン
バッファ556およびデータアウトバッファ557は、
データを入力または出力する役割をなす。クロックジェ
ネレータ558は、クロック信号を発生する役割をな
す。このように構成されるDRAMの半導体チップ上に
おいて、メモリセルアレイ551は大きな面積を占めて
いる。また、このメモリセルアレイ551には、単位記
憶情報を蓄積するためのメモリセルがマトリクス状に複
数個配列されて形成されている。
The structure of this DRAM will be described below. FIG. 29 is a block diagram showing a configuration of a general DRAM. Referring to FIG. 29, DRAM 550 includes memory cell array 551, row and column address buffer 552, row decoder 553, and column decoder 55.
4, a sense refresh amplifier 555, a data-in buffer 556, a data-out buffer 557, and a clock generator 558. The memory cell array 551 serves to store a data signal of stored information. The row-and-column address buffer 552 serves to receive an address signal for selecting a memory cell forming a unit memory circuit from the outside. Row decoder 553
The column decoder 554 serves to specify a memory cell by decoding the address signal. The sense refresh amplifier 555 serves to amplify and read the signal stored in the designated memory cell. The data-in buffer 556 and the data-out buffer 557 are
It plays a role of inputting or outputting data. The clock generator 558 serves to generate a clock signal. The memory cell array 551 occupies a large area on the semiconductor chip of the DRAM thus configured. Further, in this memory cell array 551, a plurality of memory cells for accumulating unit storage information are arranged in a matrix.

【0005】次に、メモリセルアレイ551を構成する
メモリセルについて説明する。図30は、メモリセルア
レイ551を構成するメモリセルの4ビット分の等価回
路図を示している。図30を参照して、メモリセルは、
1個のMOS(MetalOxide Semiconductor )トランジ
スタ590とこれに接続された1個のキャパシタ580
とから構成されている。このトランジスタ590のゲー
トはワード線560と電気的に接続されている。また、
トランジスタ590のソースまたはドレインのいずれか
一方はビット線563と電気的に接続されている。この
トランジスタ590の他方のソースまたはドレインに
は、キャパシタ580が接続されている。すなわち、こ
のメモリセルは1トランジスタ1キャパシタ型のメモリ
セルである。このタイプのメモリセルは、構造が簡単な
ためメモリセルアレイの集積度を容易に向上でき、それ
ゆえ大容量のDRAMに広く用いられている。
Next, the memory cells forming the memory cell array 551 will be described. FIG. 30 shows an equivalent circuit diagram of 4 bits of the memory cells forming the memory cell array 551. Referring to FIG. 30, the memory cell is
One MOS (Metal Oxide Semiconductor) transistor 590 and one capacitor 580 connected to this
It consists of and. The gate of the transistor 590 is electrically connected to the word line 560. Also,
Either the source or the drain of the transistor 590 is electrically connected to the bit line 563. A capacitor 580 is connected to the other source or drain of the transistor 590. That is, this memory cell is a one-transistor one-capacitor type memory cell. This type of memory cell has a simple structure and can easily improve the degree of integration of the memory cell array, and is therefore widely used in large capacity DRAMs.

【0006】図31は、従来の1トランジスタ1キャパ
シタ型のメモリセルの概略構成を示す断面図である。図
31を参照して、メモリセルは、1つのトランスファー
ゲートトランジスタ540と1つのキャパシタ530と
から構成されている。
FIG. 31 is a sectional view showing a schematic structure of a conventional one-transistor / one-capacitor type memory cell. Referring to FIG. 31, the memory cell includes one transfer gate transistor 540 and one capacitor 530.

【0007】トランスファーゲートトランジスタ540
は、1対のソース・ドレイン領域508、ゲート絶縁膜
509およびゲート電極510b、510cから構成さ
れている。このトランスファーゲートトランジスタ54
0は、シリコン基板506の分離酸化膜507によって
分離された領域に形成されている。1対のソース・ドレ
イン領域508は、シリコン基板506の表面に一定の
間隔を介して形成されている。ゲート電極510b、5
10cは、この1対のソース・ドレイン領域508の間
に位置するシリコン基板1の表面上に、ゲート絶縁膜5
09を介して形成されている。また、分離酸化膜507
の表面上には、ワード線となるべき配線層510a、5
10dが形成されている。ゲート電極510b、510
cおよび配線層510a,510bの側壁には、サイド
ウォール511が形成されている。トランスファーゲー
トトランジスタ540および配線層510a、510d
が形成されたシリコン基板506の表面上には、厚い層
間絶縁膜512が形成されている。この層間絶縁膜51
2の表面上には窒化膜514が形成されている。この層
間絶縁膜512と、窒化膜514には、コンタクトホー
ル512aが形成されている。このコンタクトホール5
12aからは、一方のソース・ドレイン領域508の一
部表面が露出している。この露出するソース・ドレイン
領域508と電気的に接続されるようにキャパシタ53
0が形成されている。
Transfer gate transistor 540
Is composed of a pair of source / drain regions 508, a gate insulating film 509, and gate electrodes 510b and 510c. This transfer gate transistor 54
0 is formed in a region of the silicon substrate 506 isolated by the isolation oxide film 507. The pair of source / drain regions 508 are formed on the surface of the silicon substrate 506 at regular intervals. Gate electrodes 510b, 5
The gate insulating film 10c is formed on the surface of the silicon substrate 1 located between the pair of source / drain regions 508.
It is formed through 09. In addition, the isolation oxide film 507
On the surface of the wiring layers 510a, 5
10d is formed. Gate electrode 510b, 510
A sidewall 511 is formed on the sidewalls of the wiring c and the wiring layers 510a and 510b. Transfer gate transistor 540 and wiring layers 510a and 510d
A thick interlayer insulating film 512 is formed on the surface of the silicon substrate 506 formed with. This interlayer insulating film 51
A nitride film 514 is formed on the surface of No. 2. A contact hole 512a is formed in the interlayer insulating film 512 and the nitride film 514. This contact hole 5
A part of the surface of one source / drain region 508 is exposed from 12a. The capacitor 53 is electrically connected to the exposed source / drain region 508.
0 is formed.

【0008】キャパシタ530は、下部電極(ストレー
ジノード)501、誘電体膜505および上部電極(セ
ルプレート)504から構成されている。下部電極50
1は、コンタクトホール512aを介して一方のソース
・ドレイン領域508と接するように形成されている。
また、下部電極501は窒化膜514の表面上に接する
ように形成されている。この下部電極501の表面上を
覆うように誘電体膜505が形成されている。この誘電
体膜505を覆うように、かつ下部電極501の表面と
対向する表面を有するように上部電極504が形成され
ている。このキャパシタ530を覆うように絶縁膜51
5aが形成されている。この絶縁膜515a、窒化膜5
14および層間絶縁膜512には、コンタクトホール5
18が形成されている。このコンタクトホール518か
らは、ソース・ドレイン領域508の一部表面が露出し
ている。この露出する他方のソース・ドレイン領域50
8と接するように絶縁膜515aの表面上にはビット線
513が形成されている。このビット線513を覆うよ
うに絶縁膜515bが形成されている。この絶縁膜51
5bの表面上には配線層516が形成されている。
The capacitor 530 is composed of a lower electrode (storage node) 501, a dielectric film 505 and an upper electrode (cell plate) 504. Lower electrode 50
1 is formed in contact with one of the source / drain regions 508 through the contact hole 512a.
The lower electrode 501 is formed so as to be in contact with the surface of the nitride film 514. A dielectric film 505 is formed so as to cover the surface of the lower electrode 501. The upper electrode 504 is formed so as to cover the dielectric film 505 and have a surface facing the surface of the lower electrode 501. The insulating film 51 covers the capacitor 530.
5a is formed. The insulating film 515a and the nitride film 5
14 and the interlayer insulating film 512, the contact hole 5
18 is formed. A part of the surface of the source / drain region 508 is exposed from the contact hole 518. The other exposed source / drain region 50
A bit line 513 is formed on the surface of the insulating film 515a so as to be in contact with the electrode 8. An insulating film 515b is formed so as to cover the bit line 513. This insulating film 51
A wiring layer 516 is formed on the surface of 5b.

【0009】上記のように、従来の1トランジスタ1キ
ャパシタ型のメモリセルは構成されている。
As described above, the conventional one-transistor one-capacitor type memory cell is constructed.

【0010】[0010]

【発明が解決しようとする課題】一般的に、キャパシタ
の容量は電極間の対向面積に比例し、誘電体層の厚みに
反比例する。従って、キャパシタ容量の増大という点か
ら、キャパシタの電極間対向面積を増大させることが望
ましい。一方、DRAMの高集積化を進めた場合、メモ
リセルサイズの縮小が余儀なくされる。このメモリセル
サイズの縮小に伴って、キャパシタの平面的な占有面積
も同時に縮小される。従来のキャパシタの構造では、上
部電極と対向する下部電極の表面領域は比較的平坦な形
状を有している。また、下部電極は平面に伸びる形状を
有している。このため、平面占有面積の減少の割合にほ
ぼ比例して、下部電極の表面領域は減少する。これによ
り、キャパシタの電極間対向面積が減少する。すなわ
ち、キャパシタに蓄えられる電荷量(1ビットのメモリ
セルに蓄えられる電荷量)が低下することになる。この
1ビットのメモリセルに蓄えられる電荷量が一定値より
低下した場合、記憶領域としてのDRAMの動作が不安
定なものとなり、信頼性が低下する。このように、従来
のキャパシタ構造を有するメモリセルにおいては、DR
AMの高集積化を図ることが困難であり、また高集積化
を押し進めた場合、DRAMの動作が不安定なものとな
り信頼性が劣化するという問題点があった。
Generally, the capacitance of a capacitor is proportional to the facing area between electrodes and inversely proportional to the thickness of the dielectric layer. Therefore, from the viewpoint of increasing the capacitance of the capacitor, it is desirable to increase the facing area between the electrodes of the capacitor. On the other hand, when the DRAM is highly integrated, the memory cell size must be reduced. As the memory cell size is reduced, the planar area occupied by the capacitor is also reduced. In the structure of the conventional capacitor, the surface region of the lower electrode facing the upper electrode has a relatively flat shape. Further, the lower electrode has a shape extending in a plane. Therefore, the surface area of the lower electrode is reduced substantially in proportion to the rate of reduction of the plane occupation area. As a result, the facing area between the electrodes of the capacitor is reduced. That is, the amount of charge stored in the capacitor (the amount of charge stored in the 1-bit memory cell) is reduced. If the amount of charge stored in the 1-bit memory cell falls below a certain value, the operation of the DRAM as a storage area becomes unstable, and the reliability decreases. Thus, in the memory cell having the conventional capacitor structure, the DR
There is a problem that it is difficult to achieve high integration of the AM, and if the high integration is promoted, the operation of the DRAM becomes unstable and the reliability deteriorates.

【0011】本発明は、上記のような問題点を解決する
ためになされたもので、安定な動作を維持したままで高
集積化を図ることが可能な所定の容量を備えたキャパシ
タを有する半導体装置およびその製造方法を提供するこ
とを目的とする。
The present invention has been made in order to solve the above-mentioned problems, and a semiconductor having a capacitor having a predetermined capacitance capable of achieving high integration while maintaining stable operation. An object of the present invention is to provide a device and a manufacturing method thereof.

【0012】[0012]

【課題を解決するための手段】本発明の半導体装置は、
基板と、ソース・ドレイン領域と、ゲート電極と、第1
の電極層と、第2の電極層と、誘電体層と、第3の電極
層とを備えている。ソース・ドレイン領域とゲート電極
は基板に設けられている。第1の電極層は基板の上部に
あって所定の平面上に沿って延びる部分を含んでいる。
第2の電極層は、第1の電極層に接し、かつ第1の電極
層の上面より上方へ延びる部分と所定の平面に沿って延
びる部分とを含んでいる。誘電体層は、第1と第2の電
極層の表面上を覆っている。第3の電極層は誘電体層の
表面上を覆っている。
The semiconductor device of the present invention comprises:
A substrate, a source / drain region, a gate electrode, and a first
Of the electrode layer, the second electrode layer, the dielectric layer, and the third electrode layer. The source / drain regions and the gate electrode are provided on the substrate. The first electrode layer includes a portion on the upper surface of the substrate and extending along a predetermined plane.
The second electrode layer is in contact with the first electrode layer and includes a portion extending upward from the upper surface of the first electrode layer and a portion extending along a predetermined plane. The dielectric layer covers the surfaces of the first and second electrode layers. The third electrode layer covers the surface of the dielectric layer.

【0013】本発明の半導体装置の製造方法において
は、基板に設けられたソース・ドレイン領域およびゲー
ト電極とを有し、その基板の上部にあって所定の平面上
に沿って延びる部分を含む第1の電極層と、その第1の
電極層の表面上に第1の層とが形成される。少なくとも
第1の電極層と第1の層との表面を含む領域上を覆うよ
うに導電層が形成される。導電層の表面上を覆うように
第2の層が形成される。第2の層を異方性エッチングす
ることにより、導電層の側壁にサイドウォールスペーサ
状に第2の層が残される。第2の層のエッチング速度が
導電層のエッチング速度より小さくなるようなエッチン
グ条件で、第1の層の表面が露出するまで導電層がエッ
チングされる。この導電層のエッチングにより第2の電
極層が形成される。第1と第2の層が除去される。第1
と第2の電極層の表面を覆うように誘電体層が形成され
る。誘電体層の表面を覆うように第3の電極層が形成さ
れる。
In the method of manufacturing a semiconductor device according to the present invention, the semiconductor device has a source / drain region and a gate electrode provided on the substrate, and includes a portion above the substrate and extending along a predetermined plane. The first electrode layer and the first layer are formed on the surface of the first electrode layer. The conductive layer is formed so as to cover at least a region including the surfaces of the first electrode layer and the first layer. The second layer is formed so as to cover the surface of the conductive layer. By anisotropically etching the second layer, the second layer is left as sidewall spacers on the sidewall of the conductive layer. The conductive layer is etched until the surface of the first layer is exposed under etching conditions such that the etching rate of the second layer is lower than the etching rate of the conductive layer. A second electrode layer is formed by etching the conductive layer. The first and second layers are removed. First
And a dielectric layer is formed so as to cover the surface of the second electrode layer. A third electrode layer is formed so as to cover the surface of the dielectric layer.

【0014】[0014]

【作用】本発明の半導体装置においては、第1の電極層
と第2の電極層により、キャパシタの下部電極が形成さ
れている。また、第2の電極層は、相対的に平坦に形成
された第1の電極層の上面より上方へ延びる部分を有し
ている。このため、下部電極が相対的に平坦な形状のみ
からなる従来のキャパシタに比較して、上方へ延びた部
分だけ表面積が増大する。これにより、上部電極と下部
電極の電極間対向面積の増大を図ることができ、容量の
増大を図ることが可能となる。また、上方へ延びた部分
の表面積は、キャパシタの平面占有面積が減少した場合
でも、ほとんど減少しない。。すなわち、高集積化を図
った場合でも、鉛直上方に突き出た部分の表面積を制御
することにより、キャパシタの容量を確保することがで
きる。このように、キャパシタ容量の増大あるいは確保
が可能となるため、高集積化に伴うDRAMの動作の不
安定化および信頼性の低下を防止することができる。
In the semiconductor device of the present invention, the lower electrode of the capacitor is formed by the first electrode layer and the second electrode layer. Further, the second electrode layer has a portion extending upward from the upper surface of the first electrode layer formed relatively flat. For this reason, the surface area is increased only by the portion extending upward as compared with the conventional capacitor in which the lower electrode is formed of only a relatively flat shape. As a result, it is possible to increase the facing area between the upper electrode and the lower electrode and to increase the capacitance. Further, the surface area of the portion extending upward hardly decreases even when the planar occupation area of the capacitor decreases. . That is, even when high integration is achieved, the capacitance of the capacitor can be ensured by controlling the surface area of the portion protruding vertically upward. As described above, since it is possible to increase or secure the capacitance of the capacitor, it is possible to prevent the operation of the DRAM from becoming unstable and the reliability from being lowered due to high integration.

【0015】本発明の半導体装置の製造方法において
は、所定の平面上に沿って第1の電極層が形成される。
また、この第1の電極層の表面上には、第1の層が形成
されている。この第1の電極層、第1の層および所定の
平面により段差が生じる。導電層は、この第1の電極層
と第1の層との表面を含む領域上を覆うように形成され
る。このため、導電層の表面には、下層の段差により表
面段差が生じる。この導電層の表面上を覆うように第2
の層が形成される。この第2の層の全面に異方性エッチ
ングが施される。これにより、第2の層は導電層の表面
段差部の側壁にサイドウォールスペーサ状に残される。
このサイドウォールスペーサ状の第2の層をマスクとし
て、導電層がエッチングされる。このエッチングによ
り、第1の電極層に接し、かつ第1の電極層の上面より
上方へ延びる部分と所定の平面に沿って延びる部分とを
含む第2の電極層が形成される。このように、第2の電
極層は、サイドウォールスペーサ状に残された第2の層
をマスクとして自己整合的に形成される。また、第2の
層は、その全面に異方性エッチングを施すことにより、
サイドウォールスペーサ状に残される。このため、第2
の層をサイドウォールスペーサ状に残す工程において、
マスク合せは不要である。このように、第2の電極層を
形成する工程および第2の層をサイドウォール状に残す
工程において、高精度のマスク合せを省略することがで
きる。これにより、マスク合わせ誤差を許容するための
マスク合わせ余裕が不要となる。よって、マスク合わせ
余裕をとってパターン設計をする必要もなくなり、集積
度の向上を図ることが可能となる。
In the method of manufacturing a semiconductor device of the present invention, the first electrode layer is formed along a predetermined plane.
The first layer is formed on the surface of the first electrode layer. A step is formed by the first electrode layer, the first layer and the predetermined plane. The conductive layer is formed so as to cover a region including the surfaces of the first electrode layer and the first layer. Therefore, a surface step is formed on the surface of the conductive layer due to the step of the lower layer. Second to cover the surface of this conductive layer
Layers are formed. Anisotropic etching is applied to the entire surface of this second layer. As a result, the second layer is left in the shape of a sidewall spacer on the side wall of the surface step portion of the conductive layer.
The conductive layer is etched by using the sidewall spacer-shaped second layer as a mask. By this etching, a second electrode layer is formed which is in contact with the first electrode layer and includes a portion extending upward from the upper surface of the first electrode layer and a portion extending along a predetermined plane. In this way, the second electrode layer is formed in a self-aligned manner using the second layer left in the shape of the sidewall spacer as a mask. Further, the second layer is subjected to anisotropic etching on the entire surface,
It is left in the shape of a sidewall spacer. Therefore, the second
In the step of leaving the layer of as a sidewall spacer,
No mask alignment is required. In this way, highly accurate mask alignment can be omitted in the step of forming the second electrode layer and the step of leaving the second layer in a sidewall shape. This eliminates the need for a mask alignment margin for allowing a mask alignment error. Therefore, it is not necessary to design a pattern with a margin for mask alignment, and it is possible to improve the degree of integration.

【0016】[0016]

【実施例】以下、本発明の一実施例を図を用いて詳細に
説明する。
DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS An embodiment of the present invention will be described in detail below with reference to the drawings.

【0017】図1は、本発明の第1の実施例におけるD
RAMのメモリセルアレイの平面構造図であり、図2
は、図1のII−II線に沿う断面図である。まず、主
に図1を参照して、シリコン基板6の表面には、ワード
線10a、10b、10c、10dと、ビット線13お
よびメモリセル30、40が形成されている。複数のワ
ード線10a、10b、10c、10dは互いに行方向
に平行に延びている。また、複数のビット線13は列方
向に互いに平行に延びている。このワード線10a、1
0b、10c、10dとビット線13との交差部付近に
は、複数のメモリセル30、40が配置・形成されてい
る。
FIG. 1 shows D in the first embodiment of the present invention.
2 is a plan view of a memory cell array of RAM, and FIG.
FIG. 2 is a sectional view taken along line II-II in FIG. 1. First, referring mainly to FIG. 1, word lines 10a, 10b, 10c and 10d, a bit line 13 and memory cells 30 and 40 are formed on the surface of a silicon substrate 6. The plurality of word lines 10a, 10b, 10c, 10d extend parallel to each other in the row direction. Further, the plurality of bit lines 13 extend parallel to each other in the column direction. This word line 10a, 1
A plurality of memory cells 30 and 40 are arranged and formed near the intersections of 0b, 10c and 10d and the bit line 13.

【0018】図1および図2を参照して、メモリセルは
1つのトランスファーゲートトランジスタ40と1つの
キャパシタ30とから構成されている。トランスファー
ゲートトランジスタ40は、1対のソース・ドレイン領
域8、ゲート絶縁膜9およびゲート電極(ワード線)1
0b、10cから構成されている。このトランスファー
ゲートトランジスタ40はシリコン基板6の分離酸化膜
7によって分離された領域に形成されている。1対のソ
ース・ドレイン領域8はシリコン基板6の表面に所定の
間隔を介して形成されている。このソース・ドレイン領
域8の間に位置するシリコン基板7の表面上には、ゲー
ト絶縁膜9を介してゲート電極10b、10cが形成さ
れている。分離酸化膜7の表面上には、ワード線となる
べき配線層10a、10dが形成されている。また、ゲ
ート電極10b、10cおよび配線層10a,10bの
側壁にはサイドウォール11が形成されている。このト
ランスファーゲートトランジスタ40を被覆するよう
に、シリコン基板6の表面上には厚い層間絶縁膜12が
形成されている。この層間絶縁膜12中には一方のソー
ス・ドレイン領域8に接するように埋込ビット線13が
形成されている。層間絶縁膜12の表面上にはシリコン
窒化膜(SiN)14が形成されている。この層間絶縁
膜12および窒化膜14には、コンタクトホール12a
が形成されている。このコンタクトホール12aから
は、他方のソース・ドレイン領域8の一部表面が露出し
ている。この露出する他方のソース・ドレイン領域8と
電気的に接続されるようにキャパシタ30が形成されて
いる。
Referring to FIGS. 1 and 2, the memory cell is composed of one transfer gate transistor 40 and one capacitor 30. The transfer gate transistor 40 includes a pair of source / drain regions 8, a gate insulating film 9 and a gate electrode (word line) 1.
It is composed of 0b and 10c. The transfer gate transistor 40 is formed in a region of the silicon substrate 6 separated by the isolation oxide film 7. The pair of source / drain regions 8 are formed on the surface of the silicon substrate 6 with a predetermined gap. Gate electrodes 10b and 10c are formed on the surface of the silicon substrate 7 located between the source / drain regions 8 with a gate insulating film 9 interposed therebetween. On the surface of the isolation oxide film 7, wiring layers 10a and 10d to be word lines are formed. Further, sidewalls 11 are formed on the sidewalls of the gate electrodes 10b and 10c and the wiring layers 10a and 10b. A thick interlayer insulating film 12 is formed on the surface of the silicon substrate 6 so as to cover the transfer gate transistor 40. A buried bit line 13 is formed in the interlayer insulating film 12 so as to contact one of the source / drain regions 8. A silicon nitride film (SiN) 14 is formed on the surface of the interlayer insulating film 12. The contact hole 12a is formed in the interlayer insulating film 12 and the nitride film 14.
Are formed. A part of the surface of the other source / drain region 8 is exposed from the contact hole 12a. Capacitor 30 is formed so as to be electrically connected to the other exposed source / drain region 8.

【0019】キャパシタ30は、下部電極(ストレージ
ノード)1と、誘電体膜5および上部電極(セルプレー
ト)4から構成されている。下部電極1は、第1の部分
2および第2の部分3からなる。この第1の部分2はコ
ンタクトホール12aを介して他方のソース・ドレイン
領域8の表面に接している。また、第1の部分2は窒化
膜14の表面に沿うように形成されている。第2の部分
3は、第1の部分2と接するように窒化膜14の表面上
に形成されている。また、第2の部分3は鉛直上方に延
びる部分と窒化膜14の表面に沿って延びる部分を有し
ている。第2の部分3の鉛直上方に延びる部分は、第1
の部分2の上面より上方へ延びている。この下部電極1
を被覆するように、シリコン酸化膜とシリコン窒化膜
(ON膜)よりなる誘電体膜5が形成されている。誘電
体膜5を被覆するように、上部電極4が形成されてい
る。このキャパシタ30を被覆するように、絶縁膜15
が形成されている。この絶縁膜15の表面上には、アル
ミニウム(Al)などからなる配線層16が形成されて
いる。
The capacitor 30 is composed of a lower electrode (storage node) 1, a dielectric film 5 and an upper electrode (cell plate) 4. The lower electrode 1 is composed of a first portion 2 and a second portion 3. The first portion 2 is in contact with the surface of the other source / drain region 8 through the contact hole 12a. The first portion 2 is formed along the surface of the nitride film 14. The second portion 3 is formed on the surface of the nitride film 14 so as to be in contact with the first portion 2. The second portion 3 has a portion extending vertically upward and a portion extending along the surface of the nitride film 14. The portion extending vertically above the second portion 3 is the first
Extends upward from the upper surface of the portion 2. This lower electrode 1
A dielectric film 5 made of a silicon oxide film and a silicon nitride film (ON film) is formed so as to cover the. The upper electrode 4 is formed so as to cover the dielectric film 5. The insulating film 15 is formed so as to cover the capacitor 30.
Are formed. A wiring layer 16 made of aluminum (Al) or the like is formed on the surface of the insulating film 15.

【0020】次に、図2に示すメモリセルに採用される
キャパシタ30の製造方法について説明する。
Next, a method of manufacturing the capacitor 30 used in the memory cell shown in FIG. 2 will be described.

【0021】図3〜図11は、本発明の第1の実施例に
おけるメモリセルに採用されるキャパシタの製造方法を
工程順に示す拡大断面図である。
3 to 11 are enlarged cross-sectional views showing, in the order of steps, a method of manufacturing a capacitor used in a memory cell according to the first embodiment of the present invention.

【0022】まず図3を参照して、シリコン基板6の表
面上にCVD(Chemical Vapor Depostion)法によって
酸化膜(SiO2 )12が堆積される。この酸化膜12
の表面上にCVD法によって窒化膜(SiN)14が堆
積される。この酸化膜12および窒化膜14には、シリ
コン基板6の一部表面が露出するように開孔12aが形
成される。なお、露出するシリコン基板6の表面には、
不純物領域などの導電層(図示せず)が形成されてい
る。
First, referring to FIG. 3, an oxide film (SiO 2 ) 12 is deposited on the surface of silicon substrate 6 by the CVD (Chemical Vapor Depostion) method. This oxide film 12
A nitride film (SiN) 14 is deposited on the surface of the substrate by the CVD method. Openings 12a are formed in the oxide film 12 and the nitride film 14 so that a part of the surface of the silicon substrate 6 is exposed. In addition, on the exposed surface of the silicon substrate 6,
A conductive layer (not shown) such as an impurity region is formed.

【0023】図4を参照して、コンタクトホール12a
を埋込むように窒化膜14の表面上には多結晶シリコン
膜2が3000〜8000Åの厚みで形成される。この
多結晶シリコン膜2の表面上には、CVD法により酸化
膜(SiO2 )21が堆積される。
Referring to FIG. 4, contact hole 12a
Is formed on the surface of the nitride film 14 with a thickness of 3000 to 8000Å. An oxide film (SiO 2 ) 21 is deposited on the surface of the polycrystalline silicon film 2 by the CVD method.

【0024】図5を参照して、酸化膜21がフォトリソ
グラフィ法、RIE法によってパターニングされる。こ
のパターニングされた酸化膜21をマスクとして多結晶
シリコン膜2にエッチングが施される。このエッチング
により、下部電極(ストレージノード)の第1の部分2
が形成される。
Referring to FIG. 5, oxide film 21 is patterned by photolithography and RIE. The polycrystalline silicon film 2 is etched by using the patterned oxide film 21 as a mask. By this etching, the first portion 2 of the lower electrode (storage node) is
Is formed.

【0025】図6を参照して、酸化膜21を残した状態
で、第1の部分2と酸化膜21を覆うように表面全面に
2000〜4000Åの厚みで多結晶シリコン膜3が形
成される。
Referring to FIG. 6, a polycrystalline silicon film 3 having a thickness of 2000 to 4000 Å is formed on the entire surface so as to cover the first portion 2 and the oxide film 21 with the oxide film 21 left. .

【0026】図7を参照して、この多結晶シリコン膜3
の表面全面を覆うように、CVD法により2000〜5
000Åの厚みで高温酸化膜(SiO2 )23が堆積さ
れる。
Referring to FIG. 7, this polycrystalline silicon film 3
2000 to 5 by the CVD method so as to cover the entire surface of
A high temperature oxide film (SiO 2 ) 23 is deposited with a thickness of 000Å.

【0027】図8を参照して、この高温酸化膜23に異
方性エッチングが施される。この異方性エッチングによ
り、多結晶シリコン膜3の段差部に高温酸化膜23のサ
イドウォールが形成される。
Referring to FIG. 8, high temperature oxide film 23 is anisotropically etched. By this anisotropic etching, sidewalls of the high temperature oxide film 23 are formed in the step portion of the polycrystalline silicon film 3.

【0028】図9を参照して、このサイドウォール23
をマスクとして多結晶シリコン膜3にエッチングが施さ
れる。このエッチングにより、シリコン基板6の表面に
対して鉛直上方へ延びる部分と窒化膜14の表面に沿う
方向へ延びる部分とを有する下部電極の第2の部分3が
形成される。このように多結晶シリコンからなる第1の
部分2と第2の部分3により、下部電極1が形成され
る。
Referring to FIG. 9, this sidewall 23
The polycrystalline silicon film 3 is etched by using as a mask. By this etching, second portion 3 of the lower electrode having a portion extending vertically upward with respect to the surface of silicon substrate 6 and a portion extending in the direction along the surface of nitride film 14 is formed. Thus, the lower electrode 1 is formed by the first portion 2 and the second portion 3 made of polycrystalline silicon.

【0029】図10を参照して、フッ酸系のエッチング
液によりウエットエッチングが施される。このエッチン
グにより、下部電極1の表面上にある酸化膜21、23
が除去される。なお、このエッチングの際に、窒化膜1
4はエッチングストッパの役割をなす。このため、酸化
膜12およびシリコン基板6の表面がエッチングにより
侵されることはない。
Referring to FIG. 10, wet etching is performed with a hydrofluoric acid-based etching solution. By this etching, the oxide films 21 and 23 on the surface of the lower electrode 1 are formed.
Are removed. During this etching, the nitride film 1
4 serves as an etching stopper. Therefore, the surfaces of the oxide film 12 and the silicon substrate 6 are not attacked by etching.

【0030】図11を参照して、下部電極1が形成され
た基板の表面全面にON膜よりなる誘電体膜5が形成さ
れる。この誘電体膜5を被覆するように多結晶シリコン
膜4が堆積される。この多結晶シリコン膜4は、上部電
極(セルプレート)となる。この下部電極1、上部電極
4および誘電体膜5により、キャパシタ30が構成され
る。
Referring to FIG. 11, dielectric film 5 made of an ON film is formed on the entire surface of the substrate on which lower electrode 1 is formed. Polycrystalline silicon film 4 is deposited so as to cover this dielectric film 5. This polycrystalline silicon film 4 becomes an upper electrode (cell plate). The lower electrode 1, the upper electrode 4 and the dielectric film 5 form a capacitor 30.

【0031】上記のように、第1の実施例におけるキャ
パシタ30では、下部電極1が鉛直上方へ延びる部分と
窒化膜14の表面に沿う方向へ延びる部分とを有する第
2の部分3を含んでいる。この第2の部分3の鉛直上方
へ延びる部分は、第1の部分2の表面より上方へ延びて
いる。このため、比較的平坦な表面領域を有している従
来のキャパシタ構造に比べて、広い表面積を確保するこ
とができる。これにより、従来のキャパシタ構造に比較
して大きな容量を得ることが可能となる。
As described above, capacitor 30 in the first embodiment includes second portion 3 in which lower electrode 1 has a portion extending vertically upward and a portion extending in the direction along the surface of nitride film 14. There is. The portion of the second portion 3 extending vertically upward extends above the surface of the first portion 2. Therefore, a large surface area can be secured as compared with the conventional capacitor structure having a relatively flat surface area. As a result, it becomes possible to obtain a large capacitance as compared with the conventional capacitor structure.

【0032】またキャパシタ30の製造方法において、
下部電極1の第2の部分3は、自己整合的(セルフアラ
イン)に形成することが可能である。これにより、キャ
パシタ30の製造工程においては、マスク合わせは図3
の開孔12aの形成時、図5の酸化膜21パターニング
時の2回のみとなる。このように高精度のマスク合わせ
を省略することができるため、マスク合わせ余裕を抑制
することができる。したがって、集積度の向上を図るこ
とが可能となる。また、コスト低減も可能となる。
In the method of manufacturing the capacitor 30,
The second portion 3 of the lower electrode 1 can be formed in a self-aligned manner (self-aligned). Accordingly, in the manufacturing process of the capacitor 30, mask alignment is performed as shown in FIG.
The opening 12a is formed twice, and the oxide film 21 of FIG. 5 is patterned only twice. Since highly accurate mask alignment can be omitted in this way, the mask alignment margin can be suppressed. Therefore, it is possible to improve the degree of integration. In addition, it is possible to reduce costs.

【0033】次に、本発明の第2の実施例におけるDR
AMのメモリセル構造について説明する。
Next, the DR in the second embodiment of the present invention
The memory cell structure of AM will be described.

【0034】図12は、本発明の第2の実施例における
DRAMのメモリセルを概略的に示す断面図である。図
12を参照して、メモリセルは、1つのトランスファー
ゲートトランジスタ140と1つのキャパシタ130と
から構成されている。トランスファーゲートトランジス
タ140は、一対のソース・ドレイン領域108、ゲー
ト絶縁膜109およびゲート電極(ワード線)110
b、110cとを備えている。このトランスファーゲー
トトランジスタ140は、シリコン基板106の分離酸
化膜107によって分離された領域に形成されている。
シリコン基板106の表面には、1対のソース・ドレイ
ン領域108が所定の間隔を介して形成されている。こ
のソース・ドレイン領域108の間に位置するシリコン
基板106の表面上に、ゲート絶縁膜109を介してゲ
ート電極110b、110cが形成されている。分離酸
化膜107の表面上には、ワード線となるべき配線層1
10a、110dが形成されている。ゲート電極110
b、110cと配線層110a,110dの側壁には、
サイドウォール111が形成されている。このトランス
ファーゲートトランジスタ140などを被覆するよう
に、層間絶縁膜112が形成されている。この層間絶縁
膜112の表面上には窒化膜(SiN)114が形成さ
れている。この層間絶縁膜112および窒化膜114に
は、コンタクトホール112aが形成されている。この
コンタクトホール112aからは、一方のソース・ドレ
イン領域108の一部表面が露出している。この露出し
た一方のソース・ドレイン領域108と電気的に接続さ
れるように、キャパシタ101が形成されている。
FIG. 12 is a cross sectional view schematically showing a memory cell of a DRAM according to the second embodiment of the present invention. Referring to FIG. 12, the memory cell is composed of one transfer gate transistor 140 and one capacitor 130. The transfer gate transistor 140 includes a pair of source / drain regions 108, a gate insulating film 109, and a gate electrode (word line) 110.
b, 110c. The transfer gate transistor 140 is formed in a region isolated by the isolation oxide film 107 of the silicon substrate 106.
On the surface of the silicon substrate 106, a pair of source / drain regions 108 are formed with a predetermined interval. Gate electrodes 110b and 110c are formed on the surface of the silicon substrate 106 located between the source / drain regions 108 with a gate insulating film 109 interposed therebetween. On the surface of the isolation oxide film 107, the wiring layer 1 to be a word line is formed.
10a and 110d are formed. Gate electrode 110
b, 110c and the side walls of the wiring layers 110a, 110d,
The sidewall 111 is formed. An interlayer insulating film 112 is formed so as to cover the transfer gate transistor 140 and the like. A nitride film (SiN) 114 is formed on the surface of the interlayer insulating film 112. A contact hole 112a is formed in the interlayer insulating film 112 and the nitride film 114. A part of the surface of one of the source / drain regions 108 is exposed from the contact hole 112a. The capacitor 101 is formed so as to be electrically connected to the exposed one of the source / drain regions 108.

【0035】キャパシタ101は、下部電極(ストレー
ジノード)101、誘電体膜105および上部電極(セ
ルプレート)104から構成されている。また、下部電
極101は、第1の部分102、第2の部分103aお
よび第3の部分103bからなっている。下部電極10
1の第1の部分102は、コンタクトホール112aか
ら露出する一方のソース・ドレイン領域108と接する
ように窒化膜114の表面上に形成されている。この第
1の部分102の側面に接するように第2の部分103
aが形成されている。この第2の部分103aは鉛直上
方へ延びる部分と窒化膜104の表面に沿って延びる部
分とを有している。また、第1の部分102の平坦な表
面領域には、鉛直上方へ延びるように第3の部分103
bが形成されている。このように形成される下部電極1
01の表面を覆うようにON膜からなる誘電体膜105
が形成されている。この誘電体膜105を覆うように多
結晶シリコンよりなる上部電極104が形成されてい
る。このキャパシタ130を被覆するように絶縁膜11
5が形成されている。この絶縁膜115の表面上にはア
ルミニウム(Al)よりなる配線層116が形成されて
いる。
The capacitor 101 is composed of a lower electrode (storage node) 101, a dielectric film 105 and an upper electrode (cell plate) 104. The lower electrode 101 is composed of a first portion 102, a second portion 103a and a third portion 103b. Lower electrode 10
The first first portion 102 is formed on the surface of the nitride film 114 so as to be in contact with one of the source / drain regions 108 exposed from the contact hole 112a. The second portion 103 is in contact with the side surface of the first portion 102.
a is formed. The second portion 103a has a portion extending vertically upward and a portion extending along the surface of the nitride film 104. In addition, in the flat surface area of the first portion 102, the third portion 103 extends vertically upward.
b is formed. Lower electrode 1 formed in this way
A dielectric film 105 made of an ON film so as to cover the surface of 01.
Are formed. An upper electrode 104 made of polycrystalline silicon is formed so as to cover the dielectric film 105. The insulating film 11 is formed so as to cover the capacitor 130.
5 is formed. A wiring layer 116 made of aluminum (Al) is formed on the surface of the insulating film 115.

【0036】次に、本発明の第2の実施例におけるメモ
リセルに採用されるキャパシタの製造方法について説明
する。
Next, a method of manufacturing the capacitor used in the memory cell according to the second embodiment of the present invention will be described.

【0037】図13〜図20は、本発明の第2の実施例
におけるメモリセルに採用されるキャパシタの製造方法
を工程順に示す拡大断面図である。
13 to 20 are enlarged sectional views showing, in the order of steps, a method of manufacturing a capacitor used in a memory cell according to the second embodiment of the present invention.

【0038】まず、図13を参照して、シリコン基板1
06の表面上には、シリコン酸化物(SiO2 )よりな
る絶縁膜112が堆積される。この絶縁膜112の表面
上には、CVD法によって窒化膜(SiN)114が堆
積される。この絶縁膜112および窒化膜114には、
フォトリソグラフィ法、RIE法により、コンタクトホ
ール112aが形成される。このコンタクトホール11
2aからは、シリコン基板106の一部表面が露出す
る。なお、露出するシリコン基板106の表面には、不
純物領域などの導電層(図示せず)が形成されている。
このコンタクトホール112aを埋込むように、窒化膜
114の表面上には、多結晶シリコン膜102が堆積さ
れる。また、この多結晶シリコン膜102の表面上に
は、CVD法により酸化膜(SiO2 )121が堆積さ
れる。
First, referring to FIG. 13, a silicon substrate 1
An insulating film 112 made of silicon oxide (SiO 2 ) is deposited on the surface of 06. A nitride film (SiN) 114 is deposited on the surface of the insulating film 112 by the CVD method. The insulating film 112 and the nitride film 114 are
The contact hole 112a is formed by the photolithography method and the RIE method. This contact hole 11
A part of the surface of the silicon substrate 106 is exposed from 2a. A conductive layer (not shown) such as an impurity region is formed on the exposed surface of the silicon substrate 106.
A polycrystalline silicon film 102 is deposited on the surface of the nitride film 114 so as to fill the contact hole 112a. Further, an oxide film (SiO 2 ) 121 is deposited on the surface of the polycrystalline silicon film 102 by the CVD method.

【0039】図14を参照して、酸化膜121は、フォ
トリソグラフィ法、RIE法によりパターニングされ
る。このパターニングされた酸化膜121をマスクとし
て、多結晶シリコン膜102がエッチングされる。この
エッチングにより、下部電極の第1の部分102が形成
される。
Referring to FIG. 14, oxide film 121 is patterned by the photolithography method and the RIE method. The polycrystalline silicon film 102 is etched by using the patterned oxide film 121 as a mask. This etching forms the first portion 102 of the lower electrode.

【0040】図15を参照して、酸化膜121が、フォ
トリソグラフィ法、RIE法によりエッチングされる。
このエッチングにより、酸化膜121は、第1の部分1
02の表面上であってその側部のみ残される。
Referring to FIG. 15, oxide film 121 is etched by photolithography and RIE.
By this etching, the oxide film 121 is removed from the first portion 1
02 on the surface and only its sides are left.

【0041】図16を参照して、酸化膜121を残した
状態で多結晶シリコン膜103が、2000〜4000
Åの厚みで堆積される。この多結晶シリコン膜103の
表面を覆うようにCVD法によって高温酸化膜(SiO
2 )123が2000〜5000Åの厚みで堆積され
る。
Referring to FIG. 16, the polycrystalline silicon film 103 is left in a state of 2000 to 4000 with the oxide film 121 left.
It is deposited with a thickness of Å. A high temperature oxide film (SiO 2) is formed by a CVD method so as to cover the surface of the polycrystalline silicon film 103.
2 ) 123 is deposited to a thickness of 2000-5000Å.

【0042】図17を参照して、高温酸化膜123に異
方性エッチングが施される。これにより、多結晶シリコ
ン膜103の段差部に高温酸化膜によるサイドウォール
123が形成される。
Referring to FIG. 17, high temperature oxide film 123 is anisotropically etched. As a result, the side wall 123 of the high temperature oxide film is formed on the step portion of the polycrystalline silicon film 103.

【0043】図18を参照して、高温酸化膜によるサイ
ドウォール123をマスクとして、多結晶シリコン膜1
03にエッチングが施される。このエッチングにより、
鉛直上方へ延びる部分と窒化膜114の表面に沿う方向
へ延びる部分とを有する第2の部分103aが形成され
る。また、第1の部分102の表面領域上には、鉛直上
方へ延びる部分を有する第3の部分103bも形成され
る。この第1の部分102、第2の部分103aおよび
第3の部分103bにより下部電極101が構成され
る。
Referring to FIG. 18, polycrystalline silicon film 1 is formed using sidewall 123 made of a high temperature oxide film as a mask.
03 is etched. By this etching,
A second portion 103a having a portion extending vertically upward and a portion extending in a direction along the surface of nitride film 114 is formed. A third portion 103b having a portion extending vertically upward is also formed on the surface region of the first portion 102. The first electrode 102, the second portion 103a, and the third portion 103b form the lower electrode 101.

【0044】図19を参照して、フッ酸系のエッチング
液により、ウエットエッチングが施される。このエッチ
ングにより、下部電極101の表面上の酸化膜121、
123が除去される。また、このエッチング時において
窒化膜114はエッチングストッパの役割をなす。この
ため、酸化膜112およびシリコン基板106の表面が
エッチングにより侵されることはない。
Referring to FIG. 19, wet etching is performed with a hydrofluoric acid-based etching solution. By this etching, the oxide film 121 on the surface of the lower electrode 101,
123 is removed. Further, during this etching, the nitride film 114 serves as an etching stopper. Therefore, the surfaces of the oxide film 112 and the silicon substrate 106 are not attacked by etching.

【0045】図20を参照して、下部電極101の表面
全面を覆うようにシリコン窒化物(SiN)よりなる誘
電体膜105が堆積される。この誘電体膜105の表面
全面を覆うように多結晶シリコンよりなる上部電極10
4が堆積される。この下部電極101、誘電体膜105
および上部電極104よりキャパシタ130が構成され
る。
Referring to FIG. 20, a dielectric film 105 made of silicon nitride (SiN) is deposited so as to cover the entire surface of the lower electrode 101. The upper electrode 10 made of polycrystalline silicon so as to cover the entire surface of the dielectric film 105.
4 are deposited. The lower electrode 101 and the dielectric film 105
And the upper electrode 104 constitutes the capacitor 130.

【0046】上記のように、本発明の第2の実施例にお
けるキャパシタ130では、下部電極101は第1の部
分102、第2の部分103a、および第3の部分10
3bより構成されている。このように、第2の部分10
3aに加えて、鉛直上方へ突き出すように延びる部分を
有する第3の部分103bが形成されている。このた
め、第1の実施例に比較しても広い表面積を確保するこ
とが可能となる。よって、キャパシタ130の容量は、
第1の実施例におけるキャパシタ30の容量に比較して
大きくすることができる。
As described above, in the capacitor 130 according to the second embodiment of the present invention, the lower electrode 101 includes the first portion 102, the second portion 103a, and the third portion 10.
3b. Thus, the second portion 10
In addition to 3a, a third portion 103b having a portion extending so as to project vertically upward is formed. Therefore, it is possible to secure a large surface area as compared with the first embodiment. Therefore, the capacitance of the capacitor 130 is
It can be made larger than the capacitance of the capacitor 30 in the first embodiment.

【0047】また、キャパシタ130の製造方法におい
て、下部電極101に含まれる第2、第3の部分103
a,103bを自己整合的に形成することができる。こ
のため、第1の実施例と同様少ないマスク合わせでキャ
パシタ130を形成することが可能となる。したがっ
て、マスク合わせ余裕が不要となり、集積度の向上を図
ることも可能となる。
In the method of manufacturing the capacitor 130, the second and third portions 103 included in the lower electrode 101 are also included.
It is possible to form a and 103b in a self-aligned manner. Therefore, it is possible to form the capacitor 130 with less mask alignment as in the first embodiment. Therefore, a mask alignment margin is not required, and the degree of integration can be improved.

【0048】なお、第2の実施例においては、下部電極
101を構成する第3の部分103bが第2の部分10
3aの内側に形成された構成を示したが、図21に示す
第3の実施例のように下部電極201を構成する第2の
部分203aの外側に第3の部分203bが形成された
構成としてもよい。これにより、下部電極201、誘電
体膜205および上部電極204から構成されるキャパ
シタ230においては、容量に寄与する下部電極201
の表面積が大きくなる。それゆえ、キャパシタ230の
容量を増大することが可能である。なお、図21に付し
た符号は、図20に対応する符号で示している。
In the second embodiment, the third portion 103b forming the lower electrode 101 is the second portion 10.
Although the structure formed inside 3a is shown, as in the third embodiment shown in FIG. 21, the third part 203b is formed outside the second part 203a forming the lower electrode 201. Good. As a result, in the capacitor 230 including the lower electrode 201, the dielectric film 205 and the upper electrode 204, the lower electrode 201 that contributes to the capacitance.
Surface area is increased. Therefore, it is possible to increase the capacity of the capacitor 230. Note that the reference numerals attached to FIG. 21 are indicated by the reference numerals corresponding to FIG.

【0049】なお、本発明は第2および第3の実施例に
示す構成に限られるものではなく、鉛直上方へ突出す部
分が多数個形成された構成であってもよい。これによ
り、より一層の容量の増大を図ることも可能となる。
The present invention is not limited to the structures shown in the second and third embodiments, but may be a structure in which a large number of vertically projecting portions are formed. This makes it possible to further increase the capacity.

【0050】次に、本発明の第4の実施例におけるDR
AMのメモリセルの構成について説明する。
Next, the DR in the fourth embodiment of the present invention
The configuration of the AM memory cell will be described.

【0051】図22は、本発明の第4の実施例における
DRAMのメモリセルを概略的に示す断面図である。図
22を参照して、メモリセルは1つのトランスファーゲ
ートトランジスタ340と1つのキャパシタ330とか
ら構成されている。トランスファーゲートトランジスタ
340は、1対のソース・ドレイン領域308、ゲート
絶縁膜309およびゲート電極(ワード線)310b、
310cとを備えている。このトランスファーゲートト
ランジスタ340は、シリコン基板306の分離酸化膜
307により分離された領域に形成されている。シリコ
ン基板306の表面には、1対のソース・ドレイン領域
308が所定の間隔を介して形成されている。この1対
のソース・ドレイン領域の間に位置するシリコン基板3
06の表面上に、ゲート絶縁膜309を介してゲート電
極310b、310cが形成されている。分離酸化膜3
07の表面上には、ワード線となるべき配線層310a
および310dが形成されている。ゲート電極310
b、310cおよび配線層310a,310dの側壁に
はシリコン酸化物(SiO2 )よりなるサイドウォール
311が形成されている。このトランスファーゲートト
ランジスタ340および配線層310aを被覆するよう
に、シリコン酸化物(SiO2 )よりなる層間絶縁膜3
12が形成されている。この層間絶縁膜312の表面上
には、窒化膜(SiN)314が形成されている。ま
た、層間絶縁膜312中には、一方のソース・ドレイン
領域308に電気的に接続されるように埋込ビット線3
13が形成されている。層間絶縁膜312と窒化膜31
4には、コンタクトホール312aが形成されている。
このコンタクトホール312aからは、トランスファー
ゲートトランジスタ340を構成する他方のソース・ド
レイン領域308の一部表面が露出している。この他方
のソース・ドレイン領域308と電気的に接続されるよ
うにキャパシタ330が形成されている。
FIG. 22 is a cross sectional view schematically showing a memory cell of a DRAM according to the fourth embodiment of the present invention. With reference to FIG. 22, the memory cell is composed of one transfer gate transistor 340 and one capacitor 330. The transfer gate transistor 340 includes a pair of source / drain regions 308, a gate insulating film 309, and a gate electrode (word line) 310b.
And 310c. The transfer gate transistor 340 is formed in a region isolated by the isolation oxide film 307 of the silicon substrate 306. On the surface of the silicon substrate 306, a pair of source / drain regions 308 are formed with a predetermined interval. Silicon substrate 3 located between the pair of source / drain regions
Gate electrodes 310b and 310c are formed on the surface of 06 via a gate insulating film 309. Isolation oxide film 3
On the surface of 07, a wiring layer 310a to be a word line is formed.
And 310d are formed. Gate electrode 310
Side walls 311 made of silicon oxide (SiO 2 ) are formed on the side walls of b, 310c and the wiring layers 310a, 310d. The interlayer insulating film 3 made of silicon oxide (SiO 2 ) so as to cover the transfer gate transistor 340 and the wiring layer 310a.
12 are formed. A nitride film (SiN) 314 is formed on the surface of the interlayer insulating film 312. In the interlayer insulating film 312, the buried bit line 3 is electrically connected to one of the source / drain regions 308.
13 is formed. Interlayer insulating film 312 and nitride film 31
4, a contact hole 312a is formed.
A part of the surface of the other source / drain region 308 forming the transfer gate transistor 340 is exposed from the contact hole 312a. A capacitor 330 is formed so as to be electrically connected to the other source / drain region 308.

【0052】キャパシタ330は、下部電極(ストレー
ジノード)301と、誘電体膜305および上部電極
(セルプレート)304から構成されている。また、下
部電極301は、第1の部分302と第2の部分303
とから構成されている。下部電極301の第1の部分3
02は、コンタクトホール312aを介して他方のソー
ス・ドレイン領域の露出部分と接している。また、第1
の部分302の側部に接するように第2の部分303が
形成されている。この第2の部分303は、鉛直上方へ
延びる部分と窒化膜314の表面に沿う方向へ延びる部
分とを有している。この下部電極301の表面全面を覆
うように誘電体膜305が形成されている。この誘電体
膜305は、下部電極301の下側表面上にも回り込む
ように形成されている。この誘電体膜305の表面全面
を覆うように上部電極304が形成されている。この上
部電極304も下部電極301の下側へ回り込むように
形成されている。このように形成されたキャパシタ33
0被覆するように絶縁膜315が形成されている。この
絶縁膜315の表面上には、アルミニウム(Al)など
からなる配線層316が複数本形成されている。
The capacitor 330 is composed of a lower electrode (storage node) 301, a dielectric film 305 and an upper electrode (cell plate) 304. In addition, the lower electrode 301 includes a first portion 302 and a second portion 303.
It consists of and. First part 3 of the lower electrode 301
02 is in contact with the exposed portion of the other source / drain region through the contact hole 312a. Also, the first
The second portion 303 is formed so as to contact the side portion of the portion 302. The second portion 303 has a portion extending vertically upward and a portion extending in a direction along the surface of the nitride film 314. A dielectric film 305 is formed so as to cover the entire surface of the lower electrode 301. The dielectric film 305 is formed so as to also extend around the lower surface of the lower electrode 301. An upper electrode 304 is formed so as to cover the entire surface of this dielectric film 305. The upper electrode 304 is also formed so as to wrap around the lower side of the lower electrode 301. The capacitor 33 thus formed
An insulating film 315 is formed so as to cover 0. A plurality of wiring layers 316 made of aluminum (Al) or the like are formed on the surface of the insulating film 315.

【0053】次に、本発明の第4の実施例におけるメモ
リセルに採用されるキャパシタの製造方法について説明
する。
Next, a method of manufacturing the capacitor used in the memory cell according to the fourth embodiment of the present invention will be described.

【0054】図23〜図25は、本発明の第4の実施例
におけるメモリセルに採用されるキャパシタの製造方法
を工程順に示す拡大断面図である。
23 to 25 are enlarged sectional views showing, in the order of steps, a method of manufacturing a capacitor used in a memory cell according to the fourth embodiment of the present invention.

【0055】まず図23を参照して、シリコン基板30
6の表面上には、CVD法によりシリコン酸化物(Si
2 )よりなる絶縁膜312が堆積される。この絶縁膜
312の表面上には、CVD法により窒化膜(SiN)
314が堆積される。また、この窒化膜314の表面上
には、CVD法により酸化膜(SiO2 )325が形成
される。この絶縁膜312、窒化膜314および酸化膜
325には、コンタクトホール312aが形成される。
このコンタクトホール312aからは、シリコン基板3
06の一部表面が露出している。なお、この露出するシ
リコン基板306の表面には、不純物領域などの導電層
(図示せず)が形成されている。
First, with reference to FIG. 23, a silicon substrate 30.
On the surface of 6, the silicon oxide (Si
An insulating film 312 of O 2 ) is deposited. A nitride film (SiN) is formed on the surface of the insulating film 312 by the CVD method.
314 is deposited. An oxide film (SiO 2 ) 325 is formed on the surface of the nitride film 314 by the CVD method. A contact hole 312a is formed in the insulating film 312, the nitride film 314, and the oxide film 325.
From this contact hole 312a, the silicon substrate 3
A part of the surface of 06 is exposed. A conductive layer (not shown) such as an impurity region is formed on the exposed surface of the silicon substrate 306.

【0056】図24を参照して、このコンタクトホール
312aを埋込むように、酸化膜325の表面上には多
結晶シリコン膜302が堆積される。この多結晶シリコ
ン膜302の表面上には、CVD法により酸化膜(Si
2 )321が堆積される。この酸化膜321が、フォ
トリソグラフィ法、RIE法によりパターニングされ
る。このパターニングされた酸化膜321をマスクとし
て多結晶シリコン膜302がエッチングされる。このエ
ッチングにより、下部電極の第1の部分302が形成さ
れる。次に、酸化膜321を残した状態で多結晶シリコ
ン膜303が第1の部分302と酸化膜321を覆うよ
うに表面全面に堆積される。この多結晶シリコン膜30
3の表面全面にCVD法により酸化膜(SiO2 )32
3が堆積される。この酸化膜323に異方性エッチング
が施される。このエッチングにより、多結晶シリコン膜
303の段差部に高温酸化膜323よりなるサイドウォ
ールが形成される。このサイドウォール323をマスク
として、多結晶シリコン膜303がエッチング除去され
る。これにより、下部電極の第2の部分303が形成さ
れる。このようにして、下部電極301を構成する第1
の部分302と第2の部分303が形成される。なお、
第2の部分303は、第1の部分302に接しかつ鉛直
上方へ延びる部分と酸化膜325の表面に沿う方向へ延
びる部分とを有している。
Referring to FIG. 24, polycrystalline silicon film 302 is deposited on the surface of oxide film 325 so as to fill contact hole 312a. On the surface of this polycrystalline silicon film 302, an oxide film (Si
O 2 ) 321 is deposited. The oxide film 321 is patterned by the photolithography method and the RIE method. The polycrystalline silicon film 302 is etched by using the patterned oxide film 321 as a mask. This etching forms the first portion 302 of the lower electrode. Next, with the oxide film 321 left, a polycrystalline silicon film 303 is deposited on the entire surface so as to cover the first portion 302 and the oxide film 321. This polycrystalline silicon film 30
Oxide film (SiO 2 ) 32 on the entire surface of No. 3 by the CVD method.
3 are deposited. This oxide film 323 is anisotropically etched. By this etching, sidewalls made of the high temperature oxide film 323 are formed in the step portions of the polycrystalline silicon film 303. Using this sidewall 323 as a mask, the polycrystalline silicon film 303 is removed by etching. As a result, the second portion 303 of the lower electrode is formed. In this way, the first electrode forming the lower electrode 301 is formed.
Part 302 and the second part 303 are formed. In addition,
Second portion 303 has a portion that is in contact with first portion 302 and extends vertically upward, and a portion that extends in a direction along the surface of oxide film 325.

【0057】図25を参照して、フッ酸系のエッチング
液により、ウエットエッチングが施される。このエッチ
ングにより、窒化膜314の表面上にある酸化膜325
および下部電極301の表面上の酸化膜321、323
が除去される。このエッチングの際、窒化膜314はエ
ッチングストッパの役割をなす。このため、絶縁膜31
2およびシリコン基板306の表面はエッチングにより
侵されることはない。次に、下部電極301の表面全面
を覆うように、誘電体膜305が形成される。また、こ
の誘電体膜305の表面全面を覆うように多結晶シリコ
ン膜304が形成される。この下部電極301、誘電体
膜305および上部電極304より、キャパシタ330
が構成される。
Referring to FIG. 25, wet etching is performed with a hydrofluoric acid-based etching solution. By this etching, the oxide film 325 on the surface of the nitride film 314 is formed.
And oxide films 321 and 323 on the surface of the lower electrode 301.
Are removed. At the time of this etching, the nitride film 314 serves as an etching stopper. Therefore, the insulating film 31
2 and the surface of the silicon substrate 306 are not attacked by etching. Next, a dielectric film 305 is formed so as to cover the entire surface of the lower electrode 301. A polycrystalline silicon film 304 is formed so as to cover the entire surface of this dielectric film 305. From the lower electrode 301, the dielectric film 305 and the upper electrode 304, the capacitor 330
Is configured.

【0058】上記のような第4の実施例におけるキャパ
シタ330の構造においては、下部電極301の下側表
面もキャパシタの容量に寄与する。このため、第1の実
施例におけるキャパシタの容量に比較して、より一層の
容量増大を図ることが可能となる。
In the structure of the capacitor 330 according to the fourth embodiment as described above, the lower surface of the lower electrode 301 also contributes to the capacitance of the capacitor. Therefore, it is possible to further increase the capacitance as compared with the capacitance of the capacitor in the first embodiment.

【0059】なお、第4の実施例においては、下部電極
301の下側表面に回り込むように上部電極304が形
成される構成となっている。しかしながら、本発明では
下部電極301の形状は第4の実施例に示す形状に限ら
れず、図26に示す第5の実施例のように第1の部分4
02、第2の部分403aおよび第3の部分403bよ
り構成される下部電極401のごとく第2の実施例にお
ける下部電極の形状と同じ形状でもよい。
In the fourth embodiment, the upper electrode 304 is formed so as to wrap around the lower surface of the lower electrode 301. However, in the present invention, the shape of the lower electrode 301 is not limited to the shape shown in the fourth embodiment, and the first portion 4 as in the fifth embodiment shown in FIG.
02, the second portion 403a, and the third portion 403b, the lower electrode 401 may have the same shape as the lower electrode in the second embodiment.

【0060】また、鉛直上方に突出す部分が複数列のも
のであればよい。これにより、さらに容量の増大を図る
ことが可能となる。
Further, it is sufficient that the portion protruding vertically upward has a plurality of rows. This makes it possible to further increase the capacity.

【0061】さらに、図27に示すようにコンタクトホ
ール12aをタングステン(W)プラグ17によって埋
込む構成としてもよい。なお、図27に付した符号は図
11に示す符号と対応する符号で示している。
Further, as shown in FIG. 27, the contact hole 12a may be filled with a tungsten (W) plug 17. The reference numerals shown in FIG. 27 are shown by the reference numerals corresponding to those shown in FIG. 11.

【0062】加えて、図28に示すように、トランスフ
ァーゲートトランジスタ40の一方のソース・ドレイン
領域8に電気的に接続させられるビット線を層間絶縁膜
12、窒化膜14および絶縁膜15aに形成されたコン
タクトホール18を介して形成する構成としてもよい。
なお、図28に付した符号は、図2の示す符号と対応す
る符号で示している。
In addition, as shown in FIG. 28, a bit line electrically connected to one source / drain region 8 of the transfer gate transistor 40 is formed in the interlayer insulating film 12, the nitride film 14 and the insulating film 15a. The contact hole 18 may be formed through the contact hole 18.
Note that the reference numerals in FIG. 28 correspond to the reference numerals shown in FIG.

【0063】[0063]

【発明の効果】本発明の半導体装置においては、キャパ
シタの下部電極は、第1の電極層と第2の電極層とを含
んでいる。第2の電極層は、相対的に平坦に形成された
第1の電極層の上面より上方へ延びる部分を有してい
る。このため、下部電極が相対的に平坦な形状のみから
なる従来のキャパシタに比較して、上方へ延びる部分の
表面積が増大する。これにより、下部電極と上部電極の
電極間対向面積が増大し、容量の増大を図ることが可能
となる。また、鉛直上方へ延びる部分の表面積は、キャ
パシタの平面占有面積が減少した場合でも、ほとんど減
少しない。すなわち、高集積化を図った場合でも、鉛直
上方に突き出た部分の表面積を制御することによりキャ
パシタの容量を確保することができる。このように、キ
ャパシタ容量の増大あるいは確保が可能となるため、高
集積化に伴うDRAMの動作の不安定化および信頼性の
劣化を防止することが可能となる。
In the semiconductor device of the present invention, the lower electrode of the capacitor includes the first electrode layer and the second electrode layer. The second electrode layer has a portion extending upward from the upper surface of the first electrode layer formed relatively flat. Therefore, the surface area of the portion extending upward is increased as compared with the conventional capacitor in which the lower electrode has only a relatively flat shape. As a result, the area where the lower electrode and the upper electrode face each other between electrodes is increased, and the capacitance can be increased. Further, the surface area of the portion extending vertically upward is hardly reduced even when the planar occupation area of the capacitor is reduced. That is, even when high integration is achieved, the capacitance of the capacitor can be secured by controlling the surface area of the portion protruding vertically upward. As described above, since the capacity of the capacitor can be increased or ensured, it is possible to prevent the operation of the DRAM from becoming unstable and the reliability from being deteriorated due to high integration.

【0064】本発明の半導体装置の製造方法において
は、第2の電極層はサイドウォールスペーサ状に残され
た第2の層をマスクとして自己整合的に形成される。ま
た、第2の層は、その全面を異方性エッチングすること
により、サイドウォールスペーサ状に残される。このた
め、第2の層をサイドウォールスペーサ状に残す工程に
おいては、マスク合せは不要である。このように、第2
の電極層を形成する工程と第2の層をサイドウォール状
に残す行程において、高精度のマスク合わせを省略する
ことができる。これにより、マスク合わせ誤差を許容す
るためのマスク合わせ余裕が不要となる。よって、マス
ク合わせ余裕をとってパターン設計をする必要もなくな
り、集積度の向上を図ることが可能となる。
In the method of manufacturing a semiconductor device of the present invention, the second electrode layer is formed in a self-aligned manner using the second layer left in the shape of the sidewall spacer as a mask. Further, the second layer is left in a sidewall spacer shape by anisotropically etching the entire surface. Therefore, mask alignment is not necessary in the step of leaving the second layer in the shape of the sidewall spacer. Thus, the second
In the step of forming the electrode layer and the step of leaving the second layer in a sidewall shape, highly accurate mask alignment can be omitted. This eliminates the need for a mask alignment margin for allowing a mask alignment error. Therefore, it is not necessary to design a pattern with a margin for mask alignment, and it is possible to improve the degree of integration.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施例におけるDRAMのメモ
リセルアレイの平面構造図である。
FIG. 1 is a plan structural view of a memory cell array of a DRAM according to a first embodiment of the present invention.

【図2】本発明の第1の実施例におけるDRAMのメモ
リセルの概略構成を示す図1のII−II線に沿う断面
図である。
FIG. 2 is a sectional view taken along the line II-II in FIG. 1 showing a schematic configuration of a memory cell of a DRAM according to the first embodiment of the present invention.

【図3】本発明の第1の実施例におけるメモリセルに採
用されるキャパシタの製造方法の第1工程を概略的に示
す拡大断面図である。
FIG. 3 is an enlarged cross-sectional view schematically showing a first step of the method of manufacturing the capacitor adopted in the memory cell according to the first embodiment of the present invention.

【図4】本発明の第1の実施例におけるメモリセルに採
用されるキャパシタの製造方法の第2工程を概略的に示
す拡大断面図である。
FIG. 4 is an enlarged cross-sectional view schematically showing a second step of the method of manufacturing the capacitor adopted in the memory cell according to the first embodiment of the present invention.

【図5】本発明の第1の実施例におけるメモリセルに採
用されるキャパシタの製造方法の第3工程を概略的に示
す拡大断面図である。
FIG. 5 is an enlarged cross-sectional view schematically showing a third step of the method for manufacturing the capacitor adopted in the memory cell according to the first embodiment of the present invention.

【図6】本発明の第1の実施例におけるメモリセルに採
用されるキャパシタの製造方法の第4工程を概略的に示
す拡大断面図である。
FIG. 6 is an enlarged cross-sectional view schematically showing a fourth step of the method for manufacturing the capacitor adopted in the memory cell according to the first embodiment of the present invention.

【図7】本発明の第1の実施例におけるメモリセルに採
用されるキャパシタの製造方法の第5工程を概略的に示
す拡大断面図である。
FIG. 7 is an enlarged cross-sectional view schematically showing a fifth step of the method for manufacturing the capacitor adopted in the memory cell according to the first embodiment of the present invention.

【図8】本発明の第1の実施例におけるメモリセルに採
用されるキャパシタの製造方法の第6工程を概略的に示
す拡大断面図である。
FIG. 8 is an enlarged cross-sectional view schematically showing a sixth step of the method for manufacturing the capacitor employed in the memory cell according to the first embodiment of the present invention.

【図9】本発明の第1の実施例におけるメモリセルに採
用されるキャパシタの製造方法の第7工程を概略的に示
す拡大断面図である。
FIG. 9 is an enlarged cross-sectional view schematically showing a seventh step of the method for manufacturing the capacitor adopted in the memory cell according to the first embodiment of the present invention.

【図10】本発明の第1の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第8工程を概略的に
示す拡大断面図である。
FIG. 10 is an enlarged cross-sectional view schematically showing an eighth step of the method for manufacturing the capacitor adopted in the memory cell according to the first embodiment of the present invention.

【図11】本発明の第1の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第9工程を概略的に
示す拡大断面図である。
FIG. 11 is an enlarged cross-sectional view schematically showing a ninth step of the method for manufacturing the capacitor employed in the memory cell according to the first embodiment of the present invention.

【図12】本発明の第2の実施例におけるDRAMのメ
モリセルの概略構成を示す断面図である。
FIG. 12 is a cross-sectional view showing a schematic configuration of a memory cell of a DRAM according to a second embodiment of the present invention.

【図13】本発明の第2の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第1工程を概略的に
示す拡大断面図である。
FIG. 13 is an enlarged cross-sectional view schematically showing a first step of a method of manufacturing a capacitor adopted in a memory cell according to the second embodiment of the present invention.

【図14】本発明の第2の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第2工程を概略的に
示す拡大断面図である。
FIG. 14 is an enlarged cross-sectional view schematically showing a second step of the method of manufacturing the capacitor adopted in the memory cell according to the second embodiment of the present invention.

【図15】本発明の第2の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第3工程を概略的に
示す拡大断面図である。
FIG. 15 is an enlarged cross sectional view schematically showing a third step of the method for manufacturing the capacitor adopted in the memory cell in the second example of the present invention.

【図16】本発明の第2の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第4工程を概略的に
示す拡大断面図である。
FIG. 16 is an enlarged cross-sectional view schematically showing a fourth step of the method for manufacturing the capacitor adopted in the memory cell according to the second embodiment of the present invention.

【図17】本発明の第2の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第5工程を概略的に
示す拡大断面図である。
FIG. 17 is an enlarged cross sectional view schematically showing a fifth step of the method for manufacturing the capacitor adopted in the memory cell in the second example of the present invention.

【図18】本発明の第2の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第6工程を概略的に
示す拡大断面図である。
FIG. 18 is an enlarged cross sectional view schematically showing a sixth step of the method for manufacturing the capacitor adopted in the memory cell in the second example of the present invention.

【図19】本発明の第2の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第7工程を概略的に
示す拡大断面図である。
FIG. 19 is an enlarged cross sectional view schematically showing a seventh step of the method for manufacturing the capacitor adopted in the memory cell in the second example of the present invention.

【図20】本発明の第2の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第8工程を概略的に
示す拡大断面図である。
FIG. 20 is an enlarged cross-sectional view schematically showing an eighth step of the method for manufacturing the capacitor adopted in the memory cell according to the second embodiment of the present invention.

【図21】本発明の第3の実施例におけるメモリセルに
採用されるキャパシタの概略構成を示す拡大断面図であ
る。
FIG. 21 is an enlarged cross-sectional view showing a schematic configuration of a capacitor used in a memory cell according to a third embodiment of the present invention.

【図22】本発明の第4の実施例におけるDRAMのメ
モリセルの概略構成を示す断面図である。
FIG. 22 is a sectional view showing a schematic configuration of a memory cell of a DRAM according to a fourth embodiment of the present invention.

【図23】本発明の第4の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第1工程を概略的に
示す拡大断面図である。
FIG. 23 is an enlarged cross sectional view schematically showing a first step of the method for manufacturing the capacitor adopted in the memory cell in the fourth example of the present invention.

【図24】本発明の第4の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第2工程を概略的に
示す拡大断面図である。
FIG. 24 is an enlarged cross sectional view schematically showing a second step of the method for manufacturing the capacitor adopted in the memory cell in the fourth example of the present invention.

【図25】本発明の第4の実施例におけるメモリセルに
採用されるキャパシタの製造方法の第3工程を概略的に
示す拡大断面図である。
FIG. 25 is an enlarged cross sectional view schematically showing a third step of the method for manufacturing the capacitor adopted in the memory cell in the fourth example of the present invention.

【図26】本発明の第5の実施例におけるメモリセルに
採用されるキャパシタの概略構成を示す拡大断面図であ
る。
FIG. 26 is an enlarged cross-sectional view showing a schematic configuration of a capacitor used in a memory cell according to a fifth embodiment of the present invention.

【図27】本発明のキャパシタにタングステンプラグを
併用した様子を示す拡大断面図である。
FIG. 27 is an enlarged cross-sectional view showing a state where a tungsten plug is used together with the capacitor of the present invention.

【図28】本発明の第1の実施例におけるDRAMのメ
モリセルにおいて、ビット線を上層から形成した様子を
概略的に示す断面図である。
FIG. 28 is a cross sectional view schematically showing a state in which bit lines are formed from the upper layer in the memory cell of the DRAM in the first embodiment of the present invention.

【図29】一般的なDRAMの構成を示すブロック図で
ある。
FIG. 29 is a block diagram showing a configuration of a general DRAM.

【図30】メモリセルアレイを構成するメモリセルの4
ビット分の等価回路図を示す図である。
FIG. 30 is a view showing four memory cells which form a memory cell array.
It is a figure which shows the equivalent circuit schematic for bits.

【図31】従来のDRAMのメモリセルを概略的に示す
断面図である。
FIG. 31 is a cross-sectional view schematically showing a memory cell of a conventional DRAM.

【符号の説明】[Explanation of symbols]

1、101、201、301、401 下部電極 2、102、202、302、402 第1の部分 3、103a、203a、303、403a 第2の部
分 103b、203b、403b 第3の部分 4、104、204、304、404 上部電極 5、105、205、305、405 誘電体膜
1, 101, 201, 301, 401 Lower electrode 2, 102, 202, 302, 402 First portion 3, 103a, 203a, 303, 403a Second portion 103b, 203b, 403b Third portion 4, 104, 204, 304, 404 Upper electrode 5, 105, 205, 305, 405 Dielectric film

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 基板と、 前記基板に設けられたソース・ドレイン領域およびゲー
ト電極と、 前記基板の上部にあって、所定の平面上に沿って延びる
部分を含む第1の電極層と、 前記第1の電極層に接し、かつ前記第1の電極層の上面
より上方へ延びる部分と前記所定の平面に沿って延びる
部分とを含む第2の電極層と、 前記第1と第2の電極層の表面上を覆う誘電体層と、 前記誘電体層の表面上を覆う第3の電極層とを備えた、
半導体装置。
1. A substrate, a source / drain region and a gate electrode provided on the substrate, a first electrode layer including an upper portion of the substrate extending along a predetermined plane, and A second electrode layer that is in contact with the first electrode layer and includes a portion that extends above the upper surface of the first electrode layer and a portion that extends along the predetermined plane; and the first and second electrodes A dielectric layer covering the surface of the layer, and a third electrode layer covering the surface of the dielectric layer,
Semiconductor device.
【請求項2】 基板と、基板に設けられたソース・ドレ
イン領域およびゲート電極とを有し、前記基板の上部に
あって、所定の平面上に沿って延びる部分を含む第1の
電極層と、その第1の電極層の表面上に第1の層とを形
成する工程と、 少なくとも前記第1の電極層と前記第1の層との表面を
含む領域上を覆うように導電層を形成する工程と、 前記導電層の表面上を覆うように第2の層を形成する工
程と、 前記第2の層を異方性エッチングすることにより、前記
導電層の側壁にサイドウォールスペーサ状に前記第2の
層を残す工程と、 前記第2の層のエッチング速度が前記導電層のエッチン
グ速度より小さくなるようなエッチング条件で前記導電
層を前記第1の層の表面が露出するまでエッチングする
ことにより、第2の電極層を形成する工程と、 前記第1と第2の層を除去する工程と、 前記第1と第2の電極層の表面を覆うように誘電体層を
形成する工程と、 前記誘電体層の表面を覆うように第3の電極層を形成す
る工程とを備えた、半導体装置の製造方法。
2. A first electrode layer having a substrate, a source / drain region and a gate electrode provided on the substrate, the first electrode layer including an upper portion of the substrate and extending along a predetermined plane. Forming a first layer on the surface of the first electrode layer, and forming a conductive layer so as to cover at least a region including the surfaces of the first electrode layer and the first layer. And a step of forming a second layer so as to cover the surface of the conductive layer, and anisotropically etching the second layer to form a sidewall spacer on the sidewall of the conductive layer. Leaving the second layer, and etching the conductive layer under etching conditions such that the etching rate of the second layer is lower than the etching rate of the conductive layer until the surface of the first layer is exposed. To form the second electrode layer. A step of removing the first and second layers, a step of forming a dielectric layer so as to cover the surfaces of the first and second electrode layers, and a step of covering the surface of the dielectric layer. And a step of forming a third electrode layer on the substrate.
JP4214265A 1992-08-11 1992-08-11 Semiconductor device and its manufacture Withdrawn JPH0661443A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP4214265A JPH0661443A (en) 1992-08-11 1992-08-11 Semiconductor device and its manufacture

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4214265A JPH0661443A (en) 1992-08-11 1992-08-11 Semiconductor device and its manufacture

Publications (1)

Publication Number Publication Date
JPH0661443A true JPH0661443A (en) 1994-03-04

Family

ID=16652885

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4214265A Withdrawn JPH0661443A (en) 1992-08-11 1992-08-11 Semiconductor device and its manufacture

Country Status (1)

Country Link
JP (1) JPH0661443A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251741B1 (en) 1998-05-20 2001-06-26 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device
KR100346450B1 (en) * 1999-12-30 2002-07-27 주식회사 하이닉스반도체 A method for forming a capacitor of a semiconductor device

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6251741B1 (en) 1998-05-20 2001-06-26 Mitsubishi Denki Kabushiki Kaisha Method of manufacturing a semiconductor device
KR100346450B1 (en) * 1999-12-30 2002-07-27 주식회사 하이닉스반도체 A method for forming a capacitor of a semiconductor device

Similar Documents

Publication Publication Date Title
US5459344A (en) Stacked capacitor type semiconductor memory device and manufacturing method thereof
JP2528731B2 (en) Semiconductor memory device and manufacturing method thereof
JP2519569B2 (en) Semiconductor memory device and manufacturing method thereof
US5491103A (en) Method for manufacturing a capacitor structure of a semiconductor memory device
JPH05275615A (en) Semiconductor device and manufacture thereof
JP2689031B2 (en) Semiconductor memory device and method of manufacturing the same
US6005269A (en) DRAM cell with a double-crown shaped capacitor
US5837581A (en) Method for forming a capacitor using a hemispherical-grain structure
US5571742A (en) Method of fabricating stacked capacitor of DRAM cell
JPH0645553A (en) Semiconductor storage device and its manufacture
US5866455A (en) Method for forming a dram cell with a multiple pillar-shaped capacitor
US5180683A (en) Method of manufacturing stacked capacitor type semiconductor memory device
JPH10308498A (en) Semiconductor device and manufacture thereof
US6265263B1 (en) Method for forming a DRAM capacitor with porous storage node and rugged sidewalls
JPH0661443A (en) Semiconductor device and its manufacture
JP2715012B2 (en) Semiconductor memory device and method of manufacturing the same
US6027981A (en) Method for forming a DRAM cell with a fork-shaped capacitor
US5905281A (en) Draw cell with a fork-shaped capacitor
US6090663A (en) Method for forming a high-density DRAM cell with a rugged polysilicon cup-shaped capacitor
JPH06151768A (en) Semiconductor device and manufacture thereof
JPH0722595A (en) Semiconductor device and manufacture thereof
US5930622A (en) Method for forming a DRAM cell with a double-crown shaped capacitor
US6114214A (en) Method for forming a high-density dram cell with a double-crown rugged polysilicon capacitor
JPH0828474B2 (en) Semiconductor memory device and manufacturing method thereof
JP2501647B2 (en) Semiconductor memory device and manufacturing method thereof

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 19991102