JPH05315325A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH05315325A
JPH05315325A JP4117698A JP11769892A JPH05315325A JP H05315325 A JPH05315325 A JP H05315325A JP 4117698 A JP4117698 A JP 4117698A JP 11769892 A JP11769892 A JP 11769892A JP H05315325 A JPH05315325 A JP H05315325A
Authority
JP
Japan
Prior art keywords
resist
film
insulating film
pattern
interlayer insulating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4117698A
Other languages
Japanese (ja)
Inventor
Takashi Yamada
敬 山田
Hiroshi Takatou
宏 高東
Kazumasa Sunochi
一正 須之内
Yoshinori Matsubara
義徳 松原
Takeshi Shibata
剛 柴田
Hideshi Shiobara
英志 塩原
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP4117698A priority Critical patent/JPH05315325A/en
Publication of JPH05315325A publication Critical patent/JPH05315325A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To form minute patterns with high precision by a method wherein a first insulating film for level-matching is selectively formed in a lower region of a step like a non-memory cell region of DRAM and a second insulating film is further formed so as to cover the entire surface. CONSTITUTION:A nitrogen silicon film 2 is first formed as an etching stopper on the surface of a silicon substrate 1 having a large step of 1mum or so and for instance an oxidized silicon film 3 is further deposited on its upper layer as a first layer insulating film. After only the oxidized silicon film 3 on a large recess is selectively left by a photolithography, a second layer insulating film is further formed on the entire substrate surface to flat it. Thus, even on the substrate surface having a large step patterns can be formed with high precision.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は、半導体装置の製造方法
に係り、特にミリレンジ領域の大きな段差のある表面へ
の層間絶縁膜の形成およびその表面でのパターン形成に
関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a method of manufacturing a semiconductor device, and more particularly, to formation of an interlayer insulating film on a surface having a large step in the millimeter range region and pattern formation on the surface.

【0002】[0002]

【従来の技術】近年、半導体装置の高集積化に伴い、回
路の微細化は進む一方であり、配線においても微細化お
よび多層化が急速に進められている。
2. Description of the Related Art In recent years, with the high integration of semiconductor devices, miniaturization of circuits has been progressing, and miniaturization and multi-layering of wiring have been rapidly promoted.

【0003】このような状況の中で、層間絶縁膜表面は
なめらかである必要がある。それは急峻な段差がある
と、その後の配線等のパターニングが困難となるためで
ある。そこで層間絶縁膜としてリンガラスなどを用い、
これを堆積したのち、熱工程によりリンガラスに流動性
をもたせ、表面を平坦化する方法が従来から用いられて
いる(リンガラスフロー)。
Under such circumstances, the surface of the interlayer insulating film needs to be smooth. This is because if there is a steep step, it becomes difficult to pattern the wiring and the like thereafter. Therefore, using phosphorus glass or the like as the interlayer insulating film,
A method has been conventionally used in which phosphorous glass is made to have fluidity by a heat step after being deposited to flatten the surface (phosphorus glass flow).

【0004】しかしながら、従来のリンガラスフロー法
を用いると、この層間絶縁膜上のリソグラフィ技術が極
めて困難となる。それは、例えば積層型DRAMの場
合、キャパシタとトランジスタとを形成した後、層間絶
縁膜を形成してリンガラスフローにより平坦化しても、
メモリセル領域と非メモリセル領域とで大きな段差が存
在する。これはメモリセル領域には、非メモリセル領域
には存在しないキャパシタが表面に突出して形成されて
いるためである。このような形状の基板表面にレジスト
を堆積すると、図10に示すように段差の下部ではレジ
ストの膜厚が平坦部よりも厚くなるためリソグラフィの
解像度が低下し、微細なパターニングが困難となる。第
2に段差部下側の非メモリセル領域でも段差部から数十
乃至数千ミクロン離れるとレジストの膜厚も通常の膜厚
になるため、段差部上のレジスト表面の高さと、段差下
の表面の高さとでは、大きな段差分のレベル差が生じて
しまう。従って、フォトリソグラフィの光の焦点をいず
れかのレジスト膜厚に合わせるともう一方には合わなく
なり、焦点がぼけて微細なパターニングを行うことが困
難となる。
However, when the conventional phosphorus glass flow method is used, the lithography technique on the interlayer insulating film becomes extremely difficult. For example, in the case of a laminated DRAM, even if a capacitor and a transistor are formed, then an interlayer insulating film is formed and planarized by a phosphorus glass flow,
There is a large step between the memory cell region and the non-memory cell region. This is because a capacitor, which does not exist in the non-memory cell region, is formed in the memory cell region so as to protrude from the surface. When a resist is deposited on the surface of a substrate having such a shape, as shown in FIG. 10, the film thickness of the resist becomes thicker in the lower part of the step than in the flat part, so that the resolution of lithography is lowered and it becomes difficult to perform fine patterning. Secondly, even in the non-memory cell region below the step portion, the resist film thickness becomes a normal film thickness if it is separated from the step portion by several tens to several thousands of microns. Therefore, the height of the resist surface above the step portion and the surface below the step portion There is a large level difference between the height and the height. Therefore, if the light of photolithography is focused on one of the resist film thicknesses, it will not be focused on the other, and the focus will be out of focus, making it difficult to perform fine patterning.

【0005】また、層間絶縁膜を平坦化したとして、レ
ジストパターンのパターン精度は上がるが、図11に示
すように段差の下の部分と上の部分とでは層間絶縁膜の
膜厚が大きくことなるため、コンタクトの深さが大きく
異なり、深いコンタクトに合わせると、浅いコンタクト
領域でオーバーエッチングが生じ、一方浅いコンタクト
に合わせると深いコンタクト部分ではコンタクトをとる
ことができず、コンタクトエッチングを良好に行うこと
ができないという問題がある。
Further, if the interlayer insulating film is flattened, the pattern accuracy of the resist pattern is improved, but as shown in FIG. 11, the film thickness of the interlayer insulating film is large between the lower part and the upper part of the step. Therefore, the contact depth is very different, and if it is matched with a deep contact, over-etching occurs in the shallow contact region, while if it is matched with a shallow contact, it is not possible to make a contact in the deep contact portion, and good contact etching should be performed. There is a problem that you can not.

【0006】[0006]

【発明が解決しようとする課題】このように従来の方法
では、露光によるレジストパターン形成工程で表面に大
きな段差がある場合、段差下部でレジストの膜厚が大き
くなり、未露光部分ができてしまうことと、大きな段差
を持つ場合は段差部から離れるとレジスト表面にも大き
な段差分のレベル差を生じてしまい、光リソグラフィの
光の焦点がぼけてしまうこととの2つの理由により、十
分なパターン精度を得ることができないという問題があ
った。
As described above, according to the conventional method, when there is a large step on the surface in the resist pattern forming step by exposure, the film thickness of the resist is increased below the step and an unexposed portion is formed. In addition, when there is a large level difference, when the distance from the level difference portion is increased, a level difference corresponding to the large level difference is also generated on the resist surface, and the focus of light in optical lithography is defocused. There was a problem that accuracy could not be obtained.

【0007】また、大きな段差上に、表面が平坦となる
ように層間絶縁膜を形成できたとしても、層間絶縁膜の
膜厚に大きな差ができ、コンタクトエッチングを良好に
行うことができないという問題がある。
Further, even if the interlayer insulating film can be formed on the large step so that the surface becomes flat, there is a large difference in the film thickness of the interlayer insulating film, and the contact etching cannot be performed well. There is.

【0008】本発明は、前記実情に鑑みてなされたもの
で、高精度の微細パターンを形成することのできる半導
体装置の製造方法を提供することを目的とする。
The present invention has been made in view of the above circumstances, and an object of the present invention is to provide a method of manufacturing a semiconductor device capable of forming a highly precise fine pattern.

【0009】[0009]

【課題を解決するための手段】そこで本発明では、段差
を有する基板表面に層間絶縁膜を形成するに際し、DR
AMの非メモリセル領域のような段差の下部領域に選択
的にレベル合わせ用の第1の絶縁膜を形成するととも
に、さらに表面全体を覆うように第2の絶縁膜を形成す
るようにしている。
Therefore, in the present invention, when forming an interlayer insulating film on the surface of a substrate having a step, DR
A first insulating film for level matching is selectively formed in a lower region of a step such as a non-memory cell region of AM, and a second insulating film is further formed so as to cover the entire surface. ..

【0010】また本発明の第2では、段差を有する基板
表面あるいは段差を有する基板表面に形成された膜をパ
ターニングするに際し、第1のレジストを塗布し段差の
上部領域のレジストをフォトリソグラフィ等により選択
的に除去し段差の下部領域にのみ選択的にレベル合わせ
用の第1のレジストを残留せしめるともに、さらに表面
全体を覆うように第2のレジストを形成し、フォトリソ
グラフィにより少なくとも第2のレジストをパターニン
グしこれをマスクとして、基板表面あるい基板表面に形
成された膜をパターニングするようにしている。
According to the second aspect of the present invention, when patterning a stepped substrate surface or a film formed on a stepped substrate surface, the first resist is applied and the resist in the upper region of the step is subjected to photolithography or the like. The first resist for level adjustment is selectively removed only in the lower region of the step, and the second resist is formed so as to cover the entire surface, and at least the second resist is formed by photolithography. And the film formed on the substrate surface or the substrate surface is patterned using this as a mask.

【0011】[0011]

【作用】上記第1によれば、第1の層間絶縁膜で段差下
部を埋め込み、さらにこの上層に第2の層間絶縁膜を形
成するようにしているため、表面の平坦化をはかること
ができ、フォトリソグラフィに際してもパターン精度の
向上をはかることができる。
According to the first aspect, since the lower portion of the step is filled with the first interlayer insulating film and the second interlayer insulating film is formed on the upper portion, the surface can be flattened. The pattern accuracy can be improved also in photolithography.

【0012】望ましくはこの第1の層間絶縁膜の埋め込
みは第1の層間絶縁膜形成後、段差上部をフォトリソグ
ラフィや研磨等により選択的に除去することによって行
う。これにより深い段差領域のみ良好に埋め込むことが
できる。
Desirably, the first interlayer insulating film is filled by selectively removing the upper portion of the step by photolithography or polishing after forming the first interlayer insulating film. As a result, it is possible to satisfactorily fill only the deep step region.

【0013】また本発明の第2によれば、第1のレジス
トを塗布し段差の上部領域のレジストをフォトリソグラ
フィや研磨等により選択的に除去し段差の下部領域にの
み選択的にレベル合わせ用の第1のレジストを残留せし
めるともに、さらに表面全体を覆うように第2のレジス
トを形成しているため、表面の平坦化をはかることがで
き高精度のパターン形成を行うことが可能となる。ま
た、レジストを除去すればもとの表面レベルに戻すこと
ができる。
According to the second aspect of the present invention, the first resist is applied and the resist in the upper region of the step is selectively removed by photolithography, polishing or the like, and the level is selectively adjusted only in the lower region of the step. Since the second resist is formed so as to remain the first resist and cover the entire surface, the surface can be flattened and high-precision pattern formation can be performed. Further, the original surface level can be restored by removing the resist.

【0014】[0014]

【実施例】以下、本発明の実施例について図面を参照し
つつ詳細に説明する。
Embodiments of the present invention will now be described in detail with reference to the drawings.

【0015】ここでは、1μm 程度の大きな段差を有す
るシリコン基板1表面にまずエッチングストッパとして
の窒化シリコン膜2を形成しさらにこの上層に第1の層
間絶縁膜として例えば酸化シリコン膜3を堆積し、フォ
トリソグラフィにより大きな凹部上の酸化シリコン膜3
のみを選択的に残した後、さらに第2の層間絶縁膜を基
板表面全体に形成し表面の平坦化をはかるようにしたこ
とを特徴とするものである。
Here, a silicon nitride film 2 as an etching stopper is first formed on a surface of a silicon substrate 1 having a large step of about 1 μm, and a silicon oxide film 3 as a first interlayer insulating film is further deposited on the silicon nitride film 2. Silicon oxide film 3 on a large recess by photolithography
After selectively leaving only the above, a second interlayer insulating film is further formed on the entire surface of the substrate to flatten the surface.

【0016】まず図1(a) に示すように、大きな段差を
有するシリコン基板1表面にまずエッチングストッパと
しての窒化シリコン膜2を膜厚20nm程度堆積し、さら
にこの上層に第1の層間絶縁膜として酸化シリコン膜3
を堆積し、さらにこの上層にフォトレジストRを塗布し
フォトリソグラフィにより大きな凹部上にのみレジスト
パターンRを残留させるようにする。酸化シリコン膜3
の膜厚は自由に変えて良く、大きな段差をすべて解消す
るには同程度(1μm )程度の膜厚が必要となる。
First, as shown in FIG. 1 (a), a silicon nitride film 2 as an etching stopper is deposited to a thickness of about 20 nm on the surface of a silicon substrate 1 having a large step, and a first interlayer insulating film is further formed thereon. As a silicon oxide film 3
Is further deposited, and a photoresist R is applied to the upper layer, and the resist pattern R is left only on the large concave portion by photolithography. Silicon oxide film 3
The film thickness can be freely changed, and the same film thickness (1 μm) is required to eliminate all large steps.

【0017】ついでこのレジストパターンRをマスクと
しフッ化アンモニウム溶液(NH4OH)あるいはフッ
酸(HF)溶液を用いて、このレジストパターンRから
露呈する領域の酸化シリコン膜3を選択的にエッチング
除去する(図1(b) )。このようにして凹部にのみ選択
的に酸化シリコン膜3が残留せしめられる。このエッチ
ングに際しては窒化シリコン膜がエッチングストッパー
として働くため、エッチングオーバーになっても下地に
影響を及ぼすおそれはない。なおここでは等方性エッチ
ングを用いたが、異方性エッチングを用いても良い。
Then, using the resist pattern R as a mask, an ammonium fluoride solution (NH 4 OH) or a hydrofluoric acid (HF) solution is used to selectively etch away the silicon oxide film 3 in the region exposed from the resist pattern R. (Fig. 1 (b)). In this way, the silicon oxide film 3 is selectively left only in the recesses. Since the silicon nitride film acts as an etching stopper during this etching, there is no risk of affecting the base even if the etching is overetched. Although isotropic etching is used here, anisotropic etching may be used.

【0018】そしてレジストパターンRを剥離除去した
後、図1(c) に示すように、第2の層間絶縁膜として例
えばリンガラスをCVD法により形成し、850℃程度
に加熱して溶融せしめ表面の平坦化をおこなう。これ
で、大きな段差は解消され、なめらかな小さな段差のみ
となり、この上のリソグラフィの精度をかなり向上する
ことが可能となる。しかしながら、まだ表面の小さい凹
凸は残るため、図1(c)に示すように基板表面全体にレ
ジストR2 を塗布し、エッチバックを行う。
After removing the resist pattern R by peeling, as shown in FIG. 1 (c), for example, phosphorus glass is formed as a second interlayer insulating film by the CVD method, and is heated to about 850 ° C. to be melted. Is flattened. As a result, the large step is eliminated, and only the smooth small step is provided, and the accuracy of lithography on the step can be considerably improved. However, since small irregularities on the surface still remain, resist R2 is applied to the entire surface of the substrate as shown in FIG. 1 (c), and etch back is performed.

【0019】これにより表面は完全に平坦化される。こ
のようにしてリソグラフィ技術を行えば良い。この方法
としては通常のリソグラフィ技術あるいは図1(d) に示
すように行う。すなわち反射防止膜としてのカーボン膜
5を堆積しさらに膜厚0.5μm 程度のレジスト膜R3
を形成する。ここで基板表面が完全に平坦化されている
ため、レジストの膜厚は薄くてすむ。しかしながらレジ
ストが薄いと基板表面での反射が顕著となるためこのよ
うに反射防止膜を設けることによりよりパターン精度の
向上をはかるようにしている。
As a result, the surface is completely flattened. The lithography technique may be performed in this manner. This method is performed by a normal lithography technique or as shown in FIG. 1 (d). That is, a carbon film 5 as an antireflection film is deposited, and a resist film R3 having a film thickness of about 0.5 μm
To form. Here, since the substrate surface is completely flattened, the film thickness of the resist can be thin. However, when the resist is thin, the reflection on the substrate surface becomes remarkable, and thus the antireflection film is provided in this manner to further improve the pattern accuracy.

【0020】このようにして形成したレジスト膜R3 に
対しパターン露光を行いレジストパターンを形成して、
このレジストパターンをマスクとして層間絶縁膜をエッ
チングする。あるいは、レジストパターンをマスクとし
てまずカーボン膜をパターニングし、必要ならばレジス
トパターンを除去したのち、層間絶縁膜をエッチングし
てもよい。
The resist film R3 thus formed is subjected to pattern exposure to form a resist pattern,
The interlayer insulating film is etched using this resist pattern as a mask. Alternatively, the interlayer insulating film may be etched by first patterning the carbon film using the resist pattern as a mask, removing the resist pattern if necessary.

【0021】このように、本発明によれば平坦な表面に
極限まで薄くしたレジストを形成しているためフォトリ
ソグラフィの精度は極めて高いものとなり、極めてパタ
ーン精度の高いコンタクトホールあるいは配線の形成が
可能となる。
As described above, according to the present invention, since the resist thinned to the limit is formed on the flat surface, the accuracy of photolithography becomes extremely high, and it is possible to form the contact hole or wiring with extremely high pattern accuracy. Becomes

【0022】なお前記実施例では、反射防止膜としてカ
ーボンを用いたが、カーボンはシリコンやシリサイドあ
るいはAl膜とのエッチング選択比が5倍程度もあるた
め、耐エッチング膜としても有効に作用し、レジストの
膜厚が薄くても十分にエッチングに耐え得るものとなっ
ている。
Although carbon is used as the antireflection film in the above-mentioned embodiment, since carbon has an etching selection ratio of about 5 times with silicon, silicide or Al film, it also effectively acts as an etching resistant film, Even if the resist film is thin, it can sufficiently withstand etching.

【0023】なおこの反射防止膜はカーボンに限定され
ることなく、チタンナイトライドなどの金属膜を用いて
も良いし、反射防止膜を設けなくても良い。
The antireflection film is not limited to carbon, and a metal film such as titanium nitride may be used, or the antireflection film may not be provided.

【0024】また、このカーボン膜は耐エッチング膜と
しても作用しているが、レジストにシリコンを含有させ
るなど、レジストそのものの耐エッチング性を高める方
法をとることも可能である。
Further, although this carbon film also acts as an etching resistant film, it is also possible to adopt a method of enhancing the etching resistance of the resist itself, such as incorporating silicon into the resist.

【0025】次に、本発明の第2の実施例について説明
する。
Next, a second embodiment of the present invention will be described.

【0026】この例では、エッチングストッパーとして
新たに膜を設けることなく通常のデバイス形成において
セルフアラインコンタクトの形成時にエッチングストッ
パーとして用いる膜を、平坦化のためのエッチングスト
ッパーとしても利用したことを特徴とするものである。
In this example, the film used as the etching stopper during the formation of the self-aligned contact in the normal device formation without using a new film as the etching stopper was also used as the etching stopper for planarization. To do.

【0027】図2(a) 乃至(c) はそのパターン形成工程
を示す工程図である。
2A to 2C are process diagrams showing the pattern forming process.

【0028】まず、比抵抗4〜6Ω/cmのシリコン基板
11表面にゲート酸化膜12としての酸化シリコン膜お
よびゲート電極13としての多結晶シリコン膜を順次堆
積しフォトリソグラフィによりこれらをパターニングし
たのち、ゲート電極の周りを酸化シリコン膜あるいはシ
リコンナイトライド膜からなる保護絶縁膜14で被覆
し、イオン注入を行いソースドレインなど所望の素子領
域(図示せず)を形成する。この後、表面を軽く酸化し
薄い酸化シリコン膜15を形成し、この上にエッチング
ストッパーとしての窒化シリコン膜16を形成する。そ
して、さらに第1の層間絶縁膜としてCVD法によりB
PSG膜17を形成する。ここで基板表面はゲート電極
の存在により表面が突出し、大きな段差を形成してい
る。ここで必要に応じてリフローやエッチバックを行う
ようにしてもよい。そしてさらに前記第1の実施例と同
様にこの上層にフォトレジストRを塗布しフォトリソグ
ラフィにより大きな凹部上にのみレジストパターンRを
残留させるようにする(図2(a) )。
First, a silicon oxide film as a gate oxide film 12 and a polycrystalline silicon film as a gate electrode 13 are sequentially deposited on the surface of a silicon substrate 11 having a specific resistance of 4 to 6 Ω / cm, and these are patterned by photolithography. The periphery of the gate electrode is covered with a protective insulating film 14 made of a silicon oxide film or a silicon nitride film, and ion implantation is performed to form a desired element region (not shown) such as a source / drain. Then, the surface is lightly oxidized to form a thin silicon oxide film 15, and a silicon nitride film 16 as an etching stopper is formed thereon. Then, as a first interlayer insulating film, B is formed by a CVD method.
The PSG film 17 is formed. Here, the surface of the substrate projects due to the presence of the gate electrode to form a large step. Here, reflow or etch back may be performed if necessary. Further, similarly to the first embodiment, a photoresist R is applied to this upper layer, and the resist pattern R is left only on the large concave portion by photolithography (FIG. 2 (a)).

【0029】次いで、このレジストパターンRをマスク
としフッ化アンモニウム溶液(NH4 OH)あるいはフ
ッ酸(HF)溶液を用いて、このレジストパターンRか
ら露呈する領域のBPSG膜17を選択的にエッチング
除去し、凹部にのみ選択的にBPSG膜17を残留せし
める。このエッチングに際しては窒化シリコン膜がエッ
チングストッパーとして働くため、エッチングオーバー
になっても下地に影響を及ぼすおそれはない。
Then, using the resist pattern R as a mask, the BPSG film 17 in the region exposed from the resist pattern R is selectively removed by etching using an ammonium fluoride solution (NH 4 OH) or a hydrofluoric acid (HF) solution. Then, the BPSG film 17 is selectively left only in the recess. Since the silicon nitride film acts as an etching stopper during this etching, there is no risk of affecting the base even if the etching is overetched.

【0030】そしてレジストパターンRを剥離除去した
後、図2(b) に示すように、第2の層間絶縁膜としてB
PSG膜18をCVD法により形成する。ここでも必要
に応じて平坦化を行う。そして、さらにこの上層に基板
表面全体にレジストを塗布し、セルフアラインコンタク
ト形成のためのレジストパターンを形成し、このレジス
トパターンR3 をマスクとしフッ化アンモニウム溶液
(NH4 OH)あるいはフッ酸(HF)溶液を用いて、
コンタクト領域のBPSG膜18,17を選択的にエッ
チング除去する。このとき、窒化シリコン膜16がエッ
チングストッパーとして働くため、下地がエッチングさ
れるおそれはない。
Then, after removing the resist pattern R by peeling, as shown in FIG. 2 (b), a second interlayer insulating film B is formed.
The PSG film 18 is formed by the CVD method. Here, flattening is also performed if necessary. Then, a resist is applied to the entire surface of the substrate as an upper layer to form a resist pattern for forming a self-aligned contact, and using this resist pattern R3 as a mask, an ammonium fluoride solution (NH 4 OH) or hydrofluoric acid (HF) is formed. With the solution
The BPSG films 18 and 17 in the contact region are selectively removed by etching. At this time, since the silicon nitride film 16 acts as an etching stopper, there is no risk of etching the base.

【0031】そして薄い酸化シリコン膜15をストッパ
ーとして用いてコンタクト領域の窒化シリコン膜16を
エッチングしたのちあるいはそれと同時に、薄い酸化シ
リコン膜1をエッチング除去することによりシリコン基
板11を露呈せしめ、所望の配線パターン19を形成す
る(図2(c) )。ここでこの配線パターンの形成に際し
表面が平坦化されているためパターン精度は極めて良好
なものとなっている。なお、前記第2の実施例ではエッ
チングストッパーとして窒化シリコン膜を用いたが、多
結晶シリコン膜を用いても良い。
After etching the silicon nitride film 16 in the contact region by using the thin silicon oxide film 15 as a stopper, or at the same time with the etching, the thin silicon oxide film 1 is removed by etching to expose the silicon substrate 11 to obtain a desired wiring. A pattern 19 is formed (FIG. 2 (c)). Since the surface is flattened when the wiring pattern is formed, the pattern accuracy is extremely good. Although the silicon nitride film is used as the etching stopper in the second embodiment, a polycrystalline silicon film may be used.

【0032】本発明の第3の実施例としてエッチングス
トッパーとして多結晶シリコン膜を用い、積層型のDR
AMを形成する方法について、図3(a) 乃至(c) を参照
しつつ説明する。
As a third embodiment of the present invention, a polycrystalline silicon film is used as an etching stopper and a stacked type DR is used.
A method of forming the AM will be described with reference to FIGS. 3 (a) to 3 (c).

【0033】この例ではビット線後作りタイプの積層型
のDRAMの形成に際し、キャパシタのプレート電極3
2をビット線コンタクト33のエッチングストッパーと
して用いるとともに、層間絶縁膜平坦化のためのエッチ
ングストッパーとして用いたものである。
In this example, the plate electrode 3 of the capacitor is used to form a laminated DRAM of the post-fabrication type of bit line.
2 is used as an etching stopper for the bit line contact 33 and as an etching stopper for flattening the interlayer insulating film.

【0034】このDRAMは、比抵抗5Ω・cm程度のp
型のシリコン基板21内に形成された素子分離絶縁膜2
2によって分離された活性化領域内に、ソ−ス・ドレイ
ン領域を構成するn- 型拡散層26a,26bと、これ
らソ−ス・ドレイン領域間にゲ−ト絶縁膜24を介して
形成されたゲ−ト電極25とによってMOSFETを構
成すると共に、ストレージノードコンタクト28を介し
てこのn- 型拡散層26bにコンタクトするようにスト
レ−ジノ−ド電極30が形成され、上層のプレート電極
32との間にキャパシタ絶縁膜31を介在せしめること
によりキャパシタを形成している。そしてさらにレジス
トによって平坦化された層間絶縁膜27bに形成された
ビット線コンタクト23を介してビット線24が形成さ
れる。そしてゲ−ト電極25はメモリアレイの一方向に
連続的に配列されてワ−ド線を構成する。
This DRAM has a p with a specific resistance of about 5 Ω · cm.
Isolation insulating film 2 formed in the silicon substrate 21 of the mold
The n-type diffusion layers 26a and 26b forming the source / drain regions and the gate insulating film 24 are formed between the source / drain regions in the active region separated by 2. A gate electrode 25 and a gate electrode 25 form a MOSFET, and a storage node electrode 30 is formed so as to contact the n--type diffusion layer 26b through a storage node contact 28, and an upper layer plate electrode 32 is formed. The capacitor is formed by interposing the capacitor insulating film 31 between them. Then, a bit line 24 is formed via the bit line contact 23 formed on the interlayer insulating film 27b planarized by the resist. The gate electrodes 25 are continuously arranged in one direction of the memory array to form word lines.

【0035】次に、このDRAMの製造方法について図
面を参照しつつ説明する。
Next, a method of manufacturing this DRAM will be described with reference to the drawings.

【0036】まず、図3(a) に示すように、比抵抗5Ω
・cm程度のp型のシリコン基板21の表面に、通常のL
OCOS法により素子分離絶縁膜22およびパンチスル
ーストッパ用のp- 型拡散層(図示せず)を形成した
後、熱酸化法により膜厚10nm程度の酸化シリコン膜か
らなるゲート絶縁膜24を形成する。この後、ゲート電
極材料としての多結晶シリコン膜を全面に150nm程度
堆積し、さらにこの上層にLPCVD法により酸化シリ
コン膜等の絶縁膜を膜厚100〜300nm程度堆積し、
フォトリソ技術および異方性エッチング技術を用いてゲ
ート電極25およびゲート上の絶縁膜27uを同時にパ
ターニングする。なお、ここでゲート電極上の絶縁膜と
して、窒化シリコン膜あるいは窒化シリコン膜と酸化シ
リコン膜の複合膜を用いても良い。窒化シリコン膜は、
酸化シリコン膜に比べ、コンタクト形成および配線形成
時に行われる希HF溶液を用いた処理に対し強い耐エッ
チング性をもつためゲート電極とコンタクトの配線のシ
ョートの防止に対してより有効となる。そして、このゲ
−ト電極25をマスクとしてAsあるいはPイオンをイ
オン注入し、n- 型拡散層からなるソ−ス・ドレイン領
域26a,26bを形成し、スィッチングトランジスタ
としてのMOSFETを形成する。この拡散層の深さ
は、例えば150nm程度とする。この後、ゲート絶縁膜
の耐圧を向上させるために必要であれば熱酸化を行い、
さらにCVD法により、膜厚100nm程度以下の酸化シ
リコン層あるいは窒化シリコン層からなる絶縁膜を全面
に堆積し、反応性イオンエッチング法により、全面をエ
ッチングし、ゲ−ト電極25の側面に自己整合的に側壁
絶縁膜27sを残置せしめる。側壁絶縁膜27sとして
は、ゲート上絶縁膜と同様、窒化シリコン膜を用いるこ
とにより、より耐圧の向上をはかることができる。この
後、この側壁絶縁膜27sおよび上部絶縁膜27uから
露呈するn- 拡散層26aおよび26b表面が露呈した
状態で、全面に多結晶シリコン膜を100〜400nm程
度堆積し、これにリンまたはヒ素をドーピングし、フォ
トリソグラフィと反応性イオンエッチングによりパター
ン形成してストレージノード電極30を形成する。そし
てCVD法により膜厚10nm程度の窒化シリコン膜を堆
積した後900℃程度の水蒸気雰囲気中で30分程度酸
化し、酸化シリコン膜を形成し、窒化シリコン膜と酸化
シリコン膜との2層構造のキャパシタ絶縁膜31を形成
する。このときこの窒化シリコン膜および酸化シリコン
膜はビット線コンタクトを形成するn- 拡散層26aに
も形成される。さらにこの上層に多結晶シリコン膜を堆
積し、ドーピングを行った後、フォトリソグラフィー技
術および反応性イオンエッチング技術によりプレート電
極32をパターニングする。ここでプレート電極および
キャパシタ絶縁膜はn- 拡散層26aまで覆うようにパ
ターニングする。これは、プレート電極およびキャパシ
タ絶縁膜をエッチングストッパーとして用いるためであ
る。ここで基板表面はゲート電極およびキャパシタの存
在により表面が突出し、大きな段差を形成している。こ
の状態で前記第2の実施例と同様に第1の層間絶縁膜と
してCVD法によりBPSG膜37を形成する。ここで
必要に応じてリフローやエッチバックを行うようにして
もよい。そしてさらにこの上層にフォトレジストRを塗
布しフォトリソグラフィにより大きな凹部すなわちMO
SFETやキャパシタの形成されていない周辺回路部上
等にのみレジストパターンRを残留させるようにする
(図3(a) )。
First, as shown in FIG. 3 (a), the specific resistance is 5Ω.
・ Normal L on the surface of p-type silicon substrate 21 of about cm
After forming an element isolation insulating film 22 and a p-type diffusion layer (not shown) for a punch through stopper by the OCOS method, a gate insulating film 24 made of a silicon oxide film having a film thickness of about 10 nm is formed by a thermal oxidation method. .. Then, a polycrystalline silicon film as a gate electrode material is deposited on the entire surface to a thickness of about 150 nm, and an insulating film such as a silicon oxide film is deposited to a thickness of about 100 to 300 nm on the upper layer by LPCVD.
The gate electrode 25 and the insulating film 27u on the gate are simultaneously patterned by using the photolithography technique and the anisotropic etching technique. Here, as the insulating film on the gate electrode, a silicon nitride film or a composite film of a silicon nitride film and a silicon oxide film may be used. The silicon nitride film is
Compared with a silicon oxide film, it has a stronger etching resistance against the treatment using a dilute HF solution performed at the time of contact formation and wiring formation, and thus is more effective in preventing a short circuit between the wiring of the gate electrode and the contact. Then, using the gate electrode 25 as a mask, As or P ions are ion-implanted to form the source / drain regions 26a and 26b made of n @-type diffusion layers, thereby forming MOSFETs as switching transistors. The depth of this diffusion layer is, eg, about 150 nm. After this, thermal oxidation is performed if necessary to improve the breakdown voltage of the gate insulating film,
Further, an insulating film consisting of a silicon oxide layer or a silicon nitride layer having a film thickness of about 100 nm or less is deposited on the entire surface by the CVD method, and the entire surface is etched by the reactive ion etching method to self-align with the side surface of the gate electrode 25. The side wall insulating film 27s is left as it is. As the side wall insulating film 27s, a withstand voltage can be further improved by using a silicon nitride film as in the case of the gate insulating film. Then, with the surfaces of the n- diffusion layers 26a and 26b exposed from the sidewall insulating film 27s and the upper insulating film 27u exposed, a polycrystalline silicon film is deposited on the entire surface to a thickness of about 100 to 400 nm, and phosphorus or arsenic is deposited thereon. The storage node electrode 30 is formed by doping and patterning by photolithography and reactive ion etching. Then, a silicon nitride film having a film thickness of about 10 nm is deposited by the CVD method, and then oxidized in a steam atmosphere at about 900 ° C. for about 30 minutes to form a silicon oxide film, which has a two-layer structure of a silicon nitride film and a silicon oxide film. The capacitor insulating film 31 is formed. At this time, the silicon nitride film and the silicon oxide film are also formed on the n @-diffusion layer 26a forming the bit line contact. Further, a polycrystalline silicon film is deposited on this upper layer, and after doping, the plate electrode 32 is patterned by the photolithography technique and the reactive ion etching technique. Here, the plate electrode and the capacitor insulating film are patterned so as to cover the n @-diffusion layer 26a. This is because the plate electrode and the capacitor insulating film are used as an etching stopper. Here, the surface of the substrate projects due to the presence of the gate electrode and the capacitor, forming a large step. In this state, the BPSG film 37 is formed as the first interlayer insulating film by the CVD method similarly to the second embodiment. Here, reflow or etch back may be performed if necessary. Then, a photoresist R is further applied to this upper layer, and a large concave portion, that is, MO
The resist pattern R is left only on the peripheral circuit portion where the SFET and the capacitor are not formed (FIG. 3 (a)).

【0037】次いで、このレジストパターンRをマスク
としフッ化アンモニウム溶液(NH4 OH)あるいはフ
ッ酸(HF)溶液を用いて、このレジストパターンRか
ら露呈する領域のBPSG膜37を選択的にエッチング
除去し、凹部にのみ選択的にBPSG膜37を残留せし
める。このエッチングに際してはプレート電極32とし
ての多結晶シリコン膜がエッチングストッパーとして働
くため、エッチングオーバーになっても下地に影響を及
ぼすおそれはない。
Next, using the resist pattern R as a mask, the BPSG film 37 in the region exposed from the resist pattern R is selectively removed by etching using an ammonium fluoride solution (NH 4 OH) or a hydrofluoric acid (HF) solution. Then, the BPSG film 37 is selectively left only in the recess. During this etching, the polycrystalline silicon film serving as the plate electrode 32 functions as an etching stopper, so that there is no risk of affecting the base even if the etching is overetched.

【0038】そしてレジストパターンRを剥離除去した
後、図3(b) に示すように、第2の層間絶縁膜としてB
PSG膜38をCVD法により形成する。ここでも必要
に応じて平坦化を行う。そして、さらにこの上層に基板
表面全体にレジストを塗布し、セルフアラインコンタク
ト形成のためのレジストパターンを形成し、このレジス
トパターンR3 をマスクとしフッ酸(HF)溶液を用い
てコンタクト領域のBPSG膜38,37を選択的にエ
ッチング除去する。このとき、プレート電極32がエッ
チングストッパーとして働くため、下地がエッチングさ
れるおそれはない。 そしてキャパシタ絶縁膜31をス
トッパーとして用いてコンタクト領域のプレート電極3
2をエッチング除去したのち、水蒸気雰囲気等を用いた
酸化により、プレート電極と後で形成するビット線との
絶縁をはかるための絶縁膜を形成し、キャパシタ絶縁膜
31をエッチング除去することによりシリコン基板21
表面のn- 拡散層26aを露呈せしめ、多結晶シリコン
層からなるビット線パターン34を形成する(図3(c)
)。ここでビット線パターンの形成に際し表面が平坦
化されているためパターン精度は極めて良好なものとな
っている。
Then, after removing the resist pattern R by peeling, as shown in FIG. 3 (b), a second interlayer insulating film B is formed.
The PSG film 38 is formed by the CVD method. Here, flattening is also performed if necessary. Then, a resist is applied on the entire surface of the substrate as an upper layer to form a resist pattern for forming a self-aligned contact, and using this resist pattern R3 as a mask, a hydrofluoric acid (HF) solution is used to form a BPSG film 38 in the contact region. , 37 are selectively removed by etching. At this time, since the plate electrode 32 acts as an etching stopper, there is no risk of etching the base. Then, using the capacitor insulating film 31 as a stopper, the plate electrode 3 in the contact region is
2 is removed by etching, and then an insulating film for insulating the plate electrode from a bit line to be formed later is formed by oxidation using a water vapor atmosphere or the like, and the capacitor insulating film 31 is removed by etching to remove the silicon substrate. 21
The n-diffusion layer 26a on the surface is exposed to form a bit line pattern 34 made of a polycrystalline silicon layer (FIG. 3 (c)).
). Here, since the surface is flattened when the bit line pattern is formed, the pattern accuracy is extremely good.

【0039】この方法によれば、プレート電極をエッチ
ングストッパーとして用いているため、工数を増大させ
ることなく信頼性の高いDRAMを提供することが可能
となる。
According to this method, since the plate electrode is used as the etching stopper, it is possible to provide a highly reliable DRAM without increasing the number of steps.

【0040】以上説明してきた方法によれば、酸化シリ
コン膜やBPSG膜等の絶縁膜によって低い領域を埋め
るようにし表面の平坦化をはかった。これらの方法の場
合レジストパターンの形成自体は高精度に行うことが可
能となるが、実際にはコンタクト深さにばらつきがあ
り、深いコンタクトに合わせると、浅いコンタクト領域
でオーバーエッチングが生じ、一方浅いコンタクトに合
わせると深いコンタクト部分ではコンタクトをとること
ができない等の問題が生じてくる。そこでこの問題を解
決するため、レベル合わせのための充填物にレジストを
用い、これによって表面の平坦化を行う方法について説
明する。この方法ではリソグラフィ工程後にレジストを
除去すればもとの段差にもどり、コンタクト深さを左右
することはない。
According to the method described above, the insulating film such as the silicon oxide film or the BPSG film is used to fill the lower region to flatten the surface. In the case of these methods, the resist pattern itself can be formed with high accuracy, but in reality, the contact depth varies, and when it is matched with a deep contact, overetching occurs in the shallow contact region while the shallow contact region is formed. If it is matched with the contact, there arises a problem that the contact cannot be made in the deep contact portion. Therefore, in order to solve this problem, a method will be described in which a resist is used as a filling material for level matching and the surface is flattened by this. In this method, if the resist is removed after the lithography process, the original step is restored and the contact depth is not affected.

【0041】まずここでは、大きな段差を有するシリコ
ン基板31表面に、完全平坦ではない層間絶縁膜として
の酸化シリコン膜32を形成し、第1のレジスト膜R1
を塗布する(図4(a) )。
First, here, a silicon oxide film 32 as an interlayer insulating film which is not completely flat is formed on the surface of a silicon substrate 31 having a large step, and a first resist film R1 is formed.
Is applied (Fig. 4 (a)).

【0042】そしてフォトリソグラフィによりこの第1
のレジスト膜R1 を選択的に露光し現像して第1のレジ
ストパターンR1 を形成して、大きな段差領域をこの第
1のレジストパターンで埋める。ここで必要に応じてベ
ーキングを行い、レジストを溶融状態にし、図4(b) に
一点鎖線で示すようになめらかにしてもよい。
Then, by photolithography, the first
Of the resist film R1 is selectively exposed and developed to form a first resist pattern R1, and a large step region is filled with the first resist pattern. If necessary, baking may be performed to bring the resist into a molten state, and the resist may be smoothed as indicated by a chain line in FIG. 4 (b).

【0043】そしてさらに図4(c) に示すように本来の
レジストを第2のレジストR2 として塗布する。このと
き表面は平坦な状態となっている。
Then, as shown in FIG. 4C, the original resist is applied as a second resist R2. At this time, the surface is in a flat state.

【0044】そしてマスクを介してパターン露光を行い
現像することにより図4(d) に示すようなレジストパタ
ーンR2 が形成される。ここでは平坦な基板表面への露
光であるため全面にわたって焦点を合わせることがで
き、高精度のパターン形成が可能となる。
Then, pattern exposure is performed through a mask and development is performed to form a resist pattern R2 as shown in FIG. 4 (d). Here, since the exposure is performed on the flat substrate surface, it is possible to focus on the entire surface, which enables highly accurate pattern formation.

【0045】そして最後に図4(e) に示すように、この
レジストパターンR2 をマスクとして第2のレジストを
RIEによってエッチングすることにより高精度のレジ
ストパターンが得られる。
Finally, as shown in FIG. 4 (e), a highly accurate resist pattern is obtained by etching the second resist by RIE using this resist pattern R2 as a mask.

【0046】なお、第1のレジストパターンの端部でエ
ッジが切り立った状態になっていると、その近傍での第
2のレジストの膜厚が大きくなり、光が十分に届かなく
なるため、ポジ型レジストではパターン太り(図4(d)
n2 参照)が、ネガ型レジストではパターン細り(図4
(d)n1 参照)が生じるおそれがある。この問題は上述
したようなべーキングによって緩和可能である。
If the edge of the first resist pattern is sharp at the end, the film thickness of the second resist in the vicinity of the edge becomes large and light cannot reach sufficiently. The pattern is thick in resist (Fig. 4 (d)
However, in the case of the negative type resist, the pattern becomes thin (see FIG. 4).
(D) n1) may occur. This problem can be alleviated by baking as described above.

【0047】また、この場合第1のレジストは感光性を
もたないものでもよいが感光性をもつものであれば、第
2のレジストの露光と同時に感光させるようにしてもよ
い。また前記実施例では第1のレジストをフォトリソグ
ラフィによりパターニングしたが、図5に示すように第
1のレジストRを点線で示すように全面に塗布した後、
ポリッシングにより上から研磨し平坦化してもよい。後
は図4(c) 乃至(e)に示した前記第4の実施例の方法と
同様にすればよい。
In this case, the first resist may not have photosensitivity, but if it has photosensitivity, it may be exposed simultaneously with the exposure of the second resist. Further, although the first resist is patterned by photolithography in the above-mentioned embodiment, after the first resist R is applied on the entire surface as shown by the dotted line as shown in FIG.
You may polish and planarize from the top by polishing. After that, the method of the fourth embodiment shown in FIGS. 4 (c) to 4 (e) may be performed.

【0048】さらに図6に示すように図5の第1のレジ
ストR1 を平坦化後全面に残すようにしてもよい。
Further, as shown in FIG. 6, the first resist R1 of FIG. 5 may be left on the entire surface after planarization.

【0049】また図7に示すように第1および第2のレ
ジストの間に反射防止膜としてカーボン膜やチタンナイ
トライド膜等40を介在させるようにしてもよい。
Further, as shown in FIG. 7, a carbon film, a titanium nitride film or the like 40 as an antireflection film may be interposed between the first and second resists.

【0050】さらに図8に示すようにあらかじめ基板
(層間絶縁膜)表面に反射防止膜としてのカーボン膜や
チタンナイトライド膜等40を形成し、この上に第1の
レジストR1 を形成しても良い。
Further, as shown in FIG. 8, a carbon film, a titanium nitride film or the like 40 as an antireflection film is previously formed on the surface of the substrate (interlayer insulating film), and a first resist R1 is formed thereon. good.

【0051】さらに本発明の他の実施例として、レジス
トを3層構造にしたものについて説明する。
As another embodiment of the present invention, a resist having a three-layer structure will be described.

【0052】まず、図9(a) に示すごとく、パターン形
成すべき下地膜の形成された大きな段差を有する基板5
1の表面に、前記実施例と同様に第1のレジスト膜R1
を塗布し、フォトリソグラフィによりこの第1のレジス
ト膜R1 を選択的に露光し現像して第1のレジストパタ
ーンR1 を形成して、大きな段差領域をこの第1のレジ
ストパターンで埋める。ここでも必要に応じてベーキン
グを行い、レジストを溶融状態にし、なめらかにしても
よい。あるいは、ポリッシングによる上からの研磨を用
いて平坦化してもよい。
First, as shown in FIG. 9 (a), a substrate 5 having a large step on which a base film to be patterned is formed.
On the surface of No. 1, the first resist film R1
Is applied, and the first resist film R1 is selectively exposed by photolithography and developed to form a first resist pattern R1, and a large step region is filled with the first resist pattern. Here again, baking may be performed as necessary to bring the resist into a molten state and smooth it. Alternatively, it may be flattened by polishing from above by polishing.

【0053】そしてさらに図9(b) に示すように第2の
レジストR2 を塗布し小さな凹凸を滑らかにする。
Then, as shown in FIG. 9B, a second resist R2 is applied to smooth small irregularities.

【0054】さらにこの上層に図9(c) に示すように第
3のレジストR3 として、シプレー社のAZ5214と
指称される像反転形のレジストを、回転数3500rp
mでスピンコートし、膜厚1μmのレジスト膜を形成し
た後、90℃,5分のプリベークを行う。
Further, as shown in FIG. 9 (c), as the third resist R3, an image reversal type resist called AZ5214 manufactured by Shipley Co. is used as a third resist R3 at a rotation speed of 3500 rp.
m is spin-coated to form a resist film having a film thickness of 1 μm, and then prebaked at 90 ° C. for 5 minutes.

【0055】次いで、光ステッパを用いて波長436nm
の光で、該基板51上のレジスト膜R3 にパターン転写
を行い、マスクパターンの潜像Iを形成する。このとき
の露光量は20mJ/cm2 とした。そして、105℃、
90秒のポストベーキング処理を行い、露光領域の架橋
反応を促進する。これにより、露光領域55の表面に表
面阻止層55Sが形成される。この後、水銀ランプから
の光線を用いて基板表面全体を露光する。このときの露
光量は200mJ/cm2 とした。
Then, using an optical stepper, the wavelength is 436 nm.
Light is used to transfer the pattern onto the resist film R3 on the substrate 51 to form a latent image I of the mask pattern. The exposure amount at this time was 20 mJ / cm 2 . And 105 ℃,
Post-baking treatment is performed for 90 seconds to accelerate the crosslinking reaction in the exposed area. As a result, the surface blocking layer 55S is formed on the surface of the exposed region 55. After this, the entire surface of the substrate is exposed using a light beam from a mercury lamp. The exposure amount at this time was 200 mJ / cm 2 .

【0056】そして、この基板を真空チャンバーに設置
し、該チャンバー内を窒素で置換したのち、基板を加熱
しながらヘキサメチルジシラザンの蒸気を該チャンバー
内に導入し、シリル化処理を行う。このシリル化処理に
より、前記パターン露光工程における露光領域はシリル
化されず、図9(d) に示すごとく、残りの非露光領域に
選択的にシリル化層56が形成される。
Then, this substrate is placed in a vacuum chamber, the inside of the chamber is replaced with nitrogen, and then hexamethyldisilazane vapor is introduced into the chamber while heating the substrate to perform a silylation treatment. By this silylation treatment, the exposed area in the pattern exposure step is not silylated, and the silylated layer 56 is selectively formed in the remaining non-exposed area as shown in FIG. 9 (d).

【0057】そして、図9 (e)に示すように、酸素ガス
による反応性イオンエッチングによりシリル化層6を残
し、シリル化されずに残っている領域を選択的に除去す
る。このときのエッチング条件は、酸素流量100SCC
M,圧力6.0Pa, パワー150W とした。
Then, as shown in FIG. 9 (e), the silylated layer 6 is left by reactive ion etching with oxygen gas, and the region left unsilylated is selectively removed. At this time, the etching conditions are oxygen flow rate 100SCC.
M, pressure 6.0 Pa, power 150 W.

【0058】このようにして、0.4μmの高精度パタ
ーンを得ることができた。これは、3層レジストを塗布
し平坦化したのち、あらかじめパターン露光をおこなっ
ておき、シリル化のための露光工程を全面露光とするこ
とにより、確実にシリル化をおこなうことができたため
と思われる。
In this way, a highly precise pattern of 0.4 μm could be obtained. This is probably because the three-layer resist was applied and flattened, and then pattern exposure was performed in advance, and the exposure process for silylation was the entire exposure, whereby silylation could be reliably performed. ..

【0059】すなわち、この場合、パターン露光後の加
熱により、パターン露光領域では感光剤と光との反応に
より生じた物質が触媒となり、樹脂の架橋が促進され、
分子量が増大した状態となる。そして、全面露光工程で
は、前記パターン露光領域以外の領域が深い領域まで完
全に露光され、感光剤が感光されて、シリル化され易い
状態となる。一方、分子量が増大した状態となったパタ
ーン露光領域では、シリコンの導入が阻止されてシリル
化は起こらない。このようにして、コントラストの高い
パターン形成が可能となる。
That is, in this case, by heating after the pattern exposure, the substance generated by the reaction between the photosensitizer and the light serves as a catalyst in the pattern exposed region to promote the crosslinking of the resin,
The molecular weight is increased. Then, in the whole surface exposure step, the region other than the pattern exposure region is completely exposed up to a deep region, and the photosensitive agent is exposed to the silylation easily. On the other hand, in the pattern exposure region where the molecular weight has increased, the introduction of silicon is blocked and silylation does not occur. In this way, a pattern with high contrast can be formed.

【0060】なお、この工程において、酸素ガスによる
反応性イオンエッチングに先立ち、シリル化されていな
い領域の表面に付着したシリコン化合物を除去するよう
にすれば、さらに高精度のパターン形成が可能となる。
In this step, if the silicon compound adhering to the surface of the unsilylated region is removed prior to the reactive ion etching with oxygen gas, it is possible to form a highly accurate pattern. ..

【0061】また、この工程では、パターン露光工程お
よび、シリル化のための露光工程(全面露光)に際し、
波長や照射エネルギーなど露光条件を、それぞれに最適
となるように独立して選択するようにすればよく、最適
条件を選ぶことによりさらなる解像度の向上をはかるこ
とが可能となる。
Further, in this step, in the pattern exposure step and the exposure step for silylation (entire surface exposure),
The exposure conditions such as wavelength and irradiation energy may be selected independently so as to be optimal for each, and it is possible to further improve the resolution by selecting the optimal conditions.

【0062】このようにして得られたレジストパターン
をマスクとして、反応性イオンエッチングにより第2の
レジスト膜R2 をエッチングしさらに第1のレジスト膜
R1をエッチングすることにより、極めて高精度で良好
なパターン形成が可能となる。 なお、前記実施例で
は、レジストを3層構造にしたが、2層構造にしても良
く、また第3のレジスト以外は感光性をもつものであっ
ても良いしもたないものであってもよい。さらに第3の
レジストとしては、シリコン含有レジストなど耐エッチ
ング性の高いものであればよい。
Using the resist pattern thus obtained as a mask, the second resist film R2 is etched by reactive ion etching, and the first resist film R1 is further etched, whereby a highly accurate and good pattern is obtained. Can be formed. Although the resist has a three-layer structure in the above embodiment, it may have a two-layer structure, and may or may not have photosensitivity except for the third resist. Good. Further, as the third resist, any resist having high etching resistance such as a silicon-containing resist may be used.

【0063】なお、前記実施例では、基板としてシリコ
ン基板を用いたが、ゲルマニウム、ガリウムヒ素等の化
合物半導体、あるいはこれらの表面にエピタキシャル成
長層を形成したものなどを用いても良いことはいうまで
もない。
Although a silicon substrate was used as the substrate in the above-mentioned embodiments, it goes without saying that a compound semiconductor such as germanium or gallium arsenide, or those having an epitaxial growth layer formed on the surface thereof may be used. Absent.

【0064】[0064]

【発明の効果】以上説明してきたように、本発明によれ
ば、大きな段差のある基板表面においても、高精度のパ
ターン形成を行うことが可能となる。
As described above, according to the present invention, it is possible to form a highly accurate pattern even on a substrate surface having a large step.

【図面の簡単な説明】[Brief description of drawings]

【図1】本発明の第1の実施例の半導体装置の製造工程
FIG. 1 is a manufacturing process diagram of a semiconductor device according to a first embodiment of the present invention.

【図2】本発明の第2の実施例の半導体装置の製造工程
FIG. 2 is a manufacturing process diagram of a semiconductor device according to a second embodiment of the present invention.

【図3】本発明の第3の実施例の半導体装置の製造工程
FIG. 3 is a manufacturing process diagram of a semiconductor device according to a third embodiment of the present invention.

【図4】本発明の第4の実施例の半導体装置の製造工程
FIG. 4 is a manufacturing process diagram of a semiconductor device according to a fourth embodiment of the present invention.

【図5】本発明の変形例を示す図FIG. 5 is a diagram showing a modified example of the present invention.

【図6】本発明の変形例を示す図FIG. 6 is a diagram showing a modified example of the present invention.

【図7】本発明の変形例を示す図FIG. 7 is a diagram showing a modified example of the present invention.

【図8】本発明の変形例を示す図FIG. 8 is a diagram showing a modified example of the present invention.

【図9】本発明の第5の実施例の半導体装置の製造工程
FIG. 9 is a manufacturing process diagram of a semiconductor device according to a fifth embodiment of the present invention.

【図10】従来例の方法を示す図FIG. 10 is a diagram showing a method of a conventional example.

【図11】従来例の方法を示す図FIG. 11 is a diagram showing a method of a conventional example.

【符号の説明】[Explanation of symbols]

1 シリコン基板 2 窒化シリコン膜 3 酸化シリコン膜 R1 レジスト R2 レジスト R3 レジスト 11 シリコン基板 12 ゲート酸化膜 13 ゲート電極 14 保護絶縁膜 15 酸化シリコン膜 16 エッチングストッパー(窒化シリコン膜) 17 BPSG膜 18 BPSG膜 19 配線パターン 21 シリコン基板 22 素子分離絶縁膜 23 ビット線コンタクト 24 ゲート絶縁膜 25 ゲート電極 26a,26b n- 型拡散層 27 層間絶縁膜 28 ストレージノードコンタクト 30 ストレ−ジノ−ド電極 31 キャパシタ絶縁膜 32 プレート電極(エッチングストッパー) 34 ビット線 37 BPSG膜 38 BPSG膜 1 silicon substrate 2 silicon nitride film 3 silicon oxide film R1 resist R2 resist R3 resist 11 silicon substrate 12 gate oxide film 13 gate electrode 14 protective insulating film 15 silicon oxide film 16 etching stopper (silicon nitride film) 17 BPSG film 18 BPSG film 19 Wiring pattern 21 Silicon substrate 22 Element isolation insulating film 23 Bit line contact 24 Gate insulating film 25 Gate electrode 26a, 26b n- type diffusion layer 27 Interlayer insulating film 28 Storage node contact 30 Storage node electrode 31 Capacitor insulating film 32 Plate Electrode (etching stopper) 34 Bit line 37 BPSG film 38 BPSG film

───────────────────────────────────────────────────── フロントページの続き (72)発明者 松原 義徳 神奈川県川崎市幸区小向東芝町 1 株式 会社東芝総合研究所内 (72)発明者 柴田 剛 神奈川県川崎市幸区小向東芝町 1 株式 会社東芝総合研究所内 (72)発明者 塩原 英志 神奈川県川崎市幸区小向東芝町 1 株式 会社東芝総合研究所内 ─────────────────────────────────────────────────── ─── Continued Front Page (72) Inventor Yoshinori Matsubara 1 Komukai Toshiba-cho, Sachi-ku, Kawasaki-shi, Kanagawa Within Toshiba Research Institute, Inc. (72) Inventor Go Shibata 1 Komu-shi Toshiba-cho, Kawasaki-shi, Kanagawa Inside Toshiba Research Institute, Inc. (72) Inventor Eiji Shiobara 1 Komukai Toshiba-cho, Kouki-ku, Kawasaki-shi, Kanagawa 1 Shares within Toshiba Research Institute

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】 段差を有する半導体基板表面に層間絶縁
膜を形成するに際し、 前記半導体基板表面全体に第1の層間絶縁膜を形成する
第1の層間絶縁膜形成工程と、 前記第1の層間絶縁膜をパターニングし段差の下部領域
に選択的にレベル合わせ用の第1の層間絶縁膜を残留せ
しめる第1のエッチング工程とこの上層に、さらに前記
基板表面全体を覆うように第2の層間絶縁膜を形成する
第2の層間絶縁膜形成工程とを含むことを特徴とする半
導体装置の製造方法。
1. A first interlayer insulating film forming step of forming a first interlayer insulating film on the entire surface of the semiconductor substrate when forming an interlayer insulating film on the surface of a semiconductor substrate having a step, and the first interlayer insulating film. A first etching step of patterning the insulating film to selectively leave a first interlayer insulating film for level adjustment in the lower region of the step, and a second interlayer insulating film on this upper layer and further covering the entire surface of the substrate. A second interlayer insulating film forming step of forming a film.
【請求項2】 段差を有する基板表面あるいは段差を有
する基板表面に形成された膜をパターニングするに際
し、 段差を有する基板表面あるいは段差を有する基板表面に
形成された膜上に第1のレジストを塗布し、段差の上部
領域のレジストを選択的に除去し段差の下部領域にのみ
選択的にレベル合わせ用の第1のレジストを残留せしめ
る第1のレジスト形成工程と、 さらに表面全体を覆うように第2のレジストを形成し、
フォトリソグラフィにより少なくとも前記第2のレジス
トをパターニングする第2のレジスト形成工程と前記第
2のレジストをマスクとして、基板表面あるい基板表面
に形成された膜をパターニングする工程とを含むことを
特徴とする半導体装置の製造方法。
2. When patterning a stepped substrate surface or a film formed on a stepped substrate surface, a first resist is applied onto the stepped substrate surface or the film formed on the stepped substrate surface. Then, the first resist forming step of selectively removing the resist in the upper region of the step and leaving the first resist for level adjustment selectively only in the lower region of the step, and the first resist forming step of covering the entire surface further. 2 resist is formed,
A second resist forming step of patterning at least the second resist by photolithography, and a step of patterning a substrate surface or a film formed on the substrate surface using the second resist as a mask. Method for manufacturing semiconductor device.
JP4117698A 1992-05-11 1992-05-11 Manufacture of semiconductor device Pending JPH05315325A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP4117698A JPH05315325A (en) 1992-05-11 1992-05-11 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4117698A JPH05315325A (en) 1992-05-11 1992-05-11 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JPH05315325A true JPH05315325A (en) 1993-11-26

Family

ID=14718092

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4117698A Pending JPH05315325A (en) 1992-05-11 1992-05-11 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JPH05315325A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007081435A (en) * 1995-01-31 2007-03-29 Fujitsu Ltd Manufacturing method of semiconductor device

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007081435A (en) * 1995-01-31 2007-03-29 Fujitsu Ltd Manufacturing method of semiconductor device

Similar Documents

Publication Publication Date Title
US5596215A (en) Method to improve buried contact resistance
US5393704A (en) Self-aligned trenched contact (satc) process
JP2774952B2 (en) Method for manufacturing semiconductor device
US7008834B2 (en) Method for manufacturing a semiconductor device
JP2519819B2 (en) Contact hole forming method
JPH06177345A (en) Semiconductor memory and its manufacture
US7323404B2 (en) Field effect transistor and method of manufacturing the same
JPH0485876A (en) Method of forming contact region of semiconductor device and manufacture of semiconductor device utilizing said method
US5930672A (en) Manufacture of semiconductor device having reliable and fine connection hole
JP3665095B2 (en) Pattern formation method
JP2001274063A (en) Manufacturing method of semiconductor device
JPH07122638A (en) Fabrication of semiconductor device
JPH05315325A (en) Manufacture of semiconductor device
JPH06177351A (en) Manufacture of semiconductor device
JPH07105375B2 (en) Method for manufacturing thin conductor device
US6069077A (en) UV resist curing as an indirect means to increase SiN corner selectivity on self-aligned contact etching process
US5731214A (en) Manufacture of semiconductor device with self-aligned doping
JP3034351B2 (en) Method for manufacturing semiconductor device
US6337241B1 (en) Manufacturing method of a semiconductor memory device
JP3596836B2 (en) Method for manufacturing semiconductor device having wiring layer contact structure
JP3176422B2 (en) Semiconductor device and method of manufacturing the same
JP2658959B2 (en) Semiconductor device and manufacturing method thereof
JPH11312741A (en) Semiconductor device and its manufacture
JPH07230968A (en) Manufacture of semiconductor device
JP3332055B2 (en) Method for manufacturing thin film transistor

Legal Events

Date Code Title Description
A977 Report on retrieval

Effective date: 20060209

Free format text: JAPANESE INTERMEDIATE CODE: A971007

A131 Notification of reasons for refusal

Effective date: 20060214

Free format text: JAPANESE INTERMEDIATE CODE: A131

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060417

Effective date: 20060417

Free format text: JAPANESE INTERMEDIATE CODE: A821

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20060516

A521 Written amendment

Effective date: 20060718

Free format text: JAPANESE INTERMEDIATE CODE: A821

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060718

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20060919