JPH05259837A - Glitch elimination circuit for logic circuit - Google Patents

Glitch elimination circuit for logic circuit

Info

Publication number
JPH05259837A
JPH05259837A JP4089691A JP8969192A JPH05259837A JP H05259837 A JPH05259837 A JP H05259837A JP 4089691 A JP4089691 A JP 4089691A JP 8969192 A JP8969192 A JP 8969192A JP H05259837 A JPH05259837 A JP H05259837A
Authority
JP
Japan
Prior art keywords
logic
circuit
signal
glitch
output
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP4089691A
Other languages
Japanese (ja)
Inventor
Hideaki Yoshida
秀昭 吉田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mazda Motor Corp
Original Assignee
Mazda Motor Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mazda Motor Corp filed Critical Mazda Motor Corp
Priority to JP4089691A priority Critical patent/JPH05259837A/en
Publication of JPH05259837A publication Critical patent/JPH05259837A/en
Pending legal-status Critical Current

Links

Landscapes

  • Manipulation Of Pulses (AREA)

Abstract

PURPOSE:To provide the glitch elimination circuit for a logic circuit by which glitch is eliminated without use of a clock signal of the logic circuit. CONSTITUTION:A glitch is invaded in a correct logic signal as an output signal Y of a logic circuit 100. The signal Y is given to a latch circuit 410 via delay elements 211, 212. A signal DY1 after delay and a signal Y before delay are compared by an XOR circuit 221 and a signal GY1 resulting from detecting a change in a logic value of the signal Y is generated. A gate pulse generating section 300 forms a one-shot multivibrator triggered by the signal GY1 and generates a gate pulse having a prescribed pulse width synchronously with the signal GY1. A latch circuit 410 passes through the signal DY2 as it is usually and while a gate pulse is given to a terminal GT, a just preceding logic output is kept and passing of glitch is blocked.

Description

【発明の詳細な説明】Detailed Description of the Invention

【0001】[0001]

【産業上の利用分野】本発明は論理回路のグリッチ除去
回路、特に、論理回路のクロック信号を用いずにグリッ
チを除去する回路に関する。
BACKGROUND OF THE INVENTION 1. Field of the Invention The present invention relates to a glitch removing circuit for a logic circuit, and more particularly to a circuit for removing a glitch without using a clock signal of the logic circuit.

【0002】[0002]

【従来の技術】組み合わせ論理回路を実際の素子で実現
した場合、理論的には存在し得ないパルスが発生するこ
とがある。このようなパルスは、各論理素子の動作時間
にばらつきがあるために生じるものであり、通常はパル
ス幅のごく短いパルスとなり、一般にグリッチと呼ばれ
ている。このグリッチは、後段に接続される別な論理回
路を誤動作させる原因となるため除去しておく必要があ
る。たとえば、特開昭63−276913号公報には、
クロックパルスの遅れに基づく誤動作を防止する技術が
開示されている。
2. Description of the Related Art When a combinational logic circuit is realized by actual elements, theoretically impossible pulses may occur. Such a pulse is generated due to variations in the operating time of each logic element, and is usually a pulse with a very short pulse width, and is generally called a glitch. This glitch causes a malfunction of another logic circuit connected in the subsequent stage, and thus it must be removed. For example, in Japanese Patent Laid-Open No. 63-276913,
A technique for preventing malfunction due to a clock pulse delay is disclosed.

【0003】[0003]

【発明が解決しようとする課題】従来のグリッチ除去回
路は、論理回路のクロック信号を利用して、グリッチの
除去を行っている。しかしながら、このクロック信号を
利用する方法には、論理出力がクロックの1周期分遅れ
てしまったり、また、複数のクロック信号を用いる論理
回路には十分に対応できなかったりという問題があっ
た。
The conventional glitch removing circuit uses the clock signal of the logic circuit to remove the glitch. However, this method of using a clock signal has a problem that the logic output is delayed by one clock cycle, and that it cannot sufficiently cope with a logic circuit using a plurality of clock signals.

【0004】そこで本発明は、論理回路のクロック信号
を用いることなしにグリッチの除去を行うことのできる
論理回路のグリッチ除去回路を提供することを目的とす
る。
Therefore, an object of the present invention is to provide a glitch removing circuit for a logic circuit which can remove the glitch without using a clock signal for the logic circuit.

【0005】[0005]

【課題を解決するための手段】本発明は、論理回路で発
生するグリッチを除去する回路において、論理回路の出
力論理状態の変化を検出する変化検出手段と、この論理
回路で用いられる論理信号の論理動作周期よりも小さ
く、除去すべきグリッチの幅よりも大きい幅をもち、か
つ、変化検出手段が変化を検出したときに立上がるゲー
トパルスを発生するゲートパルス発生手段と、このゲー
トパルスが発生している間、論理回路の出力論理状態を
ゲートパルス発生前のままの状態に維持する論理維持手
段と、を設けたものである。
According to the present invention, in a circuit for eliminating a glitch generated in a logic circuit, a change detection means for detecting a change in an output logic state of the logic circuit and a logic signal used in the logic circuit. Gate pulse generating means for generating a gate pulse having a width smaller than the logic operation period and larger than the width of the glitch to be removed, and rising when the change detecting means detects the change, and the gate pulse generating means. Logic maintaining means for maintaining the output logic state of the logic circuit as it was before the gate pulse was generated.

【0006】[0006]

【作 用】論理回路における論理状態の変化は、変化検
出手段によって検出される。そして、この変化が検出さ
れた時点でゲートパルスが発生する。論理維持手段はゲ
ートパルスが発生している間は論理回路の出力論理状態
をもとのままの状態に維持する。このゲートパルスのパ
ルス幅は、除去すべきグリッチの幅よりも大きく設定さ
れている。したがって、変化検出手段がグリッチを検出
した場合には、このグリッチはブロックされて出力され
なくなる。一方、ゲートパルスの幅は、論理回路で用い
られる論理信号の論理動作周期よりも小さく設定されて
いる。したがって、変化検出手段が論理信号を検出した
場合には、この論理信号はゲートパルスの消滅後に出力
される。こうして、論理信号のみが出力され、グリッチ
は除去される。
[Operation] The change in the logic state in the logic circuit is detected by the change detecting means. Then, a gate pulse is generated when this change is detected. The logic maintaining means maintains the output logic state of the logic circuit as it is while the gate pulse is generated. The pulse width of this gate pulse is set larger than the width of the glitch to be removed. Therefore, when the change detecting means detects a glitch, the glitch is blocked and is not output. On the other hand, the width of the gate pulse is set smaller than the logic operation cycle of the logic signal used in the logic circuit. Therefore, when the change detecting means detects a logic signal, this logic signal is output after the disappearance of the gate pulse. Thus, only the logic signal is output and the glitch is removed.

【0007】[0007]

【実施例】以下、本発明を図示する実施例に基づいて説
明する。はじめに、参考のために、従来の一般的なグリ
ッチ除去回路の構成を説明する。図1は、従来のグリッ
チ除去回路の一例を示す回路図である。論理回路100
は、3つの入力端子11,12,13と、D型のフリッ
プフロップ20,30と、OR回路40と、によって構
成されており、この論理回路100において発生するグ
リッチはD型のフリップフロップ50によって除去さ
れ、出力端子99には、グリッチが除去された論理信号
が得られる。論理回路100において、入力端子11に
与えられた入力信号Aはフリップフロップ20の入力端
子Dに、入力端子12に与えられた入力信号Bはフリッ
プフロップ30の入力端子Dに、それぞれ入力されてお
り、各フリップフロップ20,30のクロック端子CK
には、入力端子13に与えられたクロック信号CLKが
入力されている。そして、フリップフロップ20の出力
端子Qに得られる論理出力QAと、フリップフロップ3
0の出力端子Qに得られる論理出力QBとは、OR回路
40に与えられ、このOR回路40の出力信号Yが、こ
の論理回路100の論理出力となる。
DESCRIPTION OF THE PREFERRED EMBODIMENTS The present invention will be described below based on illustrated embodiments. First, for reference, the configuration of a conventional general glitch removing circuit will be described. FIG. 1 is a circuit diagram showing an example of a conventional glitch removing circuit. Logic circuit 100
Is composed of three input terminals 11, 12, and 13, D-type flip-flops 20 and 30, and an OR circuit 40. The glitch generated in the logic circuit 100 is generated by the D-type flip-flop 50. The removed glitch-free logic signal is obtained at the output terminal 99. In the logic circuit 100, the input signal A given to the input terminal 11 is inputted to the input terminal D of the flip-flop 20, and the input signal B given to the input terminal 12 is inputted to the input terminal D of the flip-flop 30. , Clock terminal CK of each flip-flop 20, 30
The clock signal CLK supplied to the input terminal 13 is input to the. Then, the logical output QA obtained at the output terminal Q of the flip-flop 20 and the flip-flop 3
The logic output QB obtained at the output terminal Q of 0 is given to the OR circuit 40, and the output signal Y of this OR circuit 40 becomes the logic output of this logic circuit 100.

【0008】フリップフロップ20および30は、同じ
クロック信号CLKに同期して動作するため、理論的に
は、この論理回路100においてグリッチは発生しな
い。しかしながら、実際には、フリップフロップ20と
30との間には、動作時間に差が生じるためグリッチが
発生する。このグリッチ発生の原理を、図2のタイムチ
ャートで示そう。いま、図2に示すような入力信号Aお
よびB、ならびにクロック信号CLKが与えられた場合
の論理回路100の動作を考えてみる。フリップフロッ
プ20および30には、時刻t1においてクロック信号
CLKが与えられる。これにより、各フリップフロップ
は所定の論理動作を行い、論理出力QAおよびQBを出
力するが、両フリップフロップ間に動作時間の差がある
と、たとえば、論理出力QAは時刻t2において反転す
るが、論理出力QBはそれよりやや遅れた時刻t3にお
いて反転することになる。したがって、この時刻t2〜
t3の遅延時間に相当する時間幅をもったグリッチGが
発生し、OR回路40の出力信号Yには、このグリッチ
Gが時刻t2´に現れてしまう。続いて、時刻t4にお
いて2番目のクロックが与えられると、論理出力QBは
これにやや遅れて反転し、更に遅れた時刻t5において
出力信号Yは反転する。また、時刻t6において3番目
のクロックが与えられると、論理出力QAはこれにやや
遅れて反転し、更に遅れた時刻t7において出力信号Y
は反転する。この場合、出力信号Yに現れた時刻t5の
論理反転および時刻t7の論理反転は、理論上正しい論
理動作であるが、時刻t2´のグリッチGは正しい論理
動作ではない。したがって、このまま出力信号Yを後段
の論理回路に与えると、正しい論理動作は行われなくな
る。
Since flip-flops 20 and 30 operate in synchronization with the same clock signal CLK, theoretically, no glitch occurs in this logic circuit 100. However, in practice, a glitch occurs between the flip-flops 20 and 30 due to a difference in operation time. The principle of this glitch occurrence will be shown in the time chart of FIG. Now, consider the operation of logic circuit 100 when input signals A and B and clock signal CLK as shown in FIG. 2 are applied. Clock signal CLK is applied to flip-flops 20 and 30 at time t1. Thereby, each flip-flop performs a predetermined logic operation and outputs the logic outputs QA and QB. However, if there is a difference in operation time between the flip-flops, for example, the logic output QA is inverted at time t2, The logic output QB will be inverted at time t3, which is slightly later than that. Therefore, this time t2
A glitch G having a time width corresponding to the delay time of t3 is generated, and the glitch G appears in the output signal Y of the OR circuit 40 at time t2 '. Then, when the second clock is applied at time t4, the logic output QB is inverted with a slight delay, and the output signal Y is inverted at a further delayed time t5. When the third clock is applied at time t6, the logic output QA is inverted with a slight delay, and at time t7, the output signal Y is delayed.
Is reversed. In this case, the logic inversion at time t5 and the logic inversion at time t7 appearing in the output signal Y are theoretically correct logic operations, but the glitch G at time t2 ′ is not a correct logic operation. Therefore, if the output signal Y is applied to the subsequent logic circuit as it is, the correct logic operation cannot be performed.

【0009】フリップフロップ50は、このようにして
発生したグリッチGを除去する機能を有する。すなわ
ち、論理回路100の出力信号Yをフリップフロップ5
0の入力端子Dに与え、クロック信号CLKをフリップ
フロップ50のクロック端子CKに与える。このよう
に、フリップフロップ50を論理回路100の後段に接
続すれば、出力端子99には図2に示す出力信号Zが得
られることになる。この出力信号Zでは、グリッチGは
除去されているが、本来時刻t5で得られるべき論理出
力が、実際には、時刻t7で得られる結果となり、論理
出力がクロック信号CLKの1周期分だけ遅れることに
なる。
The flip-flop 50 has a function of removing the glitch G generated in this way. That is, the output signal Y of the logic circuit 100 is transferred to the flip-flop 5
0 to the input terminal D and the clock signal CLK to the clock terminal CK of the flip-flop 50. As described above, if the flip-flop 50 is connected to the subsequent stage of the logic circuit 100, the output signal Z shown in FIG. 2 is obtained at the output terminal 99. In this output signal Z, the glitch G is removed, but the logical output that should have been originally obtained at time t5 actually results at time t7, and the logical output is delayed by one cycle of the clock signal CLK. It will be.

【0010】このような遅延を解消するための回路を図
3に示す。図3の回路は図1の回路に、遅延素子52を
付加したものである。遅延素子52により、フリップフ
ロップ50のクロック端子CKに与えられるクロック信
号は、図4のタイミングチャートに示すような遅延クロ
ック信号DCLKとなる。クロック信号CLKが、時刻
t1,t4,t6において立上がっているのに対し、遅
延クロック信号DCLKは、それぞれ時刻t1d,t4
d,t6dにおいて立上がることになる。フリップフロ
ップ50をこのような遅延クロックDCLKで動作させ
ると、出力端子99に得られる出力信号Zは、図4の最
下欄に示すような信号となる。すなわち、本来時刻t5
で得られるべき論理出力が、実際には、時刻t5dで得
られることになり、図2の最下欄に示す出力信号Zに比
べると遅れがかなり解消されることになる。
A circuit for eliminating such a delay is shown in FIG. The circuit of FIG. 3 is obtained by adding a delay element 52 to the circuit of FIG. The clock signal provided to the clock terminal CK of the flip-flop 50 by the delay element 52 becomes the delayed clock signal DCLK as shown in the timing chart of FIG. The clock signal CLK rises at times t1, t4, and t6, while the delayed clock signal DCLK has rises at times t1d and t4, respectively.
It will rise at d and t6d. When the flip-flop 50 is operated with such a delay clock DCLK, the output signal Z obtained at the output terminal 99 becomes a signal shown in the bottom column of FIG. That is, originally at time t5
The logical output that should be obtained in step 1 is actually obtained at time t5d, and the delay is considerably eliminated as compared with the output signal Z shown in the bottom column of FIG.

【0011】ところが、このような従来のグリッチ除去
回路は、図5に示すような複数系統のクロックを用いる
論理回路には適用することができない。図5の論理回路
は、図1あるいは図3に示す論理回路100において、
クロック用の入力端子13Aおよび13Bを設け、フリ
ップフロップ20については第1のクロック信号CLK
−Aで動作させ、フリップフロップ30については第2
のクロック信号CLK−Bで動作させるようにしたもの
である。このように、複数系統のクロックを用いる論理
回路では、従来のような方法によるグリッチ除去を行う
ことはできない。また、1系統のクロックを用いる論理
回路であっても、バス構成の必要上、2つの回路が物理
的に離れて配置されているような場合は、クロック信号
に遅延が生じるため、やはり従来の方法によるグリッチ
除去を行うことは困難となる。
However, such a conventional glitch removing circuit cannot be applied to a logic circuit using a plurality of systems of clocks as shown in FIG. 5 is the same as the logic circuit 100 shown in FIG. 1 or FIG.
The clock input terminals 13A and 13B are provided, and the flip-flop 20 has the first clock signal CLK.
-A, and the second flip-flop 30
The clock signal CLK-B of FIG. As described above, in the logic circuit using the clocks of a plurality of systems, the glitch removal cannot be performed by the conventional method. Further, even in the case of a logic circuit which uses one system of clock, when the two circuits are physically separated from each other due to the necessity of the bus configuration, a delay occurs in the clock signal. It becomes difficult to remove glitches by the method.

【0012】本発明に係るグリッチ除去回路では、論理
回路のクロック信号を用いないでグリッチ除去を行うこ
とができるので、上述のような場合にも十分に対処する
ことができる。図6は、本発明に係るグリッチ除去回路
の基本構成を示すブロック図である。このグリッチ除去
回路は、従来の回路と同様に、論理回路100の後段に
接続して用いる。すなわち、論理回路100の後段に
は、論理回路100の出力論理状態の変化を検出する変
化検出部200が接続される。この変化検出部200に
よる検出信号は、ゲートパルス発生部300に与えら
れ、ここでは、変化の検出信号に基づいてゲートパルス
が発生される。一方、変化検出部200を通った論理信
号は、更に論理維持部400を通って出力端子99に出
力される。このとき、論理維持部400は、ゲートパル
スが発生している間、論理信号をゲートパルス発生前の
ままの状態に維持する機能を有する。なお、発生される
ゲートパルスのパルス幅は、論理回路100で用いられ
る論理信号の論理動作周期よりも小さく、除去すべきグ
リッチの幅よりも大きくなるように設定される。
In the glitch removing circuit according to the present invention, the glitch can be removed without using the clock signal of the logic circuit. Therefore, the above case can be sufficiently dealt with. FIG. 6 is a block diagram showing the basic configuration of the glitch removing circuit according to the present invention. This glitch removing circuit is used by being connected to the subsequent stage of the logic circuit 100, similarly to the conventional circuit. That is, the change detection unit 200 that detects a change in the output logic state of the logic circuit 100 is connected to the subsequent stage of the logic circuit 100. The detection signal from the change detection unit 200 is given to the gate pulse generation unit 300, and here, a gate pulse is generated based on the change detection signal. On the other hand, the logic signal that has passed through the change detection unit 200 is further output to the output terminal 99 through the logic maintenance unit 400. At this time, the logic maintaining unit 400 has a function of maintaining the logic signal in the state before the gate pulse is generated while the gate pulse is generated. The pulse width of the generated gate pulse is set to be smaller than the logic operation cycle of the logic signal used in the logic circuit 100 and larger than the width of the glitch to be removed.

【0013】このような構成によれば、論理回路100
内で論理値の変化が生じると、その変化は変化検出部2
00によって検出され、この検出信号に基づいてゲート
パルス発生部300においてゲートパルスが発生する。
論理回路100内の論理値変化がグリッチによるもので
あったら、このグリッチのパルス幅はゲートパルスのパ
ルス幅よりも小さいので、グリッチは論理維持部400
によってブロックされてしまい、出力端子99には出力
されない。これに対して、論理回路100内の論理値変
化が正しい論理動作によるものであったら、この正しい
論理動作による論理動作周期はゲートパルスのパルス幅
よりも大きいので、この論理値変化は論理維持部400
によってブロックされることなく、出力端子99に出力
される。
According to such a configuration, the logic circuit 100
When a change in the logical value occurs within the change, the change is detected by the change detection unit 2
00, and a gate pulse is generated in the gate pulse generator 300 based on this detection signal.
If the change in the logic value in the logic circuit 100 is due to the glitch, the pulse width of this glitch is smaller than the pulse width of the gate pulse, so the glitch is the logic maintaining unit 400.
It is blocked by and is not output to the output terminal 99. On the other hand, if the logic value change in the logic circuit 100 is due to a correct logic operation, the logic operation cycle due to this correct logic operation is larger than the pulse width of the gate pulse, and therefore this logic value change is caused by the logic maintaining unit. 400
It is output to the output terminal 99 without being blocked by.

【0014】この実施例では、変化検出部200を、論
理回路100の出力を所定期間遅延させる遅延素子21
0と、この遅延素子210による遅延後の論理状態と遅
延前の論理状態とを比較する比較回路220と、によっ
て構成している。このような構成によれば、比較回路2
20が両入力の差を検出することにより、論理回路10
0からの出力信号に変化が生じたことを検出することが
できる。
In this embodiment, the change detecting section 200 is provided with a delay element 21 for delaying the output of the logic circuit 100 for a predetermined period.
0, and a comparison circuit 220 for comparing the logic state after the delay by the delay element 210 with the logic state before the delay. According to such a configuration, the comparison circuit 2
20 detects the difference between both inputs, the logic circuit 10
It is possible to detect that the output signal from 0 has changed.

【0015】図7は本発明に係るグリッチ除去回路のよ
り具体的な実施例を示す回路図である。この実施例にお
いて、論理回路100は図1あるいは図3において述べ
た従来例と同一の回路であり、その後段に、変化検出部
200、ゲートパルス発生部300、論理維持部400
が接続されている。変化検出部200は、遅延素子21
1,212とXOR回路221とによって構成されてい
る。また、ゲートパルス発生部300は、D型のフリッ
プフロップ回路310と遅延素子320とからなるワン
ショットマルチバイブレータによって構成されている。
更に、論理維持部400は、ラッチ回路410によって
構成されている。論理回路100の出力信号Yは、遅延
素子211および212を通り、ラッチ回路410の入
力端子Dに与えられ、論理出力Qとして出力端子99へ
出力される。ラッチ回路410は、通常(ゲート端子G
Tの論理が“1”のとき)は入力端子Dに与えられた信
号をそのまま論理出力Qとして出力するが、ゲート端子
GTにゲートパルスが与えられたとき(論理“0”のと
き)は、その直前での論理出力Qをそのまま維持する機
能を有する。別言すれば、ゲートパルスが与えられた時
点で、論理出力Qをそのままの状態に凍結させ、ゲート
パルスが消滅した時点で凍結を解除する動作を行うこと
になる。
FIG. 7 is a circuit diagram showing a more specific embodiment of the glitch removing circuit according to the present invention. In this embodiment, the logic circuit 100 is the same circuit as the conventional example described in FIG. 1 or FIG. 3, and the change detection unit 200, the gate pulse generation unit 300, and the logic maintenance unit 400 are provided in the subsequent stages.
Are connected. The change detector 200 includes the delay element 21.
1 and 212 and an XOR circuit 221. The gate pulse generator 300 is composed of a one-shot multivibrator including a D-type flip-flop circuit 310 and a delay element 320.
Further, the logic maintaining unit 400 is composed of a latch circuit 410. The output signal Y of the logic circuit 100 passes through the delay elements 211 and 212, is applied to the input terminal D of the latch circuit 410, and is output to the output terminal 99 as the logic output Q. The latch circuit 410 is normally (gate terminal G
When the logic of T is "1"), the signal given to the input terminal D is output as it is as the logic output Q, but when the gate pulse is given to the gate terminal GT (when the logic is "0"), It has a function of maintaining the logic output Q just before that. In other words, when the gate pulse is applied, the logic output Q is frozen as it is, and when the gate pulse disappears, the operation is released.

【0016】このようなラッチ回路410に与えるゲー
トパルスは、次のようにして発生させる。まず、変化検
出部200において、論理回路100の出力信号Yの変
化が検出される。すなわち、XOR回路221により、
遅延素子211によって遅延された信号DY1と、遅延
前の信号Yとが比較され、両者の論理値が異なるときの
み比較出力GY1が論理“1”となる。なお、遅延素子
211による遅延時間は、グリッチが収まる時間よりや
や長めに設定するのが好ましい。こうして得られた比較
出力GY1は、ゲートパルス発生部300において整形
される。ゲートパルス発生部300は、前述のように、
D型のフリップフロップ回路310と遅延素子320と
からなるワンショットマルチバイブレータであり、遅延
素子320の遅延時間によって設定される所定のパルス
幅をもったゲートパルスを、比較出力GY1の論理が
“1”になったときに発生する。なお、変化検出部20
0における遅延素子212は、ゲートパルス発生部30
0によって発生されるゲートパルスとのタイミングを合
わすために、論理信号DY1を更に遅延させて論理信号
DY2を得るためのものである。
The gate pulse applied to the latch circuit 410 is generated as follows. First, the change detection unit 200 detects a change in the output signal Y of the logic circuit 100. That is, by the XOR circuit 221,
The signal DY1 delayed by the delay element 211 is compared with the signal Y before the delay, and the comparison output GY1 becomes the logic “1” only when the logic values of the two are different. The delay time of the delay element 211 is preferably set to be slightly longer than the time when the glitch is contained. The comparison output GY1 thus obtained is shaped in the gate pulse generator 300. The gate pulse generator 300, as described above,
This is a one-shot multivibrator including a D-type flip-flop circuit 310 and a delay element 320, and a comparison output GY1 has a logic "1" for a gate pulse having a predetermined pulse width set by the delay time of the delay element 320. It occurs when it becomes ". The change detection unit 20
The delay element 212 at 0 is the gate pulse generator 30
The logic signal DY1 is further delayed to obtain the logic signal DY2 in order to match the timing with the gate pulse generated by 0.

【0017】続いて、図7に示す回路の動作を、図8に
示すタイミングチャートを参照しながら説明する。い
ま、図8に示すような入力信号AおよびB、ならびにク
ロック信号CLKが与えられた場合の論理回路100の
動作を考えてみる。フリップフロップ20および30に
は、時刻t10,t20,t30において立ち上がるク
ロック信号CLKが与えられる。これにより、各フリッ
プフロップは所定の論理動作を行い、論理出力QAおよ
びQBを出力する。ここで、入力信号Aの立ち下がり部
をエッジJ、立上がり部をエッジK、入力信号Bの立上
がり部をエッジL、立ち下がり部をエッジMと呼ぶこと
にすると、時刻t10において与えられたクロック信号
CLKに基づく両フリップフロップの動作により、論理
的には、論理出力QAのエッジJAと論理出力QBのエ
ッジLBとは同時刻に位置するはずである。しかしなが
ら、実際には、両フリップフロップ間に動作時間の差が
あるため、たとえば、エッジLBはエッジJAよりやや
遅れることになる。したがって、論理回路100の出力
信号Yには、この遅延時間に相当する時間幅をもったグ
リッチG0が発生する。また、時刻t20において与え
られたクロック信号CLKに基づくフリップフロップ3
0の動作により、論理出力QBにはエッジMに対応した
エッジMBが形成され、時刻t30において与えられた
クロック信号CLKに基づくフリップフロップ20の動
作により、論理出力QAにはエッジKに対応したエッジ
KAが形成される。したがって、論理回路100の出力
信号Yは、図8に示すように、グリッチG0に続いて、
エッジM0およびエッジK0をもった信号となる。ここ
で、エッジM0およびエッジK0は、正しい論理信号を
形成するエッジであるため、このまま出力してもよい
が、グリッチG0は正しい論理信号ではないため除去す
る必要がある。
Next, the operation of the circuit shown in FIG. 7 will be described with reference to the timing chart shown in FIG. Now, consider the operation of logic circuit 100 when input signals A and B and clock signal CLK as shown in FIG. 8 are applied. Clock signals CLK rising at times t10, t20, and t30 are applied to flip-flops 20 and 30. As a result, each flip-flop performs a predetermined logical operation and outputs logical outputs QA and QB. Here, when the falling part of the input signal A is called edge J, the rising part is called edge K, the rising part of the input signal B is called edge L, and the falling part is called edge M, the clock signal given at time t10 is given. Due to the operation of both flip-flops based on CLK, the edge JA of the logic output QA and the edge LB of the logic output QB should be logically located at the same time. However, in reality, since there is a difference in operation time between both flip-flops, for example, the edge LB is slightly behind the edge JA. Therefore, in the output signal Y of the logic circuit 100, a glitch G0 having a time width corresponding to this delay time is generated. Further, the flip-flop 3 based on the clock signal CLK given at time t20
The operation of 0 forms the edge MB corresponding to the edge M in the logic output QB, and the operation of the flip-flop 20 based on the clock signal CLK given at time t30 causes the edge corresponding to the edge K in the logic output QA. KA is formed. Therefore, the output signal Y of the logic circuit 100 follows the glitch G0 as shown in FIG.
The signal has an edge M0 and an edge K0. Here, since the edge M0 and the edge K0 are edges that form a correct logic signal, the edges M0 and K0 may be output as they are, but the glitch G0 is not a correct logic signal and thus needs to be removed.

【0018】このグリッチ除去は、図7の回路図の下段
に示す回路により行われる。まず、変化検出部200に
おける遅延素子211を通った信号DY1は、論理回路
100の出力信号Yを所定時間だけ遅らせたものであ
り、遅延素子212を通った信号DY2は、信号DY1
を更に遅らせたものである。したがって、図8に示すよ
うに、信号DY1はグリッチG1に続いて、エッジM1
およびエッジK1をもった信号となり、信号DY2はグ
リッチG2に続いて、エッジM2およびエッジK2をも
った信号となる。一方、XOR回路221の出力信号で
あるGY1は、信号Yと信号DY1との排他的論理和を
とったものであり、グリッジG0´,G1´およびパル
スP2,P3を有する信号となる。グリッジG0´,G
1´は、それぞれグリッチG0,G1に対応し、パルス
P2およびP3は、それぞれエッジM0とM1およびエ
ッジK0とK1に対応する(いずれも、若干の遅延時間
をもっている)。ゲートパルス発生部300に形成され
たワンショットマルチバイブレータは、信号GY1の波
形を整形する機能を有し、整形後の波形は、信号GY2
およびGY3のようになる。信号GY3は、ゲートパル
スGP1〜GP3を含む信号であり、ラッチ回路410
のゲート端子GTに与えられる。ゲートパルスGP1
は、グリッチG0´とG1´とを融合整形して得られた
ものであり、ゲートパルスGP2およびGP3は、それ
ぞれパルスP2およびP3を整形して得られたものであ
る。前述のように、これらゲートパルスGP1〜GP3
のパルス幅は、除去すべきグリッチの幅よりも大きく、
論理回路100で用いられる論理信号の論理動作周期よ
りも小さく設定される。図8のタイムチャートでこれを
具体的に説明すれば、ゲートパルスGP1〜GP3のパ
ルス幅は、グリッチG0よりは大きく、エッジLM間や
エッジMK間の距離よりは小さく設定されていればよ
い。このパルス幅は、遅延素子320における遅延時間
によって設定できる。すなわち、遅延信号GY4上の遅
延パルスD1,D2,D3の立上がりエッジの位置によ
ってゲートパルスGP1〜GP3のパルス幅を制御でき
る。
This glitch removal is performed by the circuit shown in the lower stage of the circuit diagram of FIG. First, the signal DY1 passed through the delay element 211 in the change detection unit 200 is the output signal Y of the logic circuit 100 delayed by a predetermined time, and the signal DY2 passed through the delay element 212 is the signal DY1.
Was delayed even further. Therefore, as shown in FIG. 8, the signal DY1 follows the glitch G1 and then the edge M1.
And the signal DY2 becomes a signal having an edge M2 and an edge K2 after the glitch G2. On the other hand, the output signal GY1 of the XOR circuit 221 is the exclusive OR of the signal Y and the signal DY1, and becomes a signal having glitches G0 'and G1' and pulses P2 and P3. Glitch G0 ', G
1'corresponds to the glitches G0 and G1, respectively, and the pulses P2 and P3 respectively correspond to the edges M0 and M1 and the edges K0 and K1 (both have a slight delay time). The one-shot multivibrator formed in the gate pulse generator 300 has a function of shaping the waveform of the signal GY1, and the waveform after shaping is the signal GY2.
And GY3. The signal GY3 is a signal including the gate pulses GP1 to GP3, and the latch circuit 410
Is applied to the gate terminal GT of. Gate pulse GP1
Is obtained by fusing and shaping the glitches G0 ′ and G1 ′, and the gate pulses GP2 and GP3 are obtained by shaping the pulses P2 and P3, respectively. As described above, these gate pulses GP1 to GP3 are
Pulse width is greater than the width of the glitch to be removed,
It is set to be shorter than the logic operation cycle of the logic signal used in the logic circuit 100. This will be specifically described with reference to the time chart of FIG. 8. The pulse widths of the gate pulses GP1 to GP3 may be set to be larger than the glitch G0 and smaller than the distance between the edges LM and the edges MK. This pulse width can be set by the delay time in the delay element 320. That is, the pulse widths of the gate pulses GP1 to GP3 can be controlled by the positions of the rising edges of the delay pulses D1, D2 and D3 on the delay signal GY4.

【0019】本明細書では、こうして得られたゲートパ
ルスGP1〜GP3の先行するエッジ(図8において矢
印を記したエッジ)を立上がりエッジと呼び、後続する
エッジを立ち下がりエッジと呼ぶことにする。すなわ
ち、「信号GY3」についての立上がりエッジおよび立
ち下がりエッジと、「ゲートパルスGP1〜GP3」に
ついての立上がりエッジおよび立ち下がりエッジとは、
ちょうど逆の関係になる。このように定義すると、ラッ
チ回路410の機能は、「ゲートパルスGP1〜GP3
の立上がり時点において、出力信号Qをそのままの論理
状態に凍結し、ゲートパルスGP1〜GP3の立ち下が
り時点において、出力信号Qの凍結状態を解除する」こ
とである。別言すれば、ラッチ回路410は、通常は入
力した信号DY2をそのまま出力するが、ゲートパルス
が与えられている期間だけは、信号DY2をブロック
し、ゲートパルスが与えられる直前の論理状態を維持す
ることになる。図8にGATEと記した欄におけるハッ
チング部分は、ちょうどブロックされた期間に相当する
(ゲートパルスに対して若干の遅延時間がある)。した
がって、ラッチ回路410から出力端子99に出力され
る出力信号Zは、図8に示すような波形となる。ちょう
ど、グリッチGZは、ブロック期間に位置するため、出
力信号Zにおいては除去されており、もとのエッジMに
対応するエッジMZおよびもとのエッジKに対応するエ
ッジKZは、それぞれブロック期間の直後に現れてい
る。こうして、正しい論理動作に関連したエッジMZお
よびKZのみが出力信号Zにおいて有効となる。
In the present specification, the leading edge (edge marked with an arrow in FIG. 8) of the gate pulses GP1 to GP3 thus obtained is called a rising edge, and the trailing edge is called a falling edge. That is, the rising edge and the falling edge of the “signal GY3” and the rising edge and the falling edge of the “gate pulses GP1 to GP3” are
It's just the opposite. With this definition, the function of the latch circuit 410 is "gate pulses GP1 to GP3.
The output signal Q is frozen to the logic state as it is at the rising time of the above, and the frozen state of the output signal Q is released at the falling time of the gate pulses GP1 to GP3. " In other words, the latch circuit 410 normally outputs the input signal DY2 as it is, but blocks the signal DY2 only while the gate pulse is being applied, and maintains the logic state immediately before the gate pulse is applied. Will be done. The hatched portion in the column labeled GATE in FIG. 8 corresponds to the just blocked period (there is a slight delay time with respect to the gate pulse). Therefore, the output signal Z output from the latch circuit 410 to the output terminal 99 has a waveform as shown in FIG. Since the glitch GZ is located in the block period, it is removed from the output signal Z, and the edge MZ corresponding to the original edge M and the edge KZ corresponding to the original edge K are respectively in the block period. It appears immediately after. Thus, only the edges MZ and KZ associated with the correct logic operation are valid in the output signal Z.

【0020】以上、本発明を図示する実施例に基づいて
説明したが、本発明はこの実施例のみに限定されるもの
ではなく、この他にも種々の態様で実施可能である。た
とえば、上述の実施例における論理回路100は、単一
のクロック信号CLKを用いているが、本発明に係るグ
リッチ除去装置は論理回路100のクロックを用いる必
要はないので、複数のクロック系統を用いた論理回路に
も適用することができる。また、上述の実施例では、時
間差をもった2つの信号に基づいて発生するグリッチを
除去する回路を説明したが、本発明は、3つ以上の信号
に基づいて発生するグリッチを除去する場合でも、遅延
素子の遅延時間を適当に設定してやれば、同様に適用可
能である。
The present invention has been described above based on the illustrated embodiment, but the present invention is not limited to this embodiment and can be implemented in various modes other than this. For example, although the logic circuit 100 in the above-described embodiment uses the single clock signal CLK, the glitch removing apparatus according to the present invention does not need to use the clock of the logic circuit 100, so that a plurality of clock systems are used. It can also be applied to the existing logic circuit. Further, in the above-described embodiment, the circuit for removing the glitch generated based on the two signals having the time difference has been described, but the present invention can also remove the glitch generated based on the three or more signals. If the delay time of the delay element is set appropriately, the same can be applied.

【0021】[0021]

【発明の効果】以上のとおり本発明に係る論理回路のグ
リッチ除去回路によれば、論理回路の論理出力の変化に
基づいてゲートパルスを発生させ、このゲートパルスに
より所定期間だけ論理出力をブロックするようにしたた
め、クロック信号を用いることなしにグリッチの除去が
可能になる。
As described above, according to the glitch removing circuit of the logic circuit according to the present invention, the gate pulse is generated based on the change of the logic output of the logic circuit, and the gate pulse blocks the logic output for a predetermined period. Therefore, the glitch can be removed without using the clock signal.

【図面の簡単な説明】[Brief description of drawings]

【図1】従来のグリッチ除去回路の一例を示す回路図で
ある。
FIG. 1 is a circuit diagram showing an example of a conventional glitch removing circuit.

【図2】図1に示す回路の動作を説明するタイムチャー
トである。
FIG. 2 is a time chart explaining the operation of the circuit shown in FIG.

【図3】従来のグリッチ除去回路の別な一例を示す回路
図である。
FIG. 3 is a circuit diagram showing another example of a conventional glitch removing circuit.

【図4】図3に示す回路の動作を説明するタイムチャー
トである。
FIG. 4 is a time chart explaining the operation of the circuit shown in FIG.

【図5】従来のグリッチ除去回路では対応できない論理
回路の一例を示す回路図である。
FIG. 5 is a circuit diagram showing an example of a logic circuit which cannot be handled by a conventional glitch removing circuit.

【図6】本発明に係るグリッチ除去回路の基本構成を示
すブロック図である。
FIG. 6 is a block diagram showing a basic configuration of a glitch removing circuit according to the present invention.

【図7】本発明に係るグリッチ除去回路のより具体的な
実施例を示す回路図である。
FIG. 7 is a circuit diagram showing a more specific embodiment of the glitch removing circuit according to the present invention.

【図8】図7に示す回路の動作を説明するタイムチャー
トである。
FIG. 8 is a time chart explaining the operation of the circuit shown in FIG.

【符号の説明】[Explanation of symbols]

11〜13…入力端子 20,30…D型フリップフロップ 40…OR回路 50…D型フリップフロップ 99…出力端子 100…論理回路 200…変化検出部 210…遅延素子 211,212…遅延素子 220…比較回路 221…XOR回路 300…ゲートパルス発生部 310…D型フリップフロップ 320…遅延素子 400…論理維持部 410…ラッチ回路 11 to 13 ... Input terminals 20, 30 ... D-type flip-flop 40 ... OR circuit 50 ... D-type flip-flop 99 ... Output terminal 100 ... Logic circuit 200 ... Change detection unit 210 ... Delay element 211, 212 ... Delay element 220 ... Comparison Circuit 221 ... XOR circuit 300 ... Gate pulse generator 310 ... D-type flip-flop 320 ... Delay element 400 ... Logic maintaining unit 410 ... Latch circuit

Claims (3)

【特許請求の範囲】[Claims] 【請求項1】 論理回路で発生するグリッチを除去する
回路であって、 論理回路の出力論理状態の変化を検出する変化検出手段
と、 前記論理回路で用いられる論理信号の論理動作周期より
も小さく、除去すべきグリッチの幅よりも大きい幅をも
ち、かつ、前記変化検出手段が変化を検出したときに立
上がるゲートパルスを発生するゲートパルス発生手段
と、 前記ゲートパルスが発生している間、前記論理回路の出
力論理状態を前記ゲートパルス発生前のままの状態に維
持する論理維持手段と、 を備えることを特徴とする論理回路のグリッチ除去回
路。
1. A circuit for removing glitches generated in a logic circuit, comprising: change detecting means for detecting a change in an output logic state of the logic circuit; and a period smaller than a logic operation cycle of a logic signal used in the logic circuit. A gate pulse generating means having a width larger than that of a glitch to be removed, and generating a rising gate pulse when the change detecting means detects a change, and while the gate pulse is generated, A glitch removing circuit for a logic circuit, comprising: a logic maintaining unit that maintains the output logic state of the logic circuit as it was before the gate pulse was generated.
【請求項2】 請求項1に記載のグリッチ除去回路にお
いて、 変化検出手段を、論理回路の出力を所定期間遅延させる
遅延手段と、この遅延手段による遅延後の論理状態と遅
延前の論理状態とを比較する比較手段と、によって構成
したことを特徴とする論理回路のグリッチ除去回路。
2. The glitch elimination circuit according to claim 1, wherein the change detecting means delays the output of the logic circuit for a predetermined period, and the delay state and the logic state before and after the delay means. A glitch removing circuit of a logic circuit, characterized by comprising:
【請求項3】 請求項1に記載のグリッチ除去回路にお
いて、 ゲートパルス発生手段を、フリップフロップ回路と遅延
素子とからなるワンショットマルチバイブレータによっ
て構成したことを特徴とする論理回路のグリッチ除去回
路。
3. A glitch removing circuit for a logic circuit according to claim 1, wherein the gate pulse generating means is constituted by a one-shot multivibrator including a flip-flop circuit and a delay element.
JP4089691A 1992-03-13 1992-03-13 Glitch elimination circuit for logic circuit Pending JPH05259837A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP4089691A JPH05259837A (en) 1992-03-13 1992-03-13 Glitch elimination circuit for logic circuit

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP4089691A JPH05259837A (en) 1992-03-13 1992-03-13 Glitch elimination circuit for logic circuit

Publications (1)

Publication Number Publication Date
JPH05259837A true JPH05259837A (en) 1993-10-08

Family

ID=13977792

Family Applications (1)

Application Number Title Priority Date Filing Date
JP4089691A Pending JPH05259837A (en) 1992-03-13 1992-03-13 Glitch elimination circuit for logic circuit

Country Status (1)

Country Link
JP (1) JPH05259837A (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100290960B1 (en) * 1994-09-14 2001-09-17 윤종용 Glitch filter circuit for removing clock noise
KR100467605B1 (en) * 2002-08-16 2005-01-24 삼성전자주식회사 Method and apparatus for eliminating glitch

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100290960B1 (en) * 1994-09-14 2001-09-17 윤종용 Glitch filter circuit for removing clock noise
KR100467605B1 (en) * 2002-08-16 2005-01-24 삼성전자주식회사 Method and apparatus for eliminating glitch

Similar Documents

Publication Publication Date Title
JP2002208844A (en) Glitch elimination circuit
JPH08298503A (en) Nonintegral multiple clock converter and its method
US5157673A (en) Comparison circuit for masking transient differences
US20190173458A1 (en) Shift register utilizing latches controlled by dual non-overlapping clocks
US4988892A (en) Method and circuit for generating dependent clock signals
JPH05259837A (en) Glitch elimination circuit for logic circuit
US6222392B1 (en) Signal monitoring circuit for detecting asynchronous clock loss
US5126594A (en) Voltage spike detection circuit for use in detecting clock edge transitions within a serial communication system
JP2906966B2 (en) Pulse switching circuit
KR0139660B1 (en) Logic circuit for glitch elimination
JPS63227113A (en) Propagating circuit
US6320442B1 (en) Dual clock D type flip-flop
JPH04233014A (en) Clock generating circuit of multiple-chip computer system
KR100548552B1 (en) Circuit for preventing probability lock-in fail in Delay Locked Loop
JPH08181588A (en) Clock interruption detection circuit
JP3260483B2 (en) External control signal input circuit
JP2000353939A (en) Clock signal synchronous flip flop circuit
JP2605895B2 (en) Trigger signal generator
JPH07321616A (en) Noise elimination circuit
JP2735032B2 (en) Phase detection circuit
JPH0129091B2 (en)
JP3019049B2 (en) Clock control circuit and clock control method
JPH0451624A (en) Bit synchronizing circuit
JP3168089B2 (en) Chattering elimination circuit
JPH0583101A (en) Clock signal changeover circuit