JPH04290170A - Automatic wiring system using labeling - Google Patents

Automatic wiring system using labeling

Info

Publication number
JPH04290170A
JPH04290170A JP3054766A JP5476691A JPH04290170A JP H04290170 A JPH04290170 A JP H04290170A JP 3054766 A JP3054766 A JP 3054766A JP 5476691 A JP5476691 A JP 5476691A JP H04290170 A JPH04290170 A JP H04290170A
Authority
JP
Japan
Prior art keywords
cell
wiring
cells
labeling
weight
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP3054766A
Other languages
Japanese (ja)
Inventor
Kaoru Kawamura
薫 河村
Hideki Mito
三渡 秀樹
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Ltd
Original Assignee
Fujitsu Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Ltd filed Critical Fujitsu Ltd
Priority to JP3054766A priority Critical patent/JPH04290170A/en
Publication of JPH04290170A publication Critical patent/JPH04290170A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To reduce mutual interference between wiring pattern by increasing the weight of each cell toward existent wiring patterns when labeling is performed from a start point cell to a destination point cell on a wiring plane. CONSTITUTION:The start point cell S and destination point cell T are determined on the wiring plane 101. Weight 'b' is given to an area 105 near a cell 104 which is already wired and 'a' is given to other cells so that a<b. For example, a=1 and b=2. A cell A is given a label value '1' in a 1st step and a cell B is given a label value '3' obtained by adding the weight '2' of the cell B in a next step. Label values from the cells B and C are sent to a cell D in a 3rd step and a label value '4' is sent from the cell C which is smaller in value. The labeling is performed up to the cell T. The cells are traced from the cell T to the cell S so that the label value decreases, thus obtaining a wiring path.

Description

【発明の詳細な説明】[Detailed description of the invention]

【0001】0001

【産業上の利用分野】本発明は、ラベル付けを用いた自
動配線方式に関し、特に配線対象であるプリント基板や
LSIチップなどの配線平面を格子状に分割し、この配
線平面における出発点のセル(格子)から目的点セルま
でのラベル付けを順次行ない、その後、このラベル付け
の結果に基づいて目的点セルから出発点セルに向かって
ラベル値が小さくなるセルをたどっていくことにより、
両セル間の配線経路を求めていく自動配線方式に関する
[Industrial Field of Application] The present invention relates to an automatic wiring method using labeling, and in particular, the wiring plane of a printed circuit board or LSI chip to be routed is divided into a grid pattern, and the starting point cells on this wiring plane are By sequentially labeling from the (lattice) to the destination cell, and then following the cells whose label value decreases from the destination cell to the starting point cell based on the labeling results,
This paper relates to an automatic wiring method that finds a wiring route between both cells.

【0002】近年の集積回路技術の進歩にともない、プ
リント基板やLSI等が高密度化され動作速度も向上し
ており、配線パタ−ンによる電気特性、特に配線パタ−
ン間のノイズやクロストークを抑止することがより必要
となっている。また、信号周波数が高くなるにともなっ
て配線パタ−ンでの遅延が無視できず、配線設計の際に
配線長を指定することが必要になっている。本発明は、
このような要請に応えるための自動配線方式に関する。
With the recent progress in integrated circuit technology, printed circuit boards, LSIs, etc. have become more densely packed and their operating speeds have improved.
It is becoming increasingly necessary to suppress noise and crosstalk between channels. Furthermore, as signal frequencies become higher, delays in wiring patterns cannot be ignored, and it has become necessary to specify the wiring length when designing the wiring. The present invention
The present invention relates to an automatic wiring method to meet such demands.

【従来の技術】[Conventional technology]

【0003】従来の、計算機により、出発点セルから目
的点セルまでのラベル付けを順次行ないその結果に基づ
いて両セル間の配線経路を求めていく手法である迷路法
について、図3〜図4を用いて説明する。図示のように
、プリント基板やLSI等の配線平面は格子状の各セル
に分割されており、S,Tは自動配線処理対象の端子対
に対応したセルで、Sは出発点セル,Tは目的点セルを
示し、また 104は既に配線パタ−ンが設定された配
線済セルを示している。
FIGS. 3 to 4 illustrate the conventional maze method, which is a method of sequentially labeling from a starting point cell to a destination cell using a computer and finding a wiring route between both cells based on the results. Explain using. As shown in the figure, the wiring plane of a printed circuit board, LSI, etc. is divided into grid-like cells, S and T are cells corresponding to terminal pairs to be automatically wired, S is a starting point cell, and T is a cell. A destination cell is shown, and 104 is a wired cell in which a wiring pattern has already been set.

【0004】ここで、隣接セルにラベル値を伝えてラベ
ル付けを行なう能力を備えた能動セルは最初S点のみで
あり、先ずS点から、このセルの上下左右に位置する4
つの隣接セルにラベル付け、すなわちS点自体のラベル
値(例えば、「0」)に各隣接セルの重み(例えば「1
」)を加算した新たなラベル値をそれぞれの隣接セルに
ふることが行なわれる。
[0004] At first, the only active cell that has the ability to transmit label values to adjacent cells and perform labeling is the point S, and from the point S, the four cells located above, below, left and right of this cell are active.
labeling two neighboring cells, i.e. the label value of point S itself (e.g. "0") and the weight of each neighboring cell (e.g. "1").
'') is added to each adjacent cell.

【0005】以下の説明では(図1および図2について
の説明も含めて)、出発点セルSより下方へのラベル付
けはすべて省略している。また、既に配線パタ−ンが設
定されている配線済セル 104にはラベル付けは行な
われない。
In the following description (including the description of FIGS. 1 and 2), all labeling below the starting point cell S is omitted. Moreover, labeling is not performed on wired cells 104 for which wiring patterns have already been set.

【0006】そして、次の2ステップ目では、図3(イ
)のように、1ステップ目でラベル付けが行なわれたセ
ル(○印で囲んだ数字の部分)が能動セルとなって、こ
れらの能動セルから矢印の方向にラベル付けが行なわれ
、当該能動セルの上下左右に位置する隣接セルに新たな
ラベル値がふられることになる。
[0006] In the next second step, as shown in FIG. 3(a), the cells that were labeled in the first step (the numbers surrounded by circles) become active cells, and these Labeling is performed in the direction of the arrow from the active cell, and new label values are assigned to adjacent cells located above, below, left and right of the active cell.

【0007】以下、同じ手順でラベル付けが行なわれ、
目的点セルTにラベル値がふられたときのラベル状態は
図3(ウ)のようになる。続いて、目的点セルTから出
発点セルSに向かってラベル値が小さくなるセルを順次
たどることにより両セル間の配線経路を、例えば実線の
ように決定している。
[0007] Labeling is performed in the same procedure below.
The label state when a label value is assigned to the destination cell T is as shown in FIG. 3(c). Subsequently, by sequentially tracing cells with decreasing label values from the destination cell T to the starting point cell S, the wiring route between both cells is determined, for example, as shown by a solid line.

【0008】[0008]

【発明が解決しようとする課題】このような迷路法によ
れば、出発点セルSと目的点セルTとを結ぶ最短の配線
経路が設定されるが、この設定の過程では既存の配線パ
ターンとの位置関係などが何ら考慮されていない、すな
わち各セルの重みを同じ値(図3および図4の説明では
「1」)にしているため、この既存の配線パターンに隣
接する部分が長くなりノイズやクロストークが増加する
いう問題点があった。
[Problem to be Solved by the Invention] According to such a maze method, the shortest wiring route connecting the starting point cell S and the destination point cell T is set, but in the process of setting, the existing wiring pattern and Since no consideration is given to the positional relationship between cells, in other words, the weight of each cell is set to the same value ("1" in the explanation of FIGS. 3 and 4), the portion adjacent to this existing wiring pattern becomes longer and causes noise. There was a problem that crosstalk increased.

【0009】また、この隣接する部分を短くするために
、迷路法により求めた配線経路を人手で修正して、例え
ば図4のように変更しているが、この変更のために配線
工程が増加して要処理時間が長くなり、また配線パター
ンが密になってくるとこのような局所的な変更をするこ
とが難しいという問題点があった。
In addition, in order to shorten this adjacent portion, the wiring route determined by the maze method is manually corrected, as shown in FIG. 4, for example, but this change increases the wiring process. There are problems in that the required processing time becomes longer, and as the wiring pattern becomes denser, it becomes difficult to make such local changes.

【0010】そこで、本発明では、ラベル付けの際に加
算する重みを、ラベル値が新たにふられるセルが既存の
配線パターンに近い位置にある場合と、そうでない場合
とで異なったもの、すなわち前者を後者より大きな値に
あらかじめ設定しておくことにより、ラベル付けの結果
に基づいてて設定した配線経路が既存の配線パタ−ンか
ら所定長だけ離れた状態になるようにして、その後の人
手による修正を行なうことなしに、既存の配線パタ−ン
との間の相互干渉を減少させることを目的とする。
Therefore, in the present invention, the weight added during labeling is set to be different depending on whether the cell to which a new label value is assigned is located near the existing wiring pattern or not. By setting the former to a larger value than the latter in advance, the wiring route set based on the labeling results will be separated by a predetermined length from the existing wiring pattern, making it easier to perform subsequent manual operations. The purpose of this invention is to reduce mutual interference with existing wiring patterns without making any modifications.

【0011】[0011]

【課題を解決するための手段】本発明は、格子状の配線
平面の出発点セルから目的点セルまでラベル付けを実行
していく際に用いる各セルの重みを、既存の配線パター
ンの近くにあるセルの方が当該配線パターンから離れて
いるセルよりも大きくなるように、あらかじめ設定する
ようにしたものである。
[Means for Solving the Problems] The present invention sets the weight of each cell used when performing labeling from a starting point cell to a destination cell on a grid-like wiring plane to be close to an existing wiring pattern. A certain cell is set in advance so that it is larger than a cell further away from the wiring pattern.

【0012】図1は、本発明の原理説明図である。図に
おいて、101は、配線平面であり、配線対象のプリン
ト基板やLSIチップなどの平面形状に対応したもので
、複数の格子状のセルからなっている。102は、出発
点セルSであり、自動配線処理の対象となっている端子
対の一方に対応している。なお、このセルのラベル値は
、通常「0」にセットされる。103は、目的点セルT
あり、自動配線処理の対象となっている端子対の他方に
対応している。104は、配線済セルであり、既存の配
線パタ−ンに対応した領域で、新たな配線領域として用
いることはできない。105は、配線済セルに近い領域
であり、この領域中のセルの重みの値はあらかじめ「b
」に設定され、この値「b」は当該領域外のセルの重み
の値「a」に対して「a<b」の関係を満たすようにし
てある。
FIG. 1 is a diagram explaining the principle of the present invention. In the figure, reference numeral 101 denotes a wiring plane, which corresponds to the planar shape of a printed circuit board or an LSI chip to be wired, and is made up of a plurality of lattice-shaped cells. Reference numeral 102 denotes a starting point cell S, which corresponds to one of a pair of terminals targeted for automatic wiring processing. Note that the label value of this cell is normally set to "0". 103 is the destination point cell T
Yes, and corresponds to the other terminal pair that is subject to automatic wiring processing. Reference numeral 104 indicates a wired cell, which is an area corresponding to an existing wiring pattern and cannot be used as a new wiring area. Reference numeral 105 is an area near the wired cells, and the weight value of the cells in this area is set in advance by "b
", and this value "b" is set to satisfy the relationship "a<b" with respect to the weight value "a" of the cell outside the area.

【0013】なお、領域105 の範囲は既存の配線パ
タ−ンに隣接したセルに限定することなく、この配線パ
タ−ンから複数個離れたセルまでを含むようにしてもよ
い。 また、加算値a,bのそれぞれは、既存の配線パタ−ン
から離れているセルよりも、この配線パタ−ンの近くに
あるセルの方を大きくするといった条件の範囲内で、セ
ルによって異なった大きさに設定してもよい。
Note that the range of region 105 is not limited to cells adjacent to the existing wiring pattern, but may include cells that are a plurality of cells away from this wiring pattern. In addition, each of the addition values a and b may vary depending on the cell within the range of the condition that cells near the existing wiring pattern are made larger than cells far from the existing wiring pattern. You can also set it to a larger size.

【0014】図1(イ)は、「a=1,b=2」に設定
したときの、セルSからのラベル付けが3ステップ目ま
で進んだ時点のラベル状態であり、矢印はラベル付けの
方向を、数字は各セルのラベル値を、また丸で囲んだ数
字は2ステップ目のラベル付けでふられたラベル値を示
している。
FIG. 1(a) shows the label state when labeling from cell S reaches the third step when "a=1, b=2" is set, and the arrow indicates the labeling state. The numbers indicate the direction, the numbers indicate the label values of each cell, and the encircled numbers indicate the label values assigned in the second step of labeling.

【0015】ここで、セルAには1ステップ目でラベル
値「1」がふられ、セルBには次の2ステップ目でセル
Aのラベル値「1」にセルBの重み「b=2」を加えた
ラベル値「3」がふられている。そして、セルDには、
3ステップ目でセルBおよびセルCからそれぞれのラベ
ル値が伝えられるが、その値が小さい方のセルCからの
ラベル付けが実行されてラベル値「4」がふられること
になる。
Here, cell A is given a label value of "1" in the first step, and cell B is given a label value of "1" and a weight of cell B of "b=2" in the second step. ” is added and the label value is “3”. And in cell D,
In the third step, respective label values are transmitted from cell B and cell C, and labeling is performed from cell C, which has the smaller value, and a label value of "4" is assigned.

【0016】以後、この重みを用いたラベル付けが目的
点のセルTまで順次行なわれ、ラベル付けが終了した時
点でのラベル状態は図1(ウ)のようになる。続いて、
目的点セルTから出発点セルSに向かってラベル値が小
さくなるようなセルを順次たどっていくことにより、例
えば図示のような配線経路が求められる。
Thereafter, labeling using this weight is performed sequentially up to the destination cell T, and the label state at the time the labeling is completed is as shown in FIG. 1(c). continue,
By sequentially tracing cells with decreasing label values from the destination cell T to the starting point cell S, a wiring route as shown, for example, can be obtained.

【0017】[0017]

【作用】このように、格子状の配線平面でのラベル付け
に先だって、既存の配線パタ−ンから離れているセルの
重みよりも、この配線パタ−ンの近くにあるセルの方の
重みを大きく設定し、後者のセルのラベル値の方が必ず
大きくなるようにすることにより、既存の配線パタ−ン
の近くにあるセルが配線経路として用いられないように
してこの配線パタ−ンから所定長だけ離れた経路を、人
手による修正作業を行なうことなしに、計算機による自
動配線処理だけで求めている。
[Operation] In this way, prior to labeling on a grid-like wiring plane, the weights of cells near the existing wiring pattern are given more weight than the weights of cells far from the existing wiring pattern. By setting a large value and making sure that the label value of the latter cell is always larger, cells near the existing wiring pattern are not used as wiring routes, and a predetermined route is taken from this wiring pattern. Routes that are separated by the same length are determined using automatic wiring processing using a computer, without any manual correction work.

【0018】[0018]

【実施例】以下,図2を用いて本発明の実施例を説明す
る。図は、複数ネット(同電位点)間の自動配線を行な
うときのフローを示す説明図である。すなわち、■複数
ネット間の自動配線に用いるデ−タ、例えばネットリス
ト,既存の配線パタ−ンの位置デ−タや設計ルールを入
力し、次のステップに進む。■配線済セルから遠い領域
,配線済セルに近い領域それぞれにおける各セルの重み
aおよびbを設定し、次のステップに進む。なお、この
a,bの値は「a<b」となるように設定する。■配線
されていないネット間を指定し、次のステップに進む。 ■指定されたネットのそれぞれに対応した、配線平面上
の出発点セルから目的点セルまでのラベル付けを実行し
て両セル間の配線経路を求め(図1参照)、次のステッ
プに進む。■すべてのネットについての配線経路が求め
られたかどうかを判断し、「 YES」の場合は次のス
テップに進み、「NO」の場合はステップ■に戻る。■
求めた配線経路が所定の配線長になっているかどうかを
判断し、「 YES」の場合は次のステップに進み、「
NO」の場合はステップ■に戻る。■求めた配線経路を
出力する。といった手順により、所定の配線長の配線経
路を決定している。
[Embodiment] An embodiment of the present invention will be described below with reference to FIG. The figure is an explanatory diagram showing a flow when performing automatic wiring between multiple nets (same potential points). That is, 1) Input data used for automatic wiring between multiple nets, such as a net list, position data of existing wiring patterns, and design rules, and proceed to the next step. (2) Set the weights a and b of each cell in the area far from the wired cell and the area close to the wired cell, respectively, and proceed to the next step. Note that the values of a and b are set so that "a<b". ■Specify the unwired nets and proceed to the next step. (2) Execute labeling from the starting point cell to the destination point cell on the wiring plane corresponding to each of the specified nets to find the wiring route between both cells (see FIG. 1), and proceed to the next step. ■Determine whether wiring routes for all nets have been determined. If YES, proceed to the next step; if NO, return to step ■. ■
Determine whether the determined wiring route has the specified wiring length, and if ``YES'', proceed to the next step and select ``
If NO, return to step ■. ■Output the determined wiring route. Through these steps, a wiring route with a predetermined wiring length is determined.

【0019】ここで、配線対象が密なネット部分の配線
経路はその位置関係が相互に依存するため、求めた配線
経路が指定された配線長から外れたものになることがあ
るが、このような場合には、ステップ■に続いてステッ
プ■の処理を実行することにより、各セルの重みを更新
して、この更新後の重みを用いて再び自動配線処理を実
行している。
[0019] Here, since the positional relationships of the wiring routes in the net portion with dense wiring targets depend on each other, the determined wiring route may deviate from the specified wiring length. In this case, the weight of each cell is updated by executing the process of step (2) following step (2), and the automatic wiring process is executed again using the updated weight.

【0020】[0020]

【発明の効果】本発明は、配線平面における出発点セル
から目的点セルまでのラベル付けの際に用いる、各セル
の重みを既存の配線パターンとの距離を考慮した上で設
定しているので、自動配線処理の後で手作業による修正
処理を行わなくても、配線経路同士が近づきすぎてこれ
ら相互間でノイズ,クロストークなどが発生する率を下
げることができる。
[Effects of the Invention] According to the present invention, the weight of each cell used in labeling from the starting point cell to the destination point cell on the wiring plane is set in consideration of the distance from the existing wiring pattern. Even without performing manual correction processing after automatic wiring processing, it is possible to reduce the rate at which wiring routes become too close to each other and noise, crosstalk, etc. occur between them.

【0021】また、いったん求めた配線経路が指定され
た配線長から外れている場合には、各セルの重みを設定
しなおしてから自動配線処理を繰り返すようにしている
ため、最終的に求められる配線経路の中で配線長が指定
された長さになっているものの割合を高めることができ
る。
[0021] Furthermore, if the wiring route once determined is outside the specified wiring length, the weight of each cell is reset and then the automatic wiring process is repeated, so that the final It is possible to increase the proportion of wiring routes that have a specified wiring length.

【図面の簡単な説明】[Brief explanation of the drawing]

【図1】本発明の原理説明図である。FIG. 1 is a diagram explaining the principle of the present invention.

【図2】本発明の、複数ネット(同電位点)間の自動配
線を行なうときのフローを示す説明図である。
FIG. 2 is an explanatory diagram showing a flow when automatically wiring between multiple nets (same potential points) according to the present invention.

【図3】従来の、配線経路を決定する様子(迷路法)を
示す説明図である。
FIG. 3 is an explanatory diagram showing a conventional method of determining a wiring route (maze method).

【図4】従来の、人手による修正作業を行なった後の配
線経路を示す説明図である。
FIG. 4 is an explanatory diagram showing a wiring route after a conventional manual correction work has been performed.

【符号の説明】[Explanation of symbols]

101・・・配線平面 102・・・出発点セル 103・・・目的点セル 104・・・配線済セル(既存の配線パタ−ン)105
・・・隣接セル
101... Wiring plane 102... Starting point cell 103... Destination point cell 104... Wired cell (existing wiring pattern) 105
...adjacent cell

Claims (2)

【特許請求の範囲】[Claims] 【請求項1】  プリント基板やLSIチップなどの配
線平面を格子状に分割し、この配線平面の任意のセルの
ラベル値をその上下左右に位置する隣接セルに伝えて、
このラベル値に各隣接セルの重みを加算した新たなラベ
ル値をそれぞれの隣接セルにふるといったラベル付けを
出発点セルから順次行ない、このラベル付けの結果に基
づいて目的点セルから出発点セルに向かってラベル値が
小さくなるセルを順次たどっていくことにより、両セル
間の配線経路を求める自動配線方式において、前記重み
の値を、既存の配線パタ−ンが存在する配線済セルから
離れているセルよりも、この配線済セルの近くにあるセ
ルの方が大きくなるように、あらかじめ設定しておき、
ラベル付けの結果に基づいて求めた前記配線経路が、既
存の配線パタ−ンから所定数のセル分だけ離れた状態に
なるようにしたことを特徴とするラベル付けを用いた自
動配線方式。
1. A wiring plane of a printed circuit board, an LSI chip, etc. is divided into a grid pattern, and the label value of any cell on this wiring plane is transmitted to adjacent cells located above, below, to the left, and to the right.
A new label value obtained by adding the weight of each adjacent cell to this label value is applied to each adjacent cell. Labeling is performed sequentially from the starting point cell, and based on the result of this labeling, the destination cell is transferred to the starting point cell. In an automatic wiring method that finds a wiring route between two cells by sequentially tracing cells with decreasing label values, the weight value is set to a value that is different from a wired cell where an existing wiring pattern exists. Set in advance so that the cells near this wired cell are larger than the cells in the wired cell.
An automatic wiring method using labeling, characterized in that the wiring route determined based on the labeling result is separated from the existing wiring pattern by a predetermined number of cells.
【請求項2】  ラベル付けの結果に基づいて求められ
た配線経路の配線長が所定範囲にはいっていない場合に
は、各セルの重みを設定しなおしてから自動配線処理を
繰り返すようにしたことを特徴とする請求項1記載のラ
ベル付けを用いた自動配線方式。
[Claim 2] If the wiring length of the wiring route determined based on the labeling result is not within a predetermined range, the weight of each cell is reset and then the automatic wiring process is repeated. An automatic wiring system using labeling according to claim 1.
JP3054766A 1991-03-19 1991-03-19 Automatic wiring system using labeling Pending JPH04290170A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP3054766A JPH04290170A (en) 1991-03-19 1991-03-19 Automatic wiring system using labeling

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP3054766A JPH04290170A (en) 1991-03-19 1991-03-19 Automatic wiring system using labeling

Publications (1)

Publication Number Publication Date
JPH04290170A true JPH04290170A (en) 1992-10-14

Family

ID=12979899

Family Applications (1)

Application Number Title Priority Date Filing Date
JP3054766A Pending JPH04290170A (en) 1991-03-19 1991-03-19 Automatic wiring system using labeling

Country Status (1)

Country Link
JP (1) JPH04290170A (en)

Similar Documents

Publication Publication Date Title
US5615128A (en) Towards optimal steiner tree routing in the presence of rectilinear obstacles
US7017137B2 (en) Topological global routing for automated IC package interconnect
JP2753263B2 (en) Automatic wiring method of semiconductor integrated circuit
US20060112366A1 (en) Method and system for optimized automated IC package pin routing
JP2828026B2 (en) Automatic wiring method
JP2574996B2 (en) Routing method for differential current switch pair
JPH04290170A (en) Automatic wiring system using labeling
JP2005267302A (en) Wiring path determination method and system
US5825659A (en) Method for local rip-up and reroute of signal paths in an IC design
JPH04290171A (en) Automatic wiring system
JPH05243383A (en) Automatic wiring method
JP2771165B2 (en) Layout design method for semiconductor integrated circuit device
JP2986279B2 (en) Wiring method and printed circuit board design system
JPH033349A (en) Automatic wiring-method for semiconductor integrated circuit
JPH04148376A (en) Hierarchical arrangement processing system for printed circuit
JP3062149B2 (en) Automatic wiring method
JP2005310825A (en) Apparatus and method for clearance inspection
JPH04141778A (en) Hierarchical arrangement processing system
JP2620005B2 (en) Placement and wiring decision method
JP3755669B2 (en) A method for designing electronic devices using an automatic layout system that automatically routes a large number of nets.
JPH07296027A (en) Automatic bundle wiring route decision method for printed board
JPH0417354A (en) Automatic placement wiring system of semiconductor integrated circuit
JP3014736B2 (en) Split wiring method for printed wiring boards
JPH04211873A (en) Automatic wiring processing method
JP2000172736A (en) Integrated circuit layout designing device, integrated circuit layout designing method and storage medium with its control program recorded therein