JPH04188772A - Manufacture of semiconductor device - Google Patents

Manufacture of semiconductor device

Info

Publication number
JPH04188772A
JPH04188772A JP31596790A JP31596790A JPH04188772A JP H04188772 A JPH04188772 A JP H04188772A JP 31596790 A JP31596790 A JP 31596790A JP 31596790 A JP31596790 A JP 31596790A JP H04188772 A JPH04188772 A JP H04188772A
Authority
JP
Japan
Prior art keywords
amorphous silicon
insulating film
silicon
semiconductor
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP31596790A
Other languages
Japanese (ja)
Inventor
Hisashi Shindo
進藤 寿
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Canon Inc
Original Assignee
Canon Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Canon Inc filed Critical Canon Inc
Priority to JP31596790A priority Critical patent/JPH04188772A/en
Publication of JPH04188772A publication Critical patent/JPH04188772A/en
Pending legal-status Critical Current

Links

Abstract

PURPOSE:To inhibit the fluctuation of the threshold voltage of a MOS transistor, and to increase isolation voltage by previously forming uniform amorphous silicon onto a silicon semiconductor layer except a single crystal, which has the same face orientation, oxidizing amorphous silicon through a thermal oxidation method and forming a gate insulating film. CONSTITUTION:An amorphous silicon layer is shaped onto a base body 1 as an insulating film while the film thickness of the amorphous silicon layer is set previously in specified thickness enough for causing a sufficiently long oxidizing time when the oxidation of amorphous silicon reaching the subsequent interface of a silicon semiconductor is managed by a diffusion control, and amorphous silicon is oxidized through a thermal oxidation method. Consequently, amorphous silicon is deposited on a semiconductor layer 2 first and the uniform insulating film 5 can be formed on the whole surface even in the semiconductor substrate 1, in which the face orientation of a semiconductor crystal is not simply. Accordingly, the fluctuation of the threshold voltage of a MOS transistor is inhibited, and isolation voltage is increased.

Description

【発明の詳細な説明】 [産業上の利用分野] 本発明は、電界効果トランジスタ、特にS OI  (
Silicon on In5ulator)電界効果
トランジスタなどの半導体装置の製造法に関する。
[Detailed Description of the Invention] [Industrial Application Field] The present invention relates to field effect transistors, particularly SOI (
The present invention relates to a method for manufacturing semiconductor devices such as field effect transistors (Silicon on Inverter).

[従来の技術] 従来、電界効果トランジスタのゲート絶縁膜は、石英チ
ューブを外側から電気ヒータで800〜1200°C程
度に加熱するとともに前記チューブ内に02十82など
の酸化ガスを導入することにより酸化する熱酸化法、S
 i H−、A I C1s、TaCl5などの材料ガ
スと02.N20、N2.NH,などの反応ガスとを気
相反応させる化学蓋@(CVD)法、Si、Al、Ta
などの金属またはsio* 、Six N4 、A12
0x、Ta205などの金属化合物をArあるいはA 
r + 02 、 A r + N 2などのプラズマ
によりスパッタリングして1.5iOz 、Alx O
x、Ta*Os−などの絶縁膜を堆積するスパッタリン
グ法などを用いて形成されている。特に、上記熱酸化法
は良質な酸化シリコン膜を形成でき、また、上記絶縁膜
が半導体層との界面でのトラップ準位密度(界面準位密
度)が他の方法に比べて小さいなどの特徴がある。なお
、これらの方法以外にも陽極酸化法あるいはプラズマ酸
化法などの方法もある。
[Prior Art] Conventionally, the gate insulating film of a field effect transistor is formed by heating a quartz tube from the outside to about 800 to 1200°C with an electric heater and introducing an oxidizing gas such as 02-82 into the tube. Thermal oxidation method, S
i H-, A I C1s, TaCl5 and other material gases and 02. N20, N2. Chemical lid @ (CVD) method for gas phase reaction with reactive gases such as NH, Si, Al, Ta
Metals such as sio*, Six N4, A12
Ar or A metal compounds such as 0x and Ta205
1.5 iOz, AlxO by sputtering with plasma such as r + 02, A r + N2, etc.
It is formed using a sputtering method or the like to deposit an insulating film such as x, Ta*Os-, or the like. In particular, the thermal oxidation method described above can form a high-quality silicon oxide film, and the insulating film has a smaller trap level density (interface state density) at the interface with the semiconductor layer than other methods. There is. In addition to these methods, there are also methods such as anodic oxidation and plasma oxidation.

しかし、絶縁膜の膜質あるいは半導体層との界面特性な
どの面からシリコン単結晶MOSプロセスでのゲート絶
縁膜の形成には上述の熱酸化法が用いられ、その方法に
よる5iO−膜の単層、それとその他の製法による絶縁
膜の多層構造を採用することが多い。
However, due to the film quality of the insulating film and the interface characteristics with the semiconductor layer, the above-mentioned thermal oxidation method is used to form the gate insulating film in the silicon single crystal MOS process. A multilayer structure of insulating films using this and other manufacturing methods is often adopted.

[発明が解決しようとする課題] しかしながら、上記熱酸化法では、酸化する結晶の面方
位により酸化膜゛の成長速度に差ができる。これは、熱
酸化のメカニズムにおいて酸化の初期には酸化速度がシ
リコンと酸素の反応速度によって律速される(反応律速
)ので結晶の面方位により単位面積当りのシリコン原子
の数が異なるために酸化速度に差が生じるからである。
[Problems to be Solved by the Invention] However, in the thermal oxidation method described above, the growth rate of the oxide film varies depending on the plane orientation of the crystal to be oxidized. This is due to the fact that in the thermal oxidation mechanism, the oxidation rate is determined by the reaction rate between silicon and oxygen at the initial stage of oxidation (reaction rate-determined), and the number of silicon atoms per unit area differs depending on the plane orientation of the crystal. This is because there is a difference in

因に、1000°C,酸素分圧(PO,)=1の条件で
1000人の酸化を行った場合、最も酸化速度の差が大
きくなるSlの面方位が(100)と(11,1)にお
いては20%程度の酸化膜厚の差が出る。このため、S
O工基板において通常の熱酸化法ではSOI基板の中で
も全ての結晶が同一の面方位を持つ単結晶以外では、ゲ
ート絶縁膜を形成すると面方位により酸化速度が異なる
ため部分的に絶縁膜厚が異なり、MOSトランジスタの
閾値電圧(V th)が基板内で不均一な分布を持つ。
Incidentally, if 1000 people were oxidized at 1000°C and oxygen partial pressure (PO,) = 1, the plane orientation of Sl with the largest difference in oxidation rate was (100) and (11,1). There is a difference in oxide film thickness of about 20%. For this reason, S
When using the normal thermal oxidation method for O-etched substrates, in SOI substrates other than single crystal where all crystals have the same plane orientation, when forming a gate insulating film, the oxidation rate differs depending on the plane orientation, so the thickness of the insulating film may be partially reduced. Differently, the threshold voltage (V th) of a MOS transistor has a non-uniform distribution within the substrate.

そして、上記熱酸化法ではシリコン基板内に存在するシ
リコン表面近傍の結晶粒界による欠陥によって、熱酸化
膜の耐圧分布が影響を受ける。また、絶縁膜段差部分に
電界の集中で絶縁耐圧の劣化をもたらす。
In the thermal oxidation method described above, the breakdown voltage distribution of the thermal oxide film is affected by defects due to crystal grain boundaries near the silicon surface existing in the silicon substrate. Further, the concentration of electric field in the step portion of the insulating film causes deterioration of the dielectric strength voltage.

一方、CVD法あるいはスパッタリング法により形成し
たゲート絶縁膜は熱酸化法で形成した絶縁膜に比較して
結晶層と絶縁膜との界面準位密度が太き(、また、絶縁
膜自体の膜質(リーク電流、絶縁耐圧、絶縁膜中の固定
電荷密度、エツチングレートなと)も熱酸化法で形成し
たものに比べて劣ることが多い。
On the other hand, a gate insulating film formed by a CVD method or a sputtering method has a thicker interface state density between a crystal layer and an insulating film (as well as a higher quality of the insulating film itself) than an insulating film formed by a thermal oxidation method. The leakage current, dielectric strength, fixed charge density in the insulating film, etching rate, etc. are often inferior to those formed by thermal oxidation.

しかるに、上記熱酸化法においても、酸化反応が進み、
Sing腹中を酸素原子が熱拡散するような速度に酸化
速度が律速される時、その領域(拡散律速領域)では面
方位による酸化速度の差は出ない。この点を、以下の熱
酸化の一般式(Deal & Groveの式)に基い
て説明する。
However, even in the above thermal oxidation method, the oxidation reaction progresses,
When the oxidation rate is determined to such a rate that oxygen atoms thermally diffuse in the Sing region, there is no difference in the oxidation rate depending on the plane orientation in that region (diffusion-determined region). This point will be explained based on the following general formula for thermal oxidation (Deal &Grove's formula).

Xo       t+で 17! −=1+−□   −1(1) A/2     A”/4B 上記の式において z=  (x+  2+Ax+  )/Bx1 :初期
の酸化膜厚 xo :酸化後の酸化膜厚 t:酸化時間 A : 1inear rate constantB
 : parabolic rate constan
t(1)の式において酸化時間が長いとき、即ち、t>
A2/4Bであり、t)で(拡散律速)の時、(1)の
式は以下のようになる。
Xo t+ and 17! -=1+-□ -1(1) A/2 A''/4B In the above formula, z= (x+ 2+Ax+)/Bx1: Initial oxide film thickness xo: Oxide film thickness after oxidation t: Oxidation time A: 1inear rate constantB
: parabolic rate constant
In the equation of t(1), when the oxidation time is long, that is, t>
A2/4B, and when t) is (diffusion limited), equation (1) becomes as follows.

Xoj    l/2 A/2   A、”/4B あるいは xo2=Bt              (2)また
、酸化時間が短いとき、即ち、t<A2/4B(反応律
速)の時、(1)式は以下のようになる。
Xoj l/2 A/2 A, "/4B or xo2=Bt (2) Also, when the oxidation time is short, that is, when t<A2/4B (reaction rate limiting), equation (1) becomes as follows. Become.

Xo     1    t    ””A/2   
  2    A2/4Bあるいは Xa=     (を十τ)      (3)従って
、例えば、1000°C1酸素100%での熱酸化にお
いては(3)式の反応律速により完全に支配されるのは
300人の厚さの範囲であり、もし、ゲート絶縁膜がS
i 02であるとき、その厚さが300Å以上であれば
、その後の熱酸化が完全に拡散律速に支配され、上記反
応律速には支配されないのである。換言すれば、上述の
ように絶縁膜の厚さが十分に厚いと、成る厚さを越えた
位置では酸化の進行状態が変わり、面方位による酸化膜
厚の依存性が少なくなるのである。
Xo 1 t ””A/2
2 A2/4B or Xa = (10τ) (3) Therefore, for example, in thermal oxidation at 1000°C and 100% oxygen, the rate of reaction in equation (3) is completely controlled by the thickness of 300 people. If the gate insulating film is
When i 02 and the thickness is 300 Å or more, the subsequent thermal oxidation is completely controlled by diffusion and not by the reaction rate. In other words, if the thickness of the insulating film is sufficiently thick as described above, the progress of oxidation changes at a position beyond the thickness, and the dependence of the oxide film thickness on the surface orientation becomes less.

[課題を解決するための手段] 本発明は上記事情に基いてなされたもので、結晶が同一
の面方位を持つ単結晶以外のシリコン半導体層上に予め
、巨視的にみれば均一である非晶質シリコンを形成して
おき、この非晶質シリコン層を熱酸化法により酸化し、
ゲート絶縁膜を形成することで、結晶との界面準位密度
の少ない良質なSiO2膜を基板内において形成する半
導体装置の製造法を提供しようとするものである。
[Means for Solving the Problems] The present invention has been made based on the above circumstances, and is based on the above-mentioned circumstances. Crystalline silicon is formed in advance, and this amorphous silicon layer is oxidized using a thermal oxidation method.
The present invention aims to provide a method for manufacturing a semiconductor device in which a high-quality SiO2 film with a low interface state density with a crystal is formed in a substrate by forming a gate insulating film.

[実施例コ 以下、本発明を図示の実施例にもとすいて具体的に説明
する。第1図には本発明の一例として、MOS型トラン
ジスタの断面が示されている。ここで、符号1は絶縁基
体であり、その上層に半導体層2が堆積されている。前
記半導体層2上には非晶質シリコン層4及びゲート5i
O2plJ5が堆積されている。
[Embodiments] Hereinafter, the present invention will be explained in detail with reference to illustrated embodiments. FIG. 1 shows a cross section of a MOS transistor as an example of the present invention. Here, reference numeral 1 is an insulating substrate, on which a semiconductor layer 2 is deposited. On the semiconductor layer 2 is an amorphous silicon layer 4 and a gate 5i.
O2plJ5 is deposited.

次に、本発明による3 10 g膜の形成方法を、具体
的な例を挙げて説明する。
Next, a method for forming a 3 10 g film according to the present invention will be explained using a specific example.

[実施例1] (1)第2図に示すように石英ガラス基板11を素子形
成領域のみ4000人の深さでエツチングした後、核形
成面となるべきSi、N、層101を500人の厚さで
堆積する。次に、非核形成面となるべきSin2層10
2を500人の厚さで常圧CVD法により堆積した後、
素子形成領域の中心部に2μm角でSin、層のみをエ
ツチングする。
[Example 1] (1) As shown in FIG. 2, after etching the quartz glass substrate 11 only in the element formation region to a depth of 4,000 mm, the Si, N layer 101, which is to become the nucleation surface, is etched by 500 mm. Deposits in thickness. Next, the Sin2 layer 10 which should become a non-nucleation surface
2 was deposited to a thickness of 500 cm by normal pressure CVD,
At the center of the element forming region, only a 2 μm square layer of Sin is etched.

(2)この基板をCVD装百に投石し、 ]50Tor
r、1050°C,5iH2C12/HCI/H2: 
 0.53/ 1. 6/ 100 (1/min )
で結晶形成処理すると第2図に示すように高さ約250
μm、直径40μmの山形のSi単結晶12が各核形成
面を起点として形成される。
(2) Throw this board into the CVD chamber and set it to 50 Tor.
r, 1050°C, 5iH2C12/HCI/H2:
0.53/1. 6/100 (1/min)
When treated with crystal formation, the height is about 250 mm as shown in Figure 2.
A chevron-shaped Si single crystal 12 with a diameter of 40 μm and a diameter of 40 μm is formed starting from each nucleation surface.

(3)その後、SfO□のコロイダルシリカ(平均粒径
0,01μm)を含んだ加工液を用いて通常用いられる
シリコンウェハの表面研磨装置にて圧力220g/cm
2、温度30〜40°Cの範囲で研磨する。この結果、
第3図に示すようにシリコン単結晶の研磨はシリコン単
結晶が素子形成領域の外のS j、 02膜と同じ高さ
になったところで研磨が停止され、膜厚4000人± 
200人の平坦なSi単結晶層が得られる。
(3) Then, using a processing liquid containing SfO□ colloidal silica (average particle size 0.01 μm), the surface of the silicon wafer is polished at a pressure of 220 g/cm using a commonly used silicon wafer surface polishing device.
2. Polish at a temperature of 30 to 40°C. As a result,
As shown in FIG. 3, polishing of the silicon single crystal was stopped when the silicon single crystal reached the same height as the S j, 02 film outside the element forming area, and the film thickness was 4000 mm.
200 flat Si single crystal layers are obtained.

(4)次に第4図に示すように、半導体層12の上層に
減圧CVD装置を用いてS I Ha=50(sccm
) 、 550°Cで12分堆積させることにより非晶
質シリコン層14を2000人の厚さで堆積させるので
ある。
(4) Next, as shown in FIG. 4, the upper layer of the semiconductor layer 12 is coated with S I Ha = 50 (sccm
), the amorphous silicon layer 14 is deposited to a thickness of 2000 nm by depositing at 550° C. for 12 minutes.

(5)そして、第5図に示すように前記基体を石英管内
で02雰囲気、1000°C125分の熱酸化を行うこ
とにより非晶質シリコン層14を酸化し、 450人の
ゲートS i Ox膜15を形成する。
(5) Then, as shown in FIG. 5, the amorphous silicon layer 14 is oxidized by thermally oxidizing the substrate in a quartz tube at 1000° C. for 125 minutes in an atmosphere of 0.02° C. to form a gate SiOx film of 450 people. form 15.

(6)この後は第6図に示すように、通常のMO3製造
プロセスと同じに、減圧CVD法によってpoly−3
iを堆積させた後、”P’  (リン)を加速電圧70
keVで8X 10”c m−”注入し、バターニング
することによりゲート電極16を形成し、その後、前記
ゲート電極をマスクとして”P”  (リン)を加速電
圧95keVで2X 10”cm−2注入し、更に95
0°C130分の熱処理を行い、ソース、ドレイン領域
13.13−を形成する。
(6) After this, as shown in Figure 6, the poly-3
After depositing "P" (phosphorus) at an accelerating voltage of 70
A gate electrode 16 is formed by implanting 8X 10"cm-" at keV and patterning, and then implanting "P" (phosphorus) at 2X 10"cm-2 at an acceleration voltage of 95keV using the gate electrode as a mask. And then another 95
Heat treatment is performed at 0° C. for 130 minutes to form source and drain regions 13.13-.

(7)次に第7図のように、層間絶縁膜17として常圧
CVD法によりPSG膜6000人を堆積した後、コン
タクトホールを形成し、スパッタリング法によりAl−
5i(1%)を1μm堆積し、その後、パターニングす
ることにより配線18を形成する。そして、最後に保護
膜19として、常圧CVD法によりPSG膜6000人
を堆積するのである。
(7) Next, as shown in FIG. 7, after depositing 6,000 PSG films as the interlayer insulating film 17 by atmospheric pressure CVD, contact holes were formed, and Al-
5i (1%) is deposited to a thickness of 1 μm, and then patterned to form the wiring 18. Finally, as a protective film 19, 6000 PSG films are deposited by atmospheric pressure CVD.

以上の工程で形成したMO3I−ランジスタのゲート絶
縁膜は4インチウェハ内での膜厚分布は500人±20
人と良好な均一性を示し、閾値電圧の分布ま±50mV
以内であり、界面準位密度は2、  Ox 10”c 
m−2eV−’であった。また、絶縁耐圧に関してもI
OMV/cm”以上と良好な結果を示した。
The gate insulating film of the MO3I-transistor formed by the above process has a film thickness distribution of 500 ± 20 mm within a 4-inch wafer.
It shows good uniformity with humans, and the distribution of threshold voltage is ±50 mV.
and the interface state density is 2, Ox 10”c
m-2 eV-'. Also, with regard to dielectric strength, I
Good results were shown with OMV/cm" or more.

[実施例2) (1)石英ガラス基板上に減圧CVD法により 800
人のpoly−3iを堆積させた後、Siをイオン打ち
込みすることにより、非晶質化させる。
[Example 2] (1) 800 g
After depositing human poly-3i, it is made amorphous by implanting Si ions.

(2)次に前記非晶質シリコン層を4μmピッチでlu
mX1μmの大きさにパターニングした後N2雰囲気で
600°C1100時間のアニールを行うことにより固
相成長させる。
(2) Next, the amorphous silicon layer is formed at a pitch of 4 μm.
After patterning to a size of m×1 μm, solid phase growth is performed by annealing at 600° C. for 1100 hours in an N2 atmosphere.

(3)次に選択エピタキシャル成長を用いて、SiH4
とMCIガスを原料ガスとしてSiを2μm堆積させる
(3) Next, using selective epitaxial growth, SiH4
Si is deposited to a thickness of 2 μm using MCI gas and MCI gas as raw material gases.

(4)その後前記の単結晶をミラーポリッシュ法により
3000人まで研磨した。これにより石英ガラス基板上
に4μmピッチで厚さ3000人のメツシュ状の単結晶
層が形成される。
(4) Thereafter, the single crystal was polished by a mirror polishing method to a depth of 3,000. As a result, a mesh-like single crystal layer having a thickness of 3000 wafers is formed at a pitch of 4 μm on the quartz glass substrate.

(5)次に上記基体にイオン注入法により、シリコンイ
オン15keV、 5X 10”c m −2で半導体
層の表面から200人を非晶質化させる。
(5) Next, the surface of the semiconductor layer is made amorphous by ion implantation using silicon ions at 15 keV and 5×10” cm −2 into the substrate.

(6)その後、実施例)と同じように、石英管内で02
雰囲気1000°C125分の熱酸化を行うことにより
前記非晶質シリコン層を酸化し、450人のSiO□膜
を形成する。
(6) Then, in the same way as in Example), 02
The amorphous silicon layer is oxidized by performing thermal oxidation at 1000° C. for 125 minutes in an atmosphere to form a 450-layer SiO□ film.

(7)その後、実施例1と同様に通常のMO8製造プロ
セスを用いてゲート電極を形成した後、”P’  (リ
ン)をイオン注入することによりソース/ドレイン領域
を形成する6次に層間絶縁膜としてPSG膜を6000
人堆積させ、コンタクトホールを形成する。その後Al
−5i (1%)により配線を形成し、最後に保護膜と
してプラズマCVD法によりSiN膜8000人を堆積
する。
(7) After that, as in Example 1, a gate electrode is formed using the normal MO8 manufacturing process, and then a source/drain region is formed by ion-implanting "P" (phosphorous). 6000 PSG film as the film
Deposit and form contact holes. Then Al
-5i (1%) to form wiring, and finally, as a protective film, an SiN film of 8,000 layers is deposited by plasma CVD.

以上の工程で形成したMO3I−ランジスタのゲート絶
縁膜は実施例】と同様の膜厚分布、閾値電圧分布、界面
準位密度、絶縁耐圧を示している。
The gate insulating film of the MO3I-transistor formed through the above steps exhibits the same film thickness distribution, threshold voltage distribution, interface state density, and dielectric strength voltage as in Example.

[実施例3] (これはpoly−5i  TFTの例)(1)石英ガ
ラス基板上に減圧CVD法を用いてpoly−5iを3
000人堆積させる。
[Example 3] (This is an example of poly-5i TFT) (1) Poly-5i was deposited on a quartz glass substrate using a low pressure CVD method.
Deposit 000 people.

(2)次に実施例】と同様に半導体層の上層にCVD装
置を用いてS i H4/ 400 (seem)、5
50°Cで27分堆積させることにより非晶質シリコン
膜を450人堆積する。
(2) Next, as in Example, the upper layer of the semiconductor layer was coated with Si H4/400 (seem), 5
Deposit 450 amorphous silicon films by depositing at 50°C for 27 minutes.

(3)その後、前記基体を石英管内で02雰囲気100
0°C135分の熱酸化を行うことにより1000人の
S i O2膜を形成する。
(3) After that, the substrate was placed in a quartz tube under an atmosphere of 100
A 1000-layer SiO2 film is formed by thermal oxidation at 0°C for 135 minutes.

(4)その後、実施例1と同様に通常のMO8製造プロ
セスを用いてゲート電極を形成した後、”P”  (リ
ン)をイオン注入することによりソース/ドレイン領域
を形成する。次に眉間絶縁膜としてPSG膜を6000
人堆積させ、コンタクトホールな形成する。その後Al
−3i(1%ンにより配線を形成し、最後に保護膜とし
てプラズマCVD法によりSiN膜8000人を堆積す
る。
(4) Thereafter, as in Example 1, a gate electrode is formed using the usual MO8 manufacturing process, and then source/drain regions are formed by ion-implanting "P" (phosphorus). Next, a 6000mm PSG film was used as the insulating film between the eyebrows.
Deposit and form contact holes. Then Al
Wiring is formed using -3i (1%), and finally, a SiN film of 8,000 layers is deposited as a protective film by plasma CVD.

以上の工程で形成したMO5I−ランジスタのゲート絶
縁膜は4インチウェハ内での膜圧分布が1000人±2
0人と良好な均一性を示し、閾値電圧の分布も±50m
V以内である。また、絶縁耐圧に関してもIcIM V
/ c m ” u上と良好な結果を示している。
The gate insulating film of the MO5I-transistor formed through the above process has a film pressure distribution of 1000 ± 2 within a 4-inch wafer.
It shows good uniformity with 0 people and the threshold voltage distribution is ±50m.
It is within V. Also, with regard to dielectric strength, IcIM V
/ cm ” u shows good results.

[発明の効果] 本発明は以上詳述したようになり、ウェハ全面において
半導体結晶の面方位が単一でないようなSOI基板にて
も、初めに前記半導体層の上に非晶質シリコン層を形成
したあと、上記非晶質シリコンを熱酸化することにより
、ゲート絶縁膜を形成するので、全面に均一な絶縁膜の
形成が可能となり、MOSデバイスの閾値電圧の変化が
小さく、絶縁耐圧に優れたゲート絶縁膜を得ることがで
きる。
[Effects of the Invention] The present invention has been described in detail above, and even in an SOI substrate in which the plane orientation of the semiconductor crystal is not uniform over the entire wafer surface, an amorphous silicon layer is first formed on the semiconductor layer. After formation, the gate insulating film is formed by thermally oxidizing the amorphous silicon, making it possible to form a uniform insulating film over the entire surface, resulting in small changes in the threshold voltage of the MOS device and excellent dielectric strength. A gate insulating film can be obtained.

【図面の簡単な説明】[Brief explanation of drawings]

第1図は本発明の一実施例を説明するだめのMO3型ト
ランジスタの縦断側面図、第2図乃至第7図はその製造
工程を示す断面図である。 1・・・絶縁基体 11・・・石英基板 2.12・・・半導体層 3、】3・・・ソース、ドレイン領域 4.14・・・非晶質シリコン層 5.15・・・ゲート5iO−膜 6.16・・・ゲート電極 7.17・・・層間絶縁膜 8.18・・・A1配線 9.19・・・保護膜 101・・・5iaN4 102・・・SiO2 代理人  弁理士  山 下 穣 平 箔1図 第2図 第3図 +2                102第4図 第5図 第6図 lpl
FIG. 1 is a longitudinal sectional side view of a MO3 type transistor for explaining one embodiment of the present invention, and FIGS. 2 to 7 are sectional views showing the manufacturing process thereof. 1... Insulating base 11... Quartz substrate 2.12... Semiconductor layer 3, ]3... Source, drain region 4.14... Amorphous silicon layer 5.15... Gate 5iO -Membrane 6.16...Gate electrode 7.17...Interlayer insulating film 8.18...A1 wiring 9.19...Protective film 101...5iaN4 102...SiO2 Agent Patent attorney Mt. 102 Figure 4 Figure 5 Figure 6 lpl

Claims (1)

【特許請求の範囲】 1)シリコン半導体層を有する基体に絶縁膜を堆積した
あと、前記シリコン半導体を酸化することでゲート絶縁
膜を形成する半導体装置の製造法において、上記絶縁膜
として上記基体上に非晶質シリコン層を形成するととも
に、その膜厚を予め、その後のシリコン半導体の界面に
至る上記非晶質シリコンの酸化が拡散律速に支配される
十分長い酸化時間をもたらすに足る所定の厚さに設定し
、そして、前記非晶質シリコンを熱酸化法で酸化するこ
とを特徴とする半導体装置の製造法 2)上記非晶質シリコンの形成には化学蒸着法あるいは
スパッタリング法を用いてなされることを特徴とする請
求項1に記載の半導体装置の製造法 3)上記非晶質シリコンは上記半導体層にシリコンイオ
ンを注入することで形成されることを特徴とする請求項
1に記載の半導体装置の製造法
[Scope of Claims] 1) A method for manufacturing a semiconductor device in which a gate insulating film is formed by depositing an insulating film on a substrate having a silicon semiconductor layer and then oxidizing the silicon semiconductor, wherein the insulating film is formed on the substrate. An amorphous silicon layer is formed on the substrate, and its thickness is set in advance to a predetermined thickness sufficient to provide a sufficiently long oxidation time for the subsequent oxidation of the amorphous silicon to the interface of the silicon semiconductor to be governed by diffusion control. 2) The amorphous silicon is formed using a chemical vapor deposition method or a sputtering method. 3) The method for manufacturing a semiconductor device according to claim 1, wherein the amorphous silicon is formed by implanting silicon ions into the semiconductor layer. Manufacturing method for semiconductor devices
JP31596790A 1990-11-22 1990-11-22 Manufacture of semiconductor device Pending JPH04188772A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP31596790A JPH04188772A (en) 1990-11-22 1990-11-22 Manufacture of semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP31596790A JPH04188772A (en) 1990-11-22 1990-11-22 Manufacture of semiconductor device

Publications (1)

Publication Number Publication Date
JPH04188772A true JPH04188772A (en) 1992-07-07

Family

ID=18071742

Family Applications (1)

Application Number Title Priority Date Filing Date
JP31596790A Pending JPH04188772A (en) 1990-11-22 1990-11-22 Manufacture of semiconductor device

Country Status (1)

Country Link
JP (1) JPH04188772A (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933576B2 (en) 2003-03-04 2005-08-23 Renesas Technology Corporation Semiconductor device including a predetermined film formed at a border between dielectric films

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6933576B2 (en) 2003-03-04 2005-08-23 Renesas Technology Corporation Semiconductor device including a predetermined film formed at a border between dielectric films

Similar Documents

Publication Publication Date Title
JP2005101650A (en) Forming method of ferroelectric memory circuit
JPH0773101B2 (en) Plasma enhanced chemical vapor deposition
JPH03198340A (en) Manufacture of semiconductor device
KR100392120B1 (en) Method for forming polycrystalline silicon film
JPH04250669A (en) Polysilicon thin film semiconductor device
JPH0556872B2 (en)
JP4955848B2 (en) Substrate manufacturing method for electronic device
JPH04188772A (en) Manufacture of semiconductor device
JPH08125197A (en) Method and system for fabricating semiconductor device
JP3443909B2 (en) Semiconductor film forming method, semiconductor device manufacturing method, and semiconductor device
JPS61137370A (en) Manufacture of mos semiconductor device
JPH04188771A (en) Manufacture of semiconductor device
US7329589B2 (en) Method for manufacturing silicon-on-insulator wafer
JP3466638B2 (en) Thin film transistor and method of manufacturing the same
TWI291718B (en) Active matrix display devices and the manufacture thereof
KR100333376B1 (en) Method for manufacturing gate in semiconductor device
JPH06232117A (en) Formation of insulating film and manufacture of semiconductor device using this film
JP3009928B2 (en) Method for manufacturing thin film transistor
JP2001085424A (en) Method of manufacturing semiconductor device
JP2003178990A (en) Substrate heat treatment method, method of manufacturing semiconductor device, chemical vapor deposition method and display
JP2001210835A (en) Thin film transistor and method of manufacturing it
JPH022633A (en) Manufacture of mis field effect semiconductor device
JP4023367B2 (en) Semiconductor film forming method and semiconductor film manufacturing method
JPS61203682A (en) Semiconductor device and manufacture thereof
JPH0778991A (en) Semiconductor device and fabrication thereof