JPH033348A - Designing method for lsi - Google Patents

Designing method for lsi

Info

Publication number
JPH033348A
JPH033348A JP1138263A JP13826389A JPH033348A JP H033348 A JPH033348 A JP H033348A JP 1138263 A JP1138263 A JP 1138263A JP 13826389 A JP13826389 A JP 13826389A JP H033348 A JPH033348 A JP H033348A
Authority
JP
Japan
Prior art keywords
power consumption
lsi
lsi chip
basic unit
chip
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP1138263A
Other languages
Japanese (ja)
Inventor
Masayasu Otani
尾谷 昌康
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Toshiba Corp
Original Assignee
Toshiba Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Toshiba Corp filed Critical Toshiba Corp
Priority to JP1138263A priority Critical patent/JPH033348A/en
Publication of JPH033348A publication Critical patent/JPH033348A/en
Pending legal-status Critical Current

Links

Landscapes

  • Design And Manufacture Of Integrated Circuits (AREA)

Abstract

PURPOSE:To realize the automatic design of a highly reliable LSI by estimating power consumption of each basic unit to be arranged in an LSI chip, and arranging each of the basic units in accordance with said power consumption so as to uniformize the power consumption distribution in the LSI chip. CONSTITUTION:In a designing method of LSI, a plurality of cells or function blocks called as basic units are arranged in an LSI Chip 7. In this designing method, a first step and a second step are provided. In the first step, the power consumption of each basic unit arranged in the LSI chip 7 is estimated, in accordance with a test pattern 4 for testing the LSI chip 7 and the generation frequency of the test pattern 4. In the second step, each of the above basic units is so arranged that the power consumption distribution in the LSI Chip 7 is uniformized, in accordance with the power consumption of each basic unit estimated by the first step. Thereby it can be prevented that a region where a lot of heat locally generates is formed in the LSI chip, so that a highly reliable LSI can be automatically designed.

Description

【発明の詳細な説明】 〔発明の目的〕 (産業上の利用分野) 本発明はLSIチップ内に複数個のセルまたは機能ブロ
ックと呼ばれる基本ユニットが配置されるLSIを設計
するLSIの設計方法に関する。
Detailed Description of the Invention [Object of the Invention] (Industrial Application Field) The present invention relates to an LSI design method for designing an LSI in which a plurality of cells or basic units called functional blocks are arranged in an LSI chip. .

(従来の技術) ゲートアレイやスタンダードセルと呼ばれる十導体集積
回路(LSI)は、一般に第3図に示すようにチップ3
0上にセル31aまたは機能ブロック(マクロセルとも
いう)31bと呼ばれる基本ユニット31を配置し、そ
れらの間をアルミ(またはポリシリコン)配線で結線さ
れることによって構成されている。
(Prior art) A ten-conductor integrated circuit (LSI) called a gate array or standard cell generally has three chips as shown in FIG.
A basic unit 31 called a cell 31a or a functional block (also referred to as a macro cell) 31b is placed on the cell 31a, and the cells are connected by aluminum (or polysilicon) wiring.

このようなLSIは、計算機を用いて自動的に設計され
るのが通例である。なかでもチップ3゜内でセル31a
および機能ブロック31bの配置を行ったり、それらの
間の配線を行うことについてはいくつもの方法が提案さ
れている(rLsI−CAD (II)−LS Iのレ
イアウトCAD−J、電子情報通信学会誌、Vol、7
1.No、l、pp80−87(1988)参照)。こ
れらの方法においては、各信号の配線長をなるべく短く
するとともに完成されるチップがなるべく小さい面積で
実現されることに重点が置かれていた。
Such LSIs are usually designed automatically using a computer. Among them, cell 31a within 3° of the chip
A number of methods have been proposed for arranging the functional blocks 31b and wiring between them (rLsI-CAD (II)-LSI Layout CAD-J, Journal of the Institute of Electronics, Information and Communication Engineers, Vol.7
1. No. 1, pp. 80-87 (1988)). In these methods, emphasis has been placed on reducing the wiring length of each signal as much as possible and realizing the completed chip in as small an area as possible.

さらに近年では、特定されたいくつかの信号について、
その配線による遅延が定められた制限値内に収まるよう
に各基本ユニットを配置し、これらの基本ユニット間を
配線する設計方法も提案されている。
Furthermore, in recent years, some signals have been identified.
A design method has also been proposed in which each basic unit is arranged and wired between these basic units so that the delay due to wiring is within a predetermined limit value.

(発明が解決しようとする課題) このように従来の設計方法においては、チップ面積およ
び各信号の遅延が重要な項目として扱われているが、そ
の他の要素に対してほとんど考慮されていない。特に電
源関係の配線やチップ消費電力についての考慮がされて
いるものは見当らない。ところが、今後プロセス技術が
進歩しパターンの最小幅が0.8μm〜0.5μmとな
って(るとチップの発熱による配線のストレス・マイグ
レーションを防止することがLSIの信頼性向上のため
必要となる。しかるに、従来の設計方法では、このよう
な考慮を欠いているので、以ドのような不具合を生じる
恐れがある。
(Problems to be Solved by the Invention) As described above, in the conventional design method, the chip area and the delay of each signal are treated as important items, but other factors are hardly considered. I can't find anything that takes into account power supply-related wiring or chip power consumption. However, as process technology advances in the future, the minimum pattern width will become 0.8 μm to 0.5 μm (as a result, it will be necessary to prevent wiring stress and migration due to chip heat generation to improve LSI reliability. However, since conventional design methods lack such consideration, the following problems may occur.

第4図において、斜線を施したセルは、多数のセル43
を駆動するバッファセル41と呼ばれるもので、このよ
うなバッファセル41は消費電力が多く発熱量も大きい
。第4図に示すようにこれらのバッファセル41が、配
線長最小化の目的のためにチップ40内の限られた領域
に集中して配置されるとすると(従来の設計方法では充
分あり得る)、この部分が高い発熱;をもつため、周囲
の配線およびこれらのバッファセル41内のパターンも
が溶融を起こし断線する恐れがある。このようなバッフ
ァセル41を第5図に示すように分散して配置した方が
、配線長の上では長くはなるが、LSIの信頼性の上か
らは望ましい。しかし従来の設計方法では、このような
配置を得るために、設計者の介入により配置の修正、ま
たは配置前に強制配置指定をするなどして対応する以外
になく、これは設計期間を長びかせる原因ともなってい
た。
In FIG. 4, cells with diagonal lines indicate a large number of cells 43.
This type of buffer cell 41 consumes a lot of power and generates a large amount of heat. Assume that these buffer cells 41 are arranged in a concentrated manner in a limited area within the chip 40 for the purpose of minimizing the wiring length as shown in FIG. 4 (this is quite possible with conventional design methods). Since this portion generates a high amount of heat, there is a risk that the surrounding wiring and the patterns within these buffer cells 41 may also melt and become disconnected. Distributing such buffer cells 41 as shown in FIG. 5 is preferable from the viewpoint of reliability of the LSI, although the wiring length becomes longer. However, in conventional design methods, in order to obtain such a placement, the designer has no choice but to intervene by modifying the placement or specifying a forced placement before placement, which lengthens the design period. It was also the cause of this.

本発明は上記事情を考慮してなされたものであって、信
頼性の高いLSIを自動的に設計することのできるLS
Iの設計方法を提供することを目的とする。
The present invention has been made in consideration of the above circumstances, and is an LS that can automatically design a highly reliable LSI.
The purpose of this paper is to provide a design method for I.

〔発明の構成〕[Structure of the invention]

(課題を解決するための手段) 本発明は、LSIチップ内に複数個のセルまたは機能ブ
ロックと呼ばれる基本ユニットが配置されるLSIを設
計するLSIの設計方法において、LSIチップをテス
トするテストパターンおよびこのテストパターンの発生
頻度に基づいてLSIチップ内に配置される各基本ユニ
ットの消費電力をr測する第1のステップと、この第1
のステップによって予測される各基本ユニットの消費電
力に基づいてLSIチップ内の消費電力予測が均一にな
るように各基本ユニットを配置する第2のステップとを
備えていることを特徴とする。
(Means for Solving the Problems) The present invention provides a test pattern for testing an LSI chip and a A first step of measuring the power consumption of each basic unit arranged in the LSI chip based on the frequency of occurrence of this test pattern;
and a second step of arranging each basic unit so that the power consumption within the LSI chip is predicted to be uniform based on the power consumption of each basic unit predicted in the step.

(作 用) このように構成された本発明のLSIの設工1方法によ
れば、LSIチップ内に配置される各基本ユニットの消
費電力が第1のステップによってr・Dlされ、このP
測された各基本ユニットの消費電力に岳づいてLSIチ
ップ内の消費電力性IH4が均一になるように各基本ユ
ニットが第2のステップによって配置される。
(Function) According to the first method of constructing an LSI of the present invention configured as described above, the power consumption of each basic unit arranged in the LSI chip is reduced by r.Dl in the first step, and this P
In the second step, each basic unit is arranged so that the power consumption IH4 within the LSI chip is uniform based on the measured power consumption of each basic unit.

これにより、局所的に高発熱領域がLSIチップ内に形
成されることを防止することが可能となり、信頼性の高
いLSIを自動的に設計することができる。
As a result, it is possible to prevent a locally high heat generation region from being formed within the LSI chip, and a highly reliable LSI can be automatically designed.

(実施例) 第一1図に本発明によるLSIの設計方法を実施する装
置の一具体例を示す。この具体例の装置は、LSIチッ
プ内のセルまたは機能ブロックと呼ばれる基本ユニット
のそれぞれの消費電力を]−4Illする消費電力予測
手段1と、各基本ユニットの1’ 711+された消費
電力に基づいて各基本ユニットをLSIチップ7内に配
置し、それらの各基本ユニット間を配線する配置・配線
手段とを備えている。
(Example) FIG. 11 shows a specific example of an apparatus for implementing the LSI design method according to the present invention. The device of this specific example includes a power consumption prediction means 1 which calculates the power consumption of each basic unit called a cell or a functional block in an LSI chip by ]-4Ill, and a power consumption prediction means 1 which calculates the power consumption of each basic unit called 1'711+ of each basic unit. Each basic unit is arranged in the LSI chip 7, and arrangement/wiring means for wiring between the basic units is provided.

消費電力T測手段1での消費電力予測の基本的な考え方
をCMOS回路を例にとって説明する。
The basic concept of power consumption prediction by the power consumption T measuring means 1 will be explained by taking a CMOS circuit as an example.

CMOS回路の基本単位であるインバータの消費電力P
Invは、 で与えられることが知られている。ここでCLは負荷容
量、■DDは電源電圧、f、は入力信号の周波数である
。一般のCMOS回路はCMOSインバータの変形とし
て実現されるから、CMOSセル(又は機能ブロック)
の消費電力はだいたい入力信号の周波数(すなわち1秒
間の人力信号の変化回数)に比例すると考えられる。こ
考え方は大雑把な見積りになるが大きくは狂わない。各
セルについて、この比例係数をあらかじめ測定しておき
、ライブラリ3に登録しておく。そして消費電力予測手
段1は、与えられたテストパターン4に基づいて与えら
れたLS1回路に等価な接続データ5を用いてLS1回
路をシミュレーションし、LSI回路内の各セル(又は
機能ブロック)毎に入力信号の変化する回数(1秒当り
)を算出する。
Power consumption P of an inverter, which is the basic unit of a CMOS circuit
Inv is known to be given by. Here, CL is the load capacity, DD is the power supply voltage, and f is the frequency of the input signal. A general CMOS circuit is realized as a modification of a CMOS inverter, so a CMOS cell (or functional block)
The power consumption is considered to be approximately proportional to the frequency of the input signal (ie, the number of changes in the human input signal per second). This way of thinking will give you a rough estimate, but it won't go far wrong. For each cell, this proportionality coefficient is measured in advance and registered in the library 3. Then, the power consumption prediction means 1 simulates the LS1 circuit using the connection data 5 equivalent to the given LS1 circuit based on the given test pattern 4, and calculates each cell (or functional block) in the LSI circuit. Calculate the number of times the input signal changes (per second).

なおシミュレーションの手法は周知であり、例えば次の
参考文献「超LSICADの基礎」、可児他、第4章p
p96−99、オーム社(1983)、に示されている
The simulation method is well known, for example, the following reference: "Fundamentals of VLSI CAD", Kani et al., Chapter 4, p.
p96-99, Ohmsha (1983).

この結果与えられたテストパターンT、に対し、各セル
C毎に入力信号の変化回数f1j(C)が算出されるの
で、このテストパターンT、によるセルCの消費電力P
  (T、)は J P  (T、)−f   (C)Xp (C)    
・・・・・・(2)c、ITj と=l算される。ここでp (C)は入力信号の変化1
回当りのセルCの消費電力である。これを各テストパタ
ーンT、に対してシミュレーションを行って算出し、最
終的なセルCの消費電力の予Δl11直Pを として求める。ここでnはテストパターンの数、r、は
テストパターンT、の発生開度である。な3     
            Jお、r、は、0≦rj≦1
.ル rj−1を満だす。
As a result, for the given test pattern T, the number of input signal changes f1j(C) is calculated for each cell C, so the power consumption P of the cell C due to this test pattern T
(T,) is J P (T,)-f (C)Xp (C)
(2) c, ITj and =l are calculated. Here p (C) is the change in input signal 1
This is the power consumption of cell C per cycle. This is calculated by performing a simulation for each test pattern T, and the final power consumption estimate Δl11directP of the cell C is determined. Here, n is the number of test patterns, and r is the degree of opening at which the test pattern T occurs. Na 3
J o, r, is 0≦rj≦1
.. satisfies rj-1.

このようにして求められた各セルCの消費電力の予DI
値P  はメモリ6に記憶される。
Preliminary DI of power consumption of each cell C obtained in this way
The value P is stored in memory 6.

次に、配置・配線手段2はメモリ6に記憶された各セル
Cの消費電力の予測値P 、および接続データ5に基づ
いて、各セルまたは機能ブロックの配置および配線を行
う。ここでセルまたは機能ブロックの配置は、消費電力
がチップ内に一様に分布するように行われる。この配置
方法の概要を以下に述べる。
Next, the placement/wiring means 2 places and wires each cell or functional block based on the predicted value P of power consumption of each cell C stored in the memory 6 and the connection data 5. Here, the cells or functional blocks are arranged so that power consumption is uniformly distributed within the chip. An outline of this arrangement method will be described below.

この方法は従来より知られたMEN−CUT法の改良で
ある。MIN−CUT法では第2図に示すようにチップ
21内の分割を再帰的に繰り返しなからセルの配置を決
定する。各分割時に分割される領域R内にあるセルを分
割によって生じる小領域r1とrlに割り当てるがこの
ときこの分割ライン(これをカット・ラインという)2
5を横切る信号がなるべく少くなるように、かつ2つの
領域r1と「2の面積がほぼ等しくなるように2つの小
領域間でセルを交換しながら割当を決定する。今回、こ
の分割手続きに次のように消費電力を組み込んだ。すな
わち領域「 と領域r2の而積(セル面積の総和)をA
(rl)、A(rl)とし、領域「 と領域r2の消費
電力(領域内のセル消費電力の和)をそれぞれP (r
 1 ) 。
This method is an improvement of the previously known MEN-CUT method. In the MIN-CUT method, as shown in FIG. 2, cell placement is determined by recursively repeating division within the chip 21. At the time of each division, cells within the region R to be divided are assigned to the small regions r1 and rl generated by the division, but at this time, this division line (this is called a cut line) 2
Assignment is determined while exchanging cells between the two small areas so that the number of signals that cross R5 is as small as possible, and the areas of the two areas r1 and R2 are approximately equal. In other words, the product of the area `` and the area r2 (total cell area) is A
(rl) and A(rl), and the power consumption of region " and region r2 (sum of cell power consumption in the region) is P (r
1).

P (r 2 )として、次の条件 Δ(rl、 rl) W−CI−IA (rl) −A
 (rl) l+βφIP (r ) −P (rl)
 I≦δ・・・・・・(4) を満たす範囲内でのみ領域「1内のセルと領域r2内の
セルの交換を許すようにする。ここでα。
As P (r 2 ), the following condition Δ(rl, rl) W-CI-IA (rl) −A
(rl) l+βφIP (r) −P (rl)
I≦δ (4) Allow the exchange of cells in area ``1 with cells in area r2 only within the range that satisfies ``I≦δ'' (4). Here, α.

β、δは正の定数である。このようにすれば内領域の面
桓ばかりでなく消費電力もほぼ半々に分割されるように
なる。
β and δ are positive constants. In this way, not only the surface area of the inner region but also the power consumption can be divided almost in half.

本実施例のアルゴリズムを用いた場合、チップの分割が
くり返される毎に分割される領域内のセルが分割後なる
べく各領域の面積および各領域内の消費電力が等しくな
るように分割される。これは式(4)において、2つの
領域間の差がなるべく小さくなるよう制約されるからで
ある。この結果、分割をくり返してセルの配置場所を決
定してゆく段階で消費電力は次第にチップ内に均一に分
散され、局所発熱の恐れは少くなる。
When the algorithm of this embodiment is used, each time the chip is divided, the cells within the divided area are divided so that the area of each area and the power consumption within each area are as equal as possible after division. This is because in equation (4), the difference between the two regions is constrained to be as small as possible. As a result, as division is repeated and cell locations are determined, power consumption is gradually distributed evenly within the chip, reducing the risk of local heat generation.

なお、(4)式のような制約を加えたとはいっても分割
時にはなるべくカットライン25を横切る配線が少くな
るように分割を行なっているので配置の質を太き(劣化
させることはない。
Note that even if a constraint such as equation (4) is added, the quality of the arrangement is thickened (no deterioration occurs) because the division is performed so that the number of wires crossing the cut line 25 is as small as possible during division.

以上説明したように本実施例によれば、局所的に高熱領
域がLSIチップ7内に形成されることを防止すること
が可能となり、これにより信頼性の高いLSIを自動的
に設計することができる。
As explained above, according to this embodiment, it is possible to prevent a locally high temperature region from being formed in the LSI chip 7, and thereby it is possible to automatically design a highly reliable LSI. can.

なお、上記実施例では、CMO3回路を例にとって説明
したが、NMOS回路の場合は消費電力の算出方法を−
#変えるだけで他は全く同じで良い。NMOS回路の場
合、回路内に含まれるインバータの出力が0になるとき
に電力消費があるから、基本的には各入カバターン毎に
そのセルの消費電力は一意に決まる。よって人カバター
ンと消費電力との関係がライブラリ3に記述されていれ
ば、シミュレーションを行うことでCM OSの場合と
同様に消費電力が算出される。その他論理シミュレーシ
ョンを用いず、回路シミュレーション等によって電力を
算出することもrlJ能である。しかしこの方法は時間
がかかるのか大きな欠点であるが、精度は良い。
In addition, in the above embodiment, explanation was given using a CMO3 circuit as an example, but in the case of an NMOS circuit, the power consumption calculation method is -
# Just change it, everything else should be the same. In the case of an NMOS circuit, power consumption occurs when the output of an inverter included in the circuit becomes 0, so basically the power consumption of the cell is uniquely determined for each input cover turn. Therefore, if the relationship between the human cover turn and the power consumption is described in the library 3, the power consumption can be calculated by performing a simulation in the same way as in the case of CMOS. It is also possible to calculate power by circuit simulation or the like without using logic simulation. However, the major drawback of this method is that it is time consuming, but the accuracy is good.

また、セルの配置法に他の方法を用いることも口■能で
ある。例えば各セルに他のセルとの接続信号に比例した
引力を設定するとともに、消費電力の積に比例し距離に
反比例した反発力を与え、このようにして与えられた力
学系での平衡状態から配置を求める方法等も考えられる
It is also possible to use other methods for arranging the cells. For example, by setting an attractive force on each cell that is proportional to the connection signal with other cells, and giving a repulsive force that is proportional to the product of the power consumption and inversely proportional to the distance, the equilibrium state in the given dynamical system can be changed. Another possible method is to obtain the arrangement.

〔発明の効果〕〔Effect of the invention〕

本発明によれば、信頼性の高いLSIを自動的に設計す
ることができる。
According to the present invention, a highly reliable LSI can be automatically designed.

【図面の簡単な説明】[Brief explanation of the drawing]

第1図は本発明によるLSIの設計方法を実施する装置
の一具体例を示すブロック図、第2図は本発明にかかる
セルの配置方法を説明する説明図、第3図はLS1回路
の模式図、第4図および第5図は従来のセル配置方法に
よってレイアウトされたLSIチップのセル配置図であ
る。 1・・・消費電力予測手段、2・・・配置・配線手段、
3・・・ライブラリ、4・・・テストパターン、5・・
・接続データ、6・・・メモリ、7・・・レイアウトさ
れたLSIチップ。
FIG. 1 is a block diagram showing a specific example of a device implementing the LSI design method according to the present invention, FIG. 2 is an explanatory diagram explaining the cell arrangement method according to the present invention, and FIG. 3 is a schematic diagram of an LS1 circuit. 4 and 5 are cell layout diagrams of LSI chips laid out by the conventional cell layout method. 1... Power consumption prediction means, 2... Placement/wiring means,
3...Library, 4...Test pattern, 5...
- Connection data, 6... memory, 7... laid out LSI chip.

Claims (1)

【特許請求の範囲】 LSIチップ内に複数個のセルまたは機能ブロックと呼
ばれる基本ユニットが配置されるLSIを設計するLS
Iの設計方法において、 前記LSIチップをテストするテストパターンおよびこ
のテストパターンの発生頻度に基づいてLSIチップ内
に配置される各基本ユニットの消費電力を予測する第1
のステップと、 この第1のステップによって予測される各基本ユニット
の消費電力に基づいて前記LSIチップ内の消費電力分
布が均一になるように前記各基本ユニットを配置する第
2のステップと、 を備えていることを特徴とするLSIの設計方法。
[Claims] LS for designing an LSI in which a plurality of cells or basic units called functional blocks are arranged in an LSI chip.
In the design method of I, the power consumption of each basic unit arranged in the LSI chip is predicted based on a test pattern for testing the LSI chip and the frequency of occurrence of this test pattern.
and a second step of arranging each basic unit so that the power consumption distribution within the LSI chip is uniform based on the power consumption of each basic unit predicted by this first step. An LSI design method characterized by:
JP1138263A 1989-05-31 1989-05-31 Designing method for lsi Pending JPH033348A (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP1138263A JPH033348A (en) 1989-05-31 1989-05-31 Designing method for lsi

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP1138263A JPH033348A (en) 1989-05-31 1989-05-31 Designing method for lsi

Publications (1)

Publication Number Publication Date
JPH033348A true JPH033348A (en) 1991-01-09

Family

ID=15217849

Family Applications (1)

Application Number Title Priority Date Filing Date
JP1138263A Pending JPH033348A (en) 1989-05-31 1989-05-31 Designing method for lsi

Country Status (1)

Country Link
JP (1) JPH033348A (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH039550A (en) * 1989-06-07 1991-01-17 Fujitsu Ltd Estimation of power consumption of mos lsi
JPH04365350A (en) * 1991-06-13 1992-12-17 Hitachi Ltd Logical element arrangement and apparatus thereof and semiconductor integrated circuit
JP2008299464A (en) * 2007-05-30 2008-12-11 Nec Electronics Corp Power consumption calculation method, power consumption calculation program, and power consumption calculation device
DE102020128451B3 (en) 2020-10-29 2021-11-04 Alan E. Baklayan Fractal antenna, in particular for a therapy device for treating patients, a belt and a therapy device for treating patients with the aid of such a fractal antenna

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH039550A (en) * 1989-06-07 1991-01-17 Fujitsu Ltd Estimation of power consumption of mos lsi
JPH04365350A (en) * 1991-06-13 1992-12-17 Hitachi Ltd Logical element arrangement and apparatus thereof and semiconductor integrated circuit
JP2008299464A (en) * 2007-05-30 2008-12-11 Nec Electronics Corp Power consumption calculation method, power consumption calculation program, and power consumption calculation device
DE102020128451B3 (en) 2020-10-29 2021-11-04 Alan E. Baklayan Fractal antenna, in particular for a therapy device for treating patients, a belt and a therapy device for treating patients with the aid of such a fractal antenna

Similar Documents

Publication Publication Date Title
TW567528B (en) Method for generating a partitioned IC layout
US6543040B1 (en) Macro design techniques to accommodate chip level wiring and circuit placement across the macro
JP3811649B2 (en) System and method for product yield prediction using a logical characterization vehicle
US5883808A (en) Logic circuit optimization apparatus and its method
US7418688B2 (en) Routing analysis method, logic synthesis method and circuit partitioning method for integrated circuit
US6150865A (en) Method for the positioning/routing of a global clock circuit on an integrated circuit, and associated devices
JPH05151303A (en) Inter hierarchy delay allocating method
US6684373B1 (en) Optimize global net timing with repeater buffers
US5963730A (en) Method for automating top-down design processing for the design of LSI functions and LSI mask layouts
JPH033348A (en) Designing method for lsi
US20060043427A1 (en) Automatic-arrangement-wiring apparatus for and program for performing layout of integrated circuit
JP2006285445A (en) Layout design method, layout design program and layout design device
JP2004104039A (en) Automatic layout and wiring design method for integrated circuit, automatic layout and wiring design apparatus therefor, automatic layout and wiring design system therefor, control program and readable recording medium
JPH06223134A (en) Automatic wiring method for integrated circuit
JPH09266254A (en) Automatic layout method for semiconductor integrated circuits
CN112084742A (en) Integrated circuit design method and non-transitory computer readable medium thereof
JPH04107953A (en) Wiring method of semiconductor device
JPH09232436A (en) Method and apparatus for logic synthesis, and method for designing semiconductor integrated circuit
Berry et al. IBM z15: Physical design improvements to significantly increase content in the same technology
JP2830574B2 (en) Thermal analysis simulation system
JPH11238802A (en) Automatically arreanged wiring and its device
JPH04250571A (en) Wiring length prediction device
JP2788763B2 (en) Semiconductor design apparatus and method
JPH0981621A (en) Delay analysis system
JP2001196459A (en) Method and apparatus for designing semiconductor integrated circuit